Proceedings of the IEEK Conference (대한전자공학회:학술대회논문집)
The Institute of Electronics and Information Engineers (IEIE)
- 기타
2008.06a
-
We propose an efficient uplink bandwidth request-allocation algorithm for variable-rate real-time service in IEEE 802.11 broadband wireless access networks. By introducing a notion of target delay under the framework of dual feedback, the proposed algorithm can assure the desired level of QoS while minimizing wastage of bandwidth allocation.
-
With the continued evolution of third-generation cellular systems and the introduction of 3GP P LTE, there is considerable progress for the new mobile wireless markets. Recently, 3GPP LTE standards are accelerated by mobile operators supporting LTE deployment and special working groups such as LSTI are working for LTE IOT and trials. In this paper, we introduce the current status of LTE activities in the mobile communications industry.
-
It is well-known that one of the most serious problems of Orthogonal Frequency Divison Multiplexing (OFDM) is its high Peak-to-Average Power Ratio (PAPR) which seriously limits the power efficiency of High Power Amplifier (HPA). This paper introduces various methodologies to cope with this problem.
-
In this paper, we describe a 1/4 rate robust modulation algorithm for Enhanced-xVSB system which is fully backward compatible with ATSC 8-VSB standard. Laboratory test results of proposed Enhanced-xVSB 1/4 rate mode is shown a significantly improved reception performance under multipath as well as AWGN channel. We suggest an Enhanced-xVSB terrestrial broadcasting system for in-band Pedestrian/Portable TV broadcasting service.
-
In this paper, we propose a performance evaluation method for MIMO antennas through channel sounding. From measurement data, the complex channel gain, delay, angle of arrival, and angle of departure of each multipath are estimated. Using these estimates, the MIMO channel impulse response adopting various types of antennas are constructed by replacing the array response vectors, considering antenna patterns and correlation among antenna elements. Comparisons are made in terms of the metrics computed from the impulse responses.
-
In this paper, a new iterative decoding of LDPC codes is proposed. The decoding is based on the posteriori probability of each belief propagation (BP) decoding and an additional postprocessing, that is, erasure decoding of LDPC codes. It turned out that the new method consistently improves the decoding performance on various classes of LDPC codes. For example it removes the error floor of Margulis codes effectively.
-
본 논문은 다중 안테나 시스템에서 두 심볼을 묶어서 전송함으로써 최대 전송률을 유지하는 준직교 시공간 블록 부호(QOSTBC : quasi orthogonal space time block codes)의 성능을 평가하였다. 이제까지 제안된 여러 QOSTBC 부호는 다차원 신호 공간에서의 신호 설계 방식에 차이가 있고 결과적으로 diversity 차수는 동일하지만 부호 이득에 차이를 보인다. 채널 부호기를 결합한 경우와 그렇지 않은 경우에 여러 가지 방식의 QOSTBC의 성능을 모의실험으로 평가하였다.
-
In this paper, we define the normalized mean square covariance (MIMO) of multiple input multiple output (MIMO) channels and study the relationship between ergodic MIMO channel capacity and the channel NMSV value.
-
In the IEEE 802.16 Wireless MAN standard, the best effort service class is ranked on the lowest position in priority so that only scarce resource may be available for it. Also, the best effort service is usually assisted by a MAC scheme based on reservation ALOHA without explicit acknowledgement. However, the standard only specifies the skeleton of the MAC scheme. In this paper, we propose some rules to specify the indefinite part of the MAC scheme as well as to improve the throughput and delay performance of the MAC scheme. As generic rules for demanding and granting resource, we first propose non-gated exhaustive, gated exhaustive, non-gated limited, and gated limited demand rules, and deficient and full grant rules. Secondly, we propose grant regulation rules, identified as replacement and lifetime rules, to avoid excessive grant incurred by not giving acknowledgement. Noting the residual resource is inflated by adopting a grant regulation rule, we finally propose a rule for investing the residual resource to a subscriber station. Simulation results confirm that a combination of proposed rules improves the performance of the MAC scheme.
-
As technology continues to scale down dramatically into 130nm and below, the impacts of process-induced variations on interconnect as well as device become more severe and significant in 130nm and below design. In order to predict changes of circuit characteristics due to process-induced variations accurately and efficiently, the DFM-Aware design environment has been developed and verified.
-
An Electrostatic discharge (ESD) protection has been a very important reliability issue in microelectronics, especially for RF (Radio Frequency) integrated circuits (ICs). This paper reviews design and analysis of on-chip ESD (electrostatic discharge) protection circuits for RF applications. Key issues in RF ESD protection, design methods, and RF ESD protection solutions are discussed.
-
Digital visual information(image and video) plays an important role in our society, and everyday, more and more visual information is available from many sources around the world. And there is an increasing number of cases where the these visual informations are created, stored, retrieved, and re-used by computational systems. But information modification, distortion and compilation occurred through trans-coding or legal/illegal information processing often make these operations difficult. Subordinately, advanced technology or commercialized software for retrieving and identifying the desired information among these massive and diverse ones is strongly required. In the paper, some recent activities and technical content of MPEG-7 Visual Group are described, especially with regarding to Visual Identifier.
-
This paper presents a brief overview of scalable video coding (SVC) with a focus on spatial scalability and its application to Advanced Terrestrial-DMB (AT-DMB). By adopting SVC with two spatial-layers and hierarchical modulation, AT-DMB provides standard definition (SD)-level video while maintaining compatability with the existing CIF-level video. In this paper, we suggest a layer-configuration and coding parameters of SVC which are well suit for an AT-DMB system. In order to reduce extremely large encoding time resulted by an exhaustive search of a macroblock coding mode in spatial scalability, we propose a fast mode decision method which excludes redundant modes in each layer. It utilizes the mode distribution of each layer and their correlations. Experimental results show that a simplified encoding model with the method reduces the computational complexity significantly with negligible coding loss.
-
This paper briefly introduces the current status of MPEG Audio Subgroup activities for standardizing a new audio coding technologies. Currently MPEG Audio Subgroup focused on spatial audio coding tools for compressing multiple audio objects and unified coding tools for presenting the consistence performance on speech and audio signal at the same time. Also a new MAF (MPEG Application Format) for interactive music was introduced at the 84th MPEG meeting.
-
The digital multimedia era has come and almost everyone creates and publishes multimedia contents over the internet. When the available amount of multimedia content is exponentially increasing, searching through the sea of the multimedia content is a big problem. In this paper, we present MPEG Query Format (MPQF), which is a new standard for multimedia query language based on XML-schema. MPQF provides a standardized interface for users of heterogeneous multimedia information service providers to access their services of choice in a homogeneous way.
-
In this paper, we describe the technology about multiview video coding which is a hot issue in diqital video coding. A multiview video coding (MVC) is able to provide a free view point and 3-D effect, resulting that it gives more realism to users. Now, the MVC has been standardized as an amendment of H.264/AVC. In this paper, we present not only the MVC standard but also relevant techniques which were under consideration in the MVC standardization.
-
콘텐츠가 디지털화 되고 네트워크화 되면서 저작권 보호에 관한 문제가 중요해 지고 있다. 디지털 장치를 소유하고 네트워크에 연결이 가능한 사람들은 네트워크 상에 있는 디지털 콘텐츠를 적은 노력과 비용으로 보다 쉽게 접근하고 내려받고 복제할 수 있게 되었다. 반면 저작권법은 저작권자에게 콘텐츠에 대한 모든 권한을 인정하고 있다. DRM 기술에 대해 일반적으로 논한다면, 대체로 공격자가 똑똑해질수록 기술은 복잡해지고 무거워진다. 즉, 디지털 콘텐츠를 보호하기 위한비용이 증가하는 것을 의미한다. 따라서 콘텐츠 보호는 대규모의 블록버스트(blockbuster) 영화, 중요한 서류에만 적용되는 것이 바람직하다고 생각하기도 한다. 이러한 상황은 특정한 경우에 가장 적합한 보호의 수준을 결정하기 어렵게 만든다. 따라서 체계적이고 실용적이면서 디지털 콘텐츠 가치 사슬에서의 가치 평가, 위험성 평가를 위해 콘텐츠 공학적인 관점을 도입하는 것이 필요하다.
-
The mobile RFID (Radio Frequency Identification) is a new application to use mobile phone as RFID reader with a wireless technology and provides new valuable services to user by integrating RFID and ubiquitous sensor network infrastructure with mobile communication and wireless internet. However, there are an increasing number of concerns, and even some resistances, related to consumer tracking and profiling using RFID technology. Therefore, in this paper, we describe the security analysis and implementation leveraging globally networked mobile RFID services which complies with the Korea's mobile RFID forum standard.
-
-
In order to prepare new video coding standard, ITU-T SG16/Q.6 VCEG is gathering high efficiency and low complexity coding tools. In this paper, we introduce the latest KTA codec that includes new coding tools such as AIF, APEC, AQMS, AQP, IBDI, MDDT, MVC, 1/8-pel MC, and RDO-Q. After that, we describe the our prospection and strategy for these future video coding technology.
-
In this paper, we suggest a new video coding method using hierarchical block mode structure extension. It is based on the conventional H.264 block modes but adds hierarchically extended block modes to cover large resolution sequences. It is shown by experimental results that the proposed method can achieve a higher coding gain for HD sequences.
-
A low complexity encoding scheme for coarse grain scalability is proposed. The proposed method exploits the statistics of residuals between current and reference blocks using the macroblock mode predicted from the previous quality layer. To test how the mode is optimal in the current layer, the statistical hypothesis testing for the variances of the residual sub-blocks is performed. The proposed method reduces the total encoding time up to 51% when three CGS scalability layers are encoded. However, the quality degradation and bit-rate increment of the each layer are negligible.
-
Lee, You-Sun;Kim, Chang-Hee;Na, Tae-Young;Lim, Jeong-Yeon;Joo, Young-Ho;Kim, Ki-Mun;Byun, Jae-Woan;Kim, Mun-Churl 77
With advent of 3G mobile communication services, video telephony becomes one of the major services. However, due to a narrow channel bandwidth, the current video telephony services have not yet reached a satisfied level. In this paper, we propose an ROI (Region-Of-Interest) based improvement of visual quality for video telephony services with the H.264|MPEG-4 Part 10 (AVC: Advanced Video Coding) codec. To this end, we propose a face detection and tracking method to define ROI for the AVC codec based video telephony. Experiment results show that our proposed ROI based method allowed for improved visual quality in both objective and subjective perspectives. -
We propose a new motion vector skip coding method for better motion compensation-based coding of inter-slices in H.264/AVC. It is to best utilize the spatial correlation between motion vectors of adjacent 4x4 blocks by effective motion vector coding. For this purpose, we introduce a new macroblock type of Predictive (P) slice into those of the H.264/AVC, so that it can lead to reduction in the coding bits required for encoding motion information. Experimental results with several well-known test video sequences verify that better performance of the proposed method is obtained.
-
It is efficient to use the time-domain beamforming to operate the various pulse with the different pulse length, frequency, bandwidth in active sonar system. In this paper, we propose a time-domain beamformer with the cumulative processing in the decomposed channel using the polynomial interpolation to solve the problem of the computational cost, high transmission data rate, and the lack of internal memory.
-
수중에서는 매질과 채널의 특성으로 인해 가능한 최대 데이터 전송 속도는 지상통신에서의 데이터 전송 속도에 비해 매우 낮으며, 이를 어떻게 극복하느냐가 매우 중요하다. 특히 천해(shallow water)에서 다중경로(Multipath)로 인한 지연 확산은 심볼 사이의 ISI(Inter Symbol Interference)를 유발시켜 수중 통신 시스템의 성능을 더욱 떨어뜨린다. 본 논문에서는 수중 음향 채널에서 고속 데이터 전송을 위해 OFDM(Orthogonal Frequency Division Multiplexing) 방식을 이용한 통신 시스템을 다룬다. 다중경로로 인한 심한 심볼간 간섭을 받는 수중 채널에서 고속 데이터 전송을 위해 OFDM 방식의 통신 시스템의 성능을 평가하였으며, 이를 위해 수중 채널 모델을 이용해 영상 데이타 전송 시뮬레이션을 수행하였다.
-
We present an implementation and it's real-sea test of an underwater acoustic communication system, which allows the system to reduce complexity and increase robustness in time variant underwater environments. For easy adaptation to complicated and time-varying environments of the ocean, all-digital transmitter and receiver systems were implemented. For frame synchronization the CAZAC sequence was used, and QPSK modulation/demodulation method with carrier frequency of 25kHz and a bandwidth of 5kHz were applied to generate 10kbps transmission rate including overhead. To improve transmission quality, we used several techniques and algorithms such as adaptive beamforming, adaptive equalizer, and convolution coding/Viterbi decoding. For the verification of the system performance, measurement of BER has been done in a very shallow water with depth of 20m at JangMok, Geoje. During the experiment, image data were successfully transmitted up to about 9.6km.
-
The purpose of this paper is to propose a CSMA/CA protocol to reduce the delay time and increase the throughput of the original CSMA/CA protocol. In underwater environments, the efficiency of the protocol is reduced due to the increase of the propagation delay time, which results in increase of the collision possibility causing lowering of the transmission efficiency.
-
Research and development status of Dog-Horse robot is presented based on the critical technology issues which generates severe problems or hard trade-offs to meet the general requirement of system and new technologies. This paper covers from architectural problems to specific technology to overcome the operational requirement. Technology development based on operation requirement derived new research challenges as well as advanced development for system adaptation.
-
It is necessary to develop a high-speed wireless transmission system, which is able to send medical informations to the emergency medical center during emergency patient transportation. In this research, a system which transmits patient’s vital signs and a real-time audio/video contents of the event has been designed, developed, and the suitability of the system has been verified. Test results indicate that the system is capable of transmitting vital signal data, including 17 numeric data, 12 waveforms and 113 events, reading the affected part by forwarding a
$320{\times}240$ pixel image at 2fps. Also, the full-duplex voice transmission of the system at 8bit/64kbps is enough to make stable communication between emergency medical technicians and hospital professionals possible. After numerous hours of driving, the packet loss of patient vital signs is 0.013%. -
Usability study of the CU Medical "i-PAD" AED was conducted to validate the usability of the device, primarily focused on the trained user as well as the utility of the training material. Comparing these results of the trained participants to those obtained by Callejas et al. (2004), who studied video-trained users of two commercially available Philips AEDs (FR2 and HS1) using a male manikin only, the participants in this study were more successful (100% vs. 85.7%-FR2 vs. 88.9%-HS1) and faster to shock (58.5s-i-PADvs. 91s-FR2 vs. 90s- HS1). While the focus of this study was on validating ease of use for trained bystanders, the results of the untrained condition showed that, from a usability perspective, these devices perform as well as any other AEDs already approved and available for purchase for untrained bystander use, as evidenced by the comparison to various published research results
-
By applying sonic wave technology in Vibration Exercise Equipment, we introduced an completely new concept of device into the fitness and medical industry creating a new trend. Sonic Vibration Exercise Equipment which got over the limit of technology will be easily accessible not only by professional athletes but also by ordinary users and even minority groups such as disabled, elderly, children.
-
The purpose of PEEP is to increased effect reparation in lung. Recently trend of technology is possible to have multi-level or to control freely for variety breathing pattern or breathing mode. Those new technology need some issue like electronic controlled actuator(solenoid), PEEP valve of special structure and control software in micro controller. This paper brief to develop actuator(solenoid) and PEEP valve. This development is to make commercial product of MEKICS.Co.LTD Electronic controlled actuator(Solenoid) is to make force depend on current in linear. And force is convert to pressure in PEEP valve. Major technical issue are how to make the valve with continuous force even dough moving and how to optimize to convert force - pressure.
-
According as USN's application field is magnified, various results are appearing. Efforts to apply this results in life are progressing. In car field, is used in control that level of significance is less. In home network, is used in a household electric appli-ance control and electric lamp control, security etc.. In factory, is used in process control, and is used in various application because is involved with RFID leader recently. In this paper, patient's status monitoring, USN use wish to. Because USN can utilize existent network, it is system that can transmit various data as that change passed information.Wish to send information that get in health-care board. Information that can get in health-care board is blood pressure, blood sugar, stroke, SPO2, ECG, the activity amount, position. Also, wish to forecast use possibility to involved various service, silver industry with database.
-
By using Ez-RoboMaster which is developed in EASYTECH, we implement an intelligent robot system. The Ez-RoboMaster is developed based on AVR Atmega128, and the emdedded system contains 5 ports for several sensors and actuators. And we develop integrated development environment(IDE), Ez-CPU3.0 for easy application of Ez-RoboMaster. In this paper, we introduce the effectiveness of Ez-RoboMaster for educational platforms of intelligent robot systems at college and university.
-
LEGO Mindstorms NXT is a powerful robot programming target. It has ARM7, sensor and motor ports, and bluetooth support. and G-Code, C-Based, JAVA programming support, too. In this paper, we introduce the effectiveness of NXT via computer science education at college and university.
-
A MultiSIM software is very friendly tool that analysis of electronic circuits. The integrated capture and simulation is much easier to use and provides features that currently meet or exceed those of another capture and simulation tools. And actual hardware use the NI ELVIS to easily and quickly measure circuits and comparison and analysis of simulated actual data. In this paper, we introduce a combination of MultiSIM, the NI ELVIS, and NI LabVIEW and this combination gives to college and university a comprehensive, hands-on experience throughout the entire educational cycle.
-
In this paper, we propose a new RF education system using real module that engineer apply at actual companies and laboratories. The proposed system provides beginner and college students with a real effect of RF hardware education. The Optimized RF education system we present is made up of a real passive module, active module, mobile communication module, DSP based system.
-
In this paper, we propose a diversity-enhancing scheme in a single link fading channel using repetition QPSK symbol code. The proposed scheme can be converted to rate outage formula and obtains enhanced diversity performance while guaranteeing the required data rate. Simulation result shows that the proposed scheme improves the rate outage performance compared to the conventional BPSK diversity schemes.
-
This paper provides a general and compact expression for the probability density function (pdf) and the moment-generating function (MGF) of the maximal ratio combiner output over Rayleigh fading channels. It is then used to derive closed form expression outage probability for repetition code problem. A variety of simulations is performed and shows that they match exactly with analytic ones.
-
This paper examines an adaptive coding scheme for flat fading channels to maximize the average code rate of a coded system. The proposed adaptation technique is employed by using the required free distance of a rate compatible code depending on the channel realization. First, the system will calculate the required free distance based on the instantaneous channel gain. Based on this channel gain we will select a set of convolution code to optimize the code rate with a certain performance requirement. Simulation results show that our proposal can achieve a higher code rate.
-
차세대 위성항법 시스템은 높은 변조율을 갖는 이진 천이 반송파 변조된 확산신호를 사용한다. 그런데 부호 획득을 위해 기존 선형탐색 기법을 사용할 경우 변조율 증가에 따라 탐색회수가 크게 증가하여 초기고정시간도 증가하는 단점이 나타난다. 이에 본 논문은 차세대 위성항법 시스템이 사용하는 높은 변조율을 갖는 이진천이 반송파 변조된 확산신호를 위한 빠른 부호획득 기법을 제안하고, 복잡도를 분석한다.
-
In this paper we design an irregular low-density parity-check (LDPC) code for a multi-input multi-output (MIMO) system. The considered MIMO system is minimum mean square error soft-interference cancellation (MMSE-SIC) detector. The MMSE-SIC detector and the LDPC decoder exchange soft information and consist a turbo iterative detection and decoding receiver. Extrinsic information transfer (EXIT) charts are used to obtain the edge degree distribution of the irregular LDPC code which is optimized for the input-output transfer chart of the MMSE-SIC detector. It is shown that the performance of the designed LDPC code is much better than that of conventional LDPC code optimized for the AWGN channel.
-
In this paper, we study a dynamic price-based call admission control algorithm for communication networks. When a call arrives at the network, the network calculates the price for the call such that its expected revenue is maximized. The optimal price is dynamically adjusted based on some information of the call, and the congestion level of the network. If the call accept the price, it is admitted. Otherwise, it is rejected. Simulation results show that our dynamic pricing algorithm provides higher call admission ratio and lower price than the static algorithm [1][2], even though they provide almost the same revenue.
-
Bistatic spotlight synthetic aperture radar(BSSAR) with single track configuration uses the transmitter and the receiver which travel along the single track such as the leader-follower. For the BSSAR imaging, we modify the range migration algorithm. In time domain, we make the monostatic SAR using shifting of path, Then, in frequency domain, we compensate the separated distance between the scene center and the flight path using the principle of the stationary phase (PSP).
-
We suggest a phy layer of a sensor node. The proposed sensor nodes work well around metal or liquids because they operate at low frequency. In addition we present a demodulation algorithm for simultaneously decoding multiple received signals and a simulation result.
-
To achieve secure communication in current ZigBee networks, encrypted messages using security keys need to be shared among devices. A link key shared by two devices is used for unicast communications, where the master key is the starting point for establishing a link key. The ZigBee protocol has some limitations in end-to-end key establishment, which are discussed and an improved end-to-end key establishment scheme is presented.
-
We propose the sensor networks middleware based on publish/subscribe model for adaptive service to client. Publish/subscribe middleware make capability of sensor to data through the advertisement message. Based on capability of sensor, Publish/subscribe middleware adaptively service to client. And client make a collection of information that it require. Therefore middleware service more effectively.
-
In this paper, we study IEEE 802.16 network for IP subnet aspects and propose optimized subnet model in accordance with IEEE 802.16 network in the point of mobility.
-
Exploiting block diagonal geometric mean decomposition (BD-GMD) for the multi-user MIMO broadcast channels, each user can achieve identical received SNRs for its subchannels. Thus, equal rate modulation can be applied to each user. Using BD-GMD, we can apply an adaptive modulation to each user with the required bit error rate (BER). In this paper, we propose an adaptive modulation algorithm for the multi-user MIMO broadcast channels with BD-GMD to maximize the system throughput satisfying the required BER performance.
-
지상파 방송(DMB: Digital Multimedia Broadcasting)은 음성, 영상, 데이터와 같은 다양한 멀티미디어 신호를 디지털 방식으로 변조하여 이동 중에 방송을 청취할 수 있는 차세대 디지털방송 서비스이다. 그러나 지상파 DMB 전송 고도화망에서는 계층 변조(Hierarchical Modulation)전송 기법을 통하여 추가의 전송대역폭을 확보할 수 있다. 또한 스케일러블 비디오 코딩(Scalable Video Coding)부호화 방식을 이용하여 고전송효율/고품질의 이동 멀티미디어 방송서비스를 제공할 수 있는 고품질 AT(Advanced Terrestrial)-DMB 시스템이 가능하다. 이러한 고품질 AT-DMB의 개발에 있어서 여러 방식들이 제시됨에 따라 시뮬레이터를 통한 다중화 시스템의 분석이 필요하다. 본 논문에서는 고품질 AT-DMB가 가능한 스케일러블 비디오 방식을 JSVM8.8을 사용하여 구현하였으며, 다중화 시스템의 실험을 하였다. 또한 시뮬레이터를 통하여 복호된 계층 간의 화질 차이와 엔지니어를 위해 비트스트림의 분석화면 및 PSNR을 제공 하였다.
-
본 논문에서는, 고품질 AT-DMB 시스템을 위해서 각 계층 ES(Elementary Stream)을 MPEG-4 over PEG-2 시스템과 Eureka-147 DAB 시스템을 통해 서로 다른 채널로 전송한다. 계층별 전송으로 인한 시간 지연에 대처하여 수신 입력부에서는 각각의 계층으로 전송된 ES들이 동기화 되어 복호화 되도록 SVC의 계층적 비트스트림 구조와 적응적으로 동작되는 다중화 시스템 구조를 제작하였다.
-
In this paper, an cost-effective system architecture is proposed to implement digital predistortion scheme for linearizing the PA amplifing TDD wideband signal. To make digital predistorted signal for compensating nonlinearity of PA, a dedicated ADC and a frequency-down converter are necessary. Proposed scheme is based on the TDD feature that the RF receiver frontend is idle state during the downlink signal processing time and utilize them to make the digital predistorted signal for PA.
-
From the beginning of a new millennium, the digital broadcasting services had been adopted to cable, satellite and radio broadcasting services as well as the terrestrial TV broadcasting services. The government established the installation standard of digital receiving equipment in 2007, which is needed for taking the new digital broadcasting services without additional facilities in an apartment house. In this paper, I will show the installation standard of digital receiving equipment in an apartment house and give a detailed explanation for the main criteria of it.
-
We propose an efficient spectrum sensing scheme for cognitive radio systems with multiple antennas. By utilizing the property of multiple receive antennas, spectrum sensing without idle period is possible. Simulation results show that the detection probability is enhanced with the number of receive antennas, which explains the effect of the spatial diversity.
-
This paper presents a lattice reduction aided (LRA) MIMO receiver using dual basis. By reducing the basis of channel inversion matrix which directly boosts the noise power, the LRA-MIMO receiver using dual basis has better performance than that using primal basis.
-
In this paper, we evaluate the bit error rate (BER) performance for uplink communication of WiBro system when smart antenna technology is employed. It is shown that, by exploiting beamforming technology, smart antenna-based WiBro system shows improved performance by more than 4 dB in SNR compared to single input single output (SISO) system under one-path Rayhleigh fading channel condition.
-
We give new definition of the effective-leakage and the signal to effective-leakage plus noise ratio (SELNR) to consider receiver combining motivated by the leakage. We propose a method to find jointly beamforming vector and combining vector for the two linear receivers (maximal ratio combining (MRC) receiver and minimum mean square error (MMSE) receiver) based on the SELNR.
-
In this paper, we compare the performance of the channel estimation methods with various interpolators for ISDB-T which is Japanese digital television terrestrial broadcasting systems. In this computer simulations, various interpolation methods are applied and the entire channel estimation performances according to the way of interpolation are compared.
-
A ring resonator based band-pass filter enabling enhanced sideband suppression was proposed and demonstrated. It is composed of a single-ring based-pass section combined with a band-rejection section based on two different coupled rings. As for the achieved device performance, bandwidth was reduced from 98 MHz to 48 MHz by about 51% with no remarkable excessive insertion loss as expected. And the quality factor of the device was increased by about 105%.
-
In this paper, we study an opportunistic scheduling scheme for the OFDMA system with streaming services. The service is modeled by using the appropriate utility function. We formulate a stochastic optimization problem that aims at maximizing network utility while satisfying the QoS requirement of each user. The problem is solved by using the dual approach and the stochastic sub-gradient algorithm.
-
In this paper, efficient time synchronization scheme for OFDM based WLAN system and its performance simulation results are presented. Assuming AGC and packet detection is done within 7 short training symbols. This scheme consists of coarse and fine estimation, and exhibits robustness over fading and AWGN channel. The presented synchronization scheme achieves the success rate of about 96% over the SNR of 5 dB.
-
In this paper, an efficient frequency offset compensation design for OFDM(Orthogonal Frequency Division Multiplexing) is proposed. The conventional CORDIC(COordinate Rotation Digital Computer) algorithm for frequency offset compensation utilizes CORDIC hardware and complex multiplier. But, proposed structure utilizes only one CORDIC hardware.
-
A novel method using scaling down and restoration to reduce the PAPR of OFDM signal is proposed. This method shows a better BER performance than clipping method. And also the throughput efficiency is better than SLM.
-
We propose load balancing algorithm based on cross layer designing for MIMO OFDM system. When there are many users using data service, base station(BS) should distribute traffic. Moreover, cross layer design gives benefit managing radio resource and network bandwidth management. Proposed cross layer load balancing technique manages both BS's bandwidth allocation and MS’s power control. One BS request bandwidth to other BSes and other BSes reduce each bandwidth. And BSes reduce power of sub carriers for reserving available bandwidth of backhaul. MSes that didn't get service can be served by obtaining bandwidth from other BSes. The simulation result shows more users can be served and cell throughput was increased
-
In this paper, we propose an efficient algorithm for reducing the complexity of LDPC code decoding by using node monitoring (NM). This NM algorithm is based on a new node-threshold method, and the message passing algorithm. This algorithm was simulated in order to verify its efficiency. Simulation results show that the complexity of our NM algorithm is improved to about 10%, compared with well-known methods.
-
We have proposed a bow-tie UWB antenna using a U-shaped stub. The proposed antenna covers the entire ISM frequency (2.45 GHz) and DS-OFDM UWB band (
$3.1\;{\sim}\;5\;GHz$ ). Simulated and measured results are found to be in good agreement with each other. The designed antenna has the figure-of-eight radiation pattern, wide bandwidth, dual-band, and negligible dispersion over the operating frequency band. -
Ceramic chip antenna with exponentially tapered ground and parasitic patch is proposed for UWB system. We obtained the return loss of average -12 dB over the range of
$3.1\;{\sim}\;10.6\;GHz$ but average -7.2 dB and -7.9 dB over the range of$7.9\;{\sim}\;9.3\;GHz$ and$10.0\;{\sim}\;10.6\;GHz$ respectively. Also, we obtained the group delay of average 0.603 ㎱. The simulation results by HFSS agreed with the measured results. -
In this paper, we analyze the performance of a cooperative communication wireless network over independent and identically distributed (IID) Nakagami-m fading channels. A simple transmission scheme is considered where the relay is operating in amplify-forward (AF) mode. A closed-form expression for symbol error rate (SER) is obtained using the moment generating function (MGF) of the total signal to noise ratio (SNR) of the transmitted signal with binary phase shift keying (BPSK).
-
Ultra wideband (UWB) system is one of the promising solutions for future short-range communication which has recently received a great attention by many researchers. In this paper, we proposed 128-point low power FFT structure based on the memory for UWB systems. The proposed structure can improve implementation area and power consumption efficiency as it consists of one of the butterfly PE and a little memory.
-
A new diversity technique is proposed for multi-user single-input single-output (SISO) orthogonal frequency division multiplexing (OFDM) uplink system. Each user transmit a symbol using frequency band of all users that are active in the uplink. In addition, a receiver detect each signal using maximum likelihood (ML) method. Simulation results show that the proposed technique improves a diversity order without an additional bandwidth and a loss of data rate.
-
We proposes an adaptive relay selection scheme for a regenerative (OFDMA) relay network with fairness constrains. The proposed scheme selects the best relays out of a set of potential relays to maximize system capacity. Among these selected relays, subcarriers are reallocated to satisfy fairness constraints as well as to minimize the decrease of the system capacity. The simulation results show that the proposed scheme achieves significant performance improvement over direct transmission and opportunistic relaying with OFDM (OR-OFDM).
-
We propose a LLR (log-likelihood ratio) selection combining technique that reduces much of complexity. This technique chooses the most reliable branch based on the magnitude of the LLR of each branch. We show that the proposed selection combining achieves significant power gains over conventional selection combining and nearly matches the performance provided by MRC.
-
Automatic repeat request (ARQ) protocol is attention for recent years as one protocol for reliable, accurate signal at the destination. However, in almost recently proposed ARQ protocols, the authors only consider advantage about the diversity gain. In this paper, we propose a novel cooperative ARQ protocol with advantage about the bandwidth in which the source sends a number of frames before stopping and worrying about the acknowledgment.
-
본 논문은 협력 ARQ 프로토콜에서 기존의 SNR 또는 채널 계수를 고려하는 선택적 릴레이 방식 대신에 LLR를 고려한 최적의 선택적 릴레이를 제안하였다. 협력통신에 ARQ를 적용함으로써 주파수 효율을 향상 시킬 수 있으며, LLR를 적용함으로써 성능을 보다 향상시킬 수 있었다. 레일리 페이딩과 AWGN를 고려한 Monte-Carlo 시뮬레이션을 통해 성능을 검증하였다.
-
A diagnosis based on EM is composed of multi-channel transceiver, antennas in illumination tank, liquid and image reconstruction algorithm for solving inverse scattering problem. The antennas in diagnosis were fabricated and measured in lossy liquid. The 10dB impedance bandwidth of the antenna is 600MHz - 3.5GHz
-
Recently, our lives have become more convenient and our work more efficient as a result of these cell phones. On the other hand, they have also caused diverse side-effects, including threats of blackmail with invasion of privacy, disclosure of personal information, as well as security breaches, and an overall increase in distrust between people. Recognizing the need to quickly collect digital evidence with an increase in cell phone crimes, this paper proposes to develop such standard module.
-
The proposed cavity-backed slot antenna is comprised of baffles, reflectors and thick ground plane. The baffles and reflectors are used to increase an antenna gain, thus reducing the coupling among slots on a thick ground plane. The height of the baffle and reflector were altered and the characteristics of corresponding
$2{\times}2$ cavity-backed slot array antennas were measured separately. Themeasured antenna gains were above 15dBi, at f=42GHz and a wide impedance bandwidth over 27% at a center frequency of 42GHz. -
Radiation characteristics of a microstrip patch antenna integrated with a UC-EBG (Uniplanar Compact Electromagnetic Bandgap) structure and a Mushroom EBG structure are compared. Radiation characteristics of a patch antenna integrated with a Mushroom EBG structure are better than those of a patch antenna integrated with a UC-EBG structure.
-
This paper presents a cognitive radio network where a base station exploits multi-antennas. For the system, a joint power control and user selection greedy algorithm which achieve a significant fraction of sum-capacity at lower complexity cost is proposed.
-
A new frequency offset estimator using low cross-property of PN sequences is proposed in the orthogonal frequency division multiple access (OFDMA) uplink systems. Simulation results show that the proposed estimator improves the mean square error (MSE) performance compared to conventional estimator [2] where each user have both different timing offset and different frequency offset. In addition, the MSE performance is improved as the length of PN sequence increases.
-
This paper proposes a sectorization and exclusive subcarrier allocation technique for dirty paper coding (DPC) aided orthogonal frequency division multiple access (OFDMA) multihop cellular networks. Simulation result shows that the proposed technique significantly increases the achievable sum rate compared to the conventional mobile station selection approach.
-
센서 네트워크는 그 특성 상 에너지 제약이 심하며, 최근에는 움직이는 대상에 deploy이 되어 mobile 환경에서의 연구가 활발히 진행되고 있다. Mobile 센서 네트워크의 자원 최적 할당을 위해 논문에서는 WPAN과 같은 채널 대역을 쓰는 IEEE 802.11과 공존 문제에 대해서 다루고 이런 상황에서 간섭을 회피하고 QoS를 높이기 위한 채널 선택 방법에 대해 연구하였다. 본 방식의 channel allocation 알고리즘을 사용하면 효과적으로 WPAN에서 WLAN의 interference를 피할수 있게 된다.
-
A cell planning and resource allocation scheme called the proposed is presented for improving channel capacity and for maintaining a proper QoS (Quality of Service) over the downlink OFDMA (Orthogonal Frequency Division Multiple Access) system. Through an optimal combination of sectorization and frequency overlay, the proposed scheme accomplishes an improvement in both channel capacity and outage probability. In the simulation, the proposed scheme outperforms 3-sectorization in terms of throughput and outage probability.
-
A recent dynamic increase in demand for wireless multimedia services has greatly accelerated the research on dynamic channel adaptation of high quality video applications. In this paper, we explore a theoretical approach to cross-layer optimization between multimedia and wireless networks by means of a quality criterion termed "visual throughput" for downlink video transmission using a layered coding algorithm. We obtain the optimal loading ratio of orthogonal frequency division multiple access (OFDMA) subcarriers through an optimization problem balancing the trade-off relationship between inter-cell interference (ICI) and channel throughput. In the simulation, we show that the visual throughput gain at the cell boundary is increased by about 32%.
-
In the field of mobile communications, load balancing between 3GPP LTE and other networks is expected to be an important topic from the perspective of current technical development. The load balancing technique includes the definition of functions and structures needed to incooperate 3G LTE E-Node B and base-stations designed for heterogeneous networks. The major goal attained from this paper is to ensure an algorithm for the mechanism of load balancing and to achieve a technical leading for the next generation mobile network.
-
Throughput and quality-of-service (QoS) over multi-cell environments are two of the most challenging issues that must be addressed when developing next generation wireless network standards. Currently, multiple-input/multiple-output (MIMO), inter-cell coordination and multi-hop relay technologies are viable options for improving channel capacity or coverage extension. Nevertheless, severe QoS degradation occurs in the outer region of multi-cells due to significant interference from neighboring cells or relay stations, thereby limiting overall performance. Therefore, we propose a scheme which adapted to hybrid relaying.
-
본 연구는 다중 안테나 배열 시스템을 응용한 고정 중계기가 적용된 상향 링크 셀룰러 환경에서 효과적으로 외곽 사용자의 QoS(Quality of Service)를 개선시키고 보다 높은 수준의 전체 데이터 전송률을 보장하는 기술을 제안한다.
-
Distributed localization algorithms are required for large-scale wireless sensor network applications. In this paper, we introduce an efficient algorithm, termed weighted neighbor-node distribution localization(WNDL), which emphasizes simple refinement and low system-load for low-cost and low-rate wireless sensors. We inspect WNDL algorithm through MATLAB simulation.
-
본 글에서는 국내 와이브로와 HSDPA 가입자를 대상으로 시장조사를 수행하고 가입자를 대상 시장조사를 수행하고 가입자 특성과 이용특성, 가입이유와 유보이유, 만족도와 세부 서비스별 이용경험, 이용의향을 심층적으로 분석하고자 하였다. 이에 본 조사를 바탕으로 국내 모바일 브로드밴드 사업을 위한 시장 확산의 전략적 방향을 제언하고자 한다.
-
This paper presents fractional frequency reuse (FFR) with sub-channel borrowing to improve spectral efficiency of the wireless broadband (WiBro) system. FFR has constraints on usable sub-channels to balance the interference and cell capacity. Our FFR with sub-channel borrowing allows use of the dedicated sub-channels assigned to neighboring cells. Simulation results show that the proposed FFR with sub-channel borrowing improves the performance of the WiBro system.
-
TD-SCDMA is considered as an innovative Chinese 3G technology adopted by the ITU for the IMT-2000 family. TD-SCDMA combines TDMA and CDMA components to provide more efficient use of radio resources. However, the downlink scheduler of TD-SCDMA should transmit data packets with the initial configuration and cannot change the configuration dynamically. Therefore, in this paper, we propose an enhanced channel allocation, by which mobile terminals can share the downlink channelization codes dynamically.
-
고속 무선 PAN(Personal Area Networks)기술은 10m 이내의 짧은 거리에서 고속으로 대용량의 데이터를 전송하기 위한 기술로, 최근 무선 USB(Wireless Universal Serial Bus)나 HDTV(High Definition TV)와 같은 광대역 멀티미디어 응용 시장을 겨냥한 연구가 IEEE 802.15.3과 WiMedia를 중심으로 활발히 진행되고 있다. [1] 본 논문에서는 WiMedia Alliance에서 제안한 Distributed Medium Access Control (D-MAC)에서 다수 스트림의 QoS 요구를 만족시키는 신뢰성 있는 전송을 위해 데이터 시간 슬롯을 효율적으로 할당하는 알고리즘에 대해 연구하고자 한다.
-
TD-SCDMA combines TDMA and CDMA components to provide more efficient use of radio resources. However, since the same frequency band is used in both the uplink and downlink, serious interference may occur if the base stations are not synchronized. The interference caused by different transmission directions between neighboring cells is called cross-slot interference. This paper proposes a data rate control algorithm that can decrease the cross-slot interference in TD-SCDMA.
-
TD-SCDMA is considered as an innovative Chinese 3G technology adopted by the ITU for the IMT-2000 family. TD-SCDMA combines TDMA and CDMA components to provide more efficient use of radio resources. According to the traffic volume and activity level, the operation mode of a mobile terminal can change from one state to other states. This paper focuses on the problem of recovery delay from inactive states, and proposes a resource management algorithm, which holds some resources for rapid recovery of service after the service determines to release the dedicated resources.
-
TD-SCDMA combines TDMA and CDMA components to provide more efficient use of radio resources, and includes a new feature called HSDPA (high-speed downlink packet access) in the R5 stage. The TD-HSDPA supports high-speed traffic with a shared channel, but the adopted mechanism causes feedback problem for scheduling. In this paper, we propose an improved mechanism that transmits channel quality indicator (CQI) more frequently, so that the TD-HSDPA scheduler can utilize all possible information about the downlink channel status.
-
TD-SCDMA combines TDMA and CDMA components to provide more efficient use of radio resources, and includes a new feature called HSDPA (high-speed downlink packet access) in the R5 stage. The TD-HSDPA supports high-speed traffic with a shared channel, but its uplink mechanism causes feedback problem for scheduling. Since the base station of TD-SCDMA can estimate the location of mobile terminals precisely, it can also estimate the possible path loss. In this paper, the base station utilizes the estimated path loss for scheduling, which is related with CQI (channel quality indicator) values. The proposed mechanism can provide the TD-HSDPA scheduler with an intial CQI value for efficient transmission.
-
According to current RRC procedures, network does not know either how long it will take the RRC reconfiguration message (such as Physical Channel Reconfiguration (PCR)/Transport Channel Reconfiguration (TCR)/Radio Bearer Reconfiguration (RBR)) to be transmitted over the source cell or how long the UE will take to reconfigure on receiving the message, it has to assume the worst-case. Thus, it typically indicates a conservative activation time, leading to potentially large interruption for voice traffic, particularly if the source cell signal strength has degraded. This proposals allow the UE to receive the serving cell related information (carried today in PCR/RBR/TCR message) in an Active Set Update message.
-
IEEE802.16e-based OFDMA system called WiBro is being serviced commercially. In WiBro system, the base station sends downlink(DL)-MAP information to all mobile stations in each cell. The DL-MAP information is repeated six times, modulated by QPSK, and coded by Convolutional Turbo Coding(CTC) with 1/2 code rate [1],[2]. As the number of mobile stations increases, the DL-MAP size also increases. In this paper, We investigate methods of power allocation and interference cancelation to reduce overhead of the DL-MAP.
-
Localization system is an important problem for Wireless Sensor Networks(WSN). Since the sensor nodes are limited, the range-based that uses the special device for localization is unsuitable in WSN. DV-Hop is one of the range-free localization algorithm using hop-distance and number of hop count. But Its disadvantage is that it spend large communication cost in scalable sensor nodes. We propose a simple algorithm to reduce the communication cost, using the smallest number of hop count.
-
In order to improve the performance and simplify the structure of the conventional detectors in high density magnetic channels, a new equalizer based on bilinear recursive polynomial (BRP) models, which uses the previously estimated sequence, is proposed. The performance is compared with the conventional equalizers and the maximum likelihood sequence detection (MLSD) bound.
-
Turbo equalizers which use MAP (maximum a posteriori probability) equalizer or MMSE (minimum mean square error) equalizer have shown high performance and adoptability [1], [2]. In this paper, we show that the BP (belief propagation) algorithm can also be applied in equalizer and when it is connected with channel code, it can replace the MAP equalizer with similar complexity and performance.
-
A cross-layer optimization is becoming a popular design methodology for the IP based next generation wireless network. We begin by investigating a cross-layer optimization scheme to enhance the system performance in wireless networks. By applying cross-layer optimization methodology to WiBro distributed network, the WiBro systems are expected to gain significant performance improvement and resource utilization enhanced. For further study we highlight some open challenges and new opportunities for cross-layer design.
-
In this paper, we propose adaptive search channel estimate algorithm. The proposed algorithm is modified LMS algorithm which has a variable step size and parallel convolution. In simulation result, a error estimate accuracy of the proposed algorithm is about -20 dB and general LMS algorithm is about 10 dB. The proposed algorithm is better error estimate accuracy than general LMS algorithm.
-
본 논문에서는 900MHz 대역의 RFID 시스템을 생산하는 대표적인 두 제조사의 제품을 선정하여 다양한 관점에서 성능을 측정하였다. 인식률 시험과 인식범위 시험으로 구분하여 8개 항목에 걸쳐 실험을 수행한 결과 A, B사 모두 태그부착 재질이 철재 및 알루미늄일 때, 태그가 겹쳐졌을 때, 태그 CHIP이 손상되었을 때 태그 인식이 되지 않았다. 그리고 시험환경 및 조건에 따라 다양한 인식률 변화에 대한 데이터를 도출 하였다.
-
We consider cooperative opportunistic beamforming that can mitigate the other cell interference (OCI) in correlated multi-user multiple-input single-output (MISO) cellular environments. By only exploiting the spatial channel information of adjacent cells, the proposed scheme generates the cooperative random beam that statistically avoids the OCI from adjacent cells. Each cell selects a user in an opportunistic manner. Thus, the proposed scheme can simultaneously achieve the multi-user diversity (MUD) gain and the OCI avoidance gain.
-
In orthogonal frequency division multiplexing based wireless system, a pilot signal is often employed to estimate channel state information (CSI). However, the received pilot signal is interfered by other cell interference in multi-cell environments. We consider the estimation of CSI by utilizing orthogonal preambles and channel correlation.
-
In ubiquitous environment, using of IPv6 address is essential. In this paper, we study about the network entry procedure based on IEEE 802.16 and discuss the mechanism of IP version negotiation during the procedure for IPv4/IPv6 dual stack service in WiBro.
-
본 논문에서는 이동통신 시스템이 진화됨에 따라 다양한 서비스의 출현과 사용자 수가 증가됨에 따라 무선망 설계 및 최적화 시에 필요성이 부각되고 있는 용량 분석 및 예측을 수행하기 위해 필요한 요소들을 기술하고 이러한 요소들을 고려하여 구현된 용량 분석 시뮬레이터에 대하여 기술 한다
-
A new equalization method for perpendicular magnetic recording channels is proposed. The proposed equalizer incorporates the Gaussian sum approximation into a Kalman filtering framework to mitigate inter-symbol interference in perpendicular magnetic recording systems. The proposed equalizer consists of a bank of linear equalizers using the Kalman filtering algorithm and its output is obtained by combining the outputs of linear equalizers through the Gaussian sum approximation.
-
In this paper, we propose Adaptive Group Separation(AGS) algorithm for efficient RFID system. AGS algorithm determines the optimized initial prefix size m, and divides the group of ��
$2^m$ . A reader requests the group and searches the tag ID. If a tag collision occurred, reader adds a one bit, '0' or '1' at first bit of collision point. As a result, we observe that transmitted data bits and the recognition time are decreased. -
In this paper, we present an algorithm which decrease a frequency offset effect for 868MHz IEEE 802.15.4b LR - WPAN (Low Rate - Wireless Personal Area Network) receiver. The proposed method improve the robustness to frequency offset and receiver's stability using the multiple delay differential filter of receiver correlator.
-
In this paper, the performance of DSSS OQPSK architecture for 868/915MHz LR-WPAN(Low-Rate Wire less Personal Area Network) is analyzed. Since the frequency offset of
${\pm}40ppm$ on 868/915MHz band is recommended in IEEE 802.15.4 LR-WPAN specification. it is required to have a non-coherent detection that is stable operation in the channel environment with large frequency offset is required. -
Characterization of Wireless Feedback Channels Based on Sounding Measurements on a Side of a HighwayIn this paper, we measured the wireless feedback channel near a highway, and analysis results from the measured sample data are described. For characterizing the channel properties, W-CDMA signal of 2GHz frequency, 5MHz bandwidth and 10ms period are used. The scattering function, delay power profile, delay spread, and Doppler spread are measured.
-
In this paper, we propose a mitigation method to reduce the effect of pilot interference at the wireless repeater. This improves the signal to interference ratio (SIR), and enables increased cell capacity and better bits error rates (BER) performance in the WCDMA systems. Simulation studies have been carried out to verify the proposed approach and we obtained promising results.
-
In this paper, we propose a novel D-ATR (Differential-Average Transmitted Reference) scheme for UWB (Ultra Wide Band) based on impulse radio. The proposed scheme utilizing differential coding at transceiver, does not cause half loss of data rate unlike the conventional TR (Transmitted Reference) and ATR (Average TR) systems which transmit additional reference signals. In addition, the proposed scheme may provide improved BER (Bit Error Rate) performance by averaging the received reference signals like the conventional ATR. The proposed D-ATR receiver produces the averaged reference template by considering both the detected data bit and the differential coding rule.
-
This paper try to show that the method for maintaining link stability by fast reporting the status PDU to RLC layer in MAC layer. The proposed method is implemented based on the mechanism of reordering processing in MAC-ehs entity in HSPA evolution system.
-
Ad hoc network is a multi-hop wireless network formed with non-infrastructure. The fact that limited resource could support the network of robust, simple framework and energy conserving etc. In this paper, we propose a new ad hoc multicast routing protocol for based on the ontology scheme called inference network. Ontology knowledge-based is one of the structure of context-aware.
-
본 논문은 송전선로 감시 시스템에 관한 것으로, Koinonia V2.0 무선 모뎀을 활용한 Dual Channel Repeater를 이용해서 송전탑에 장착된 센서들로부터 수집한 데이터를 모니터링 서버까지 전달하는 무선 릴레이 시스템에 관한 연구이다.
-
효율적인 유통 물류매장 서비스를 지원하기 위해서는 RFID 미들웨어의 상태나 성능이 유동적인 환경에 대비하여 리소스의 안정적인 분배를 지원하는 환경이 필요 하다. 본 논문에서는 실제 산업 현장의 현실을 감안하여 소프트웨어 최소 요구사양으로 미들웨어 구성을 제시하는데, 필요한 정보를 제공하는데 그 목적을 두었다.
-
In this paper, we propose a new frequency offset estimation algorithm for DBO-CSS which is a standard for wireless personal area network (WPAN). In DBO-CSS, there can be several integer multiples of
$2{\pi}$ in the phase rotation caused by the frequency offset because of the long time difference between the samples of differential relation and the high permissible frequency offset of the crystal oscillators between the transmitter and the receiver. In this paper, we propose an estimation algorithm by using the relationships of each sub-chirp signals to find the integer part without phase ambiguity. -
CSS(Chirp Spread Spectrum) technology adopt SDS-TWR algorithms of TOA(Time of Arrival) using velocity of specific medium and ToF(Time of Flight) to measure a distance, but this method always has a regular error on distance regardless of a real distance, as a result, in far distance, it decrease a error on distance relatively, but in near distance, it increase a error on distance relatively. in this paper, we propose and test new method measuring a distance more precisely in near distance using CSS and RSSI
-
This study implemented the LED lighting control system using Zigbee Communication. We designed a desk lamp using LEDs. The PWM signals control LEDs using LED drivers. A desk lamp received PWM signals using Zigbee protocol to control LED Color, Color temperature and brightness. Zigbee protocol that have such several advantages to existent Home network system can upgrade more interface dimension.
-
The telecom world is steadily converging with the IP world, the benefits of converged services are required by many traditional telecom users. The aim of this thesis is to study the functional architecture of NGN based converged service. This thesis also shows how the converged service could be implemented on NGN with IMS core architecture.
-
무선 통신 기반의 유비쿼터스 센서네트워크에서는 현재까지 신뢰성 보장에 대해서는 거의 언급되거나 논의되지 않았던 영역이다. 하지만 센서네트워크의 서비스 확대를 위한 어플리케이션을 찾고자 할 때 가장 중요하게 생각되 어지는 것이 바로 신뢰성이 된다. 이 신뢰성이 확보된다고 하면 신뢰성이 바탕이 되는 기존의 인터넷의 바탕의 서비스가 USN에 침투되기도 용이하며 더불어서 신뢰성이 바탕되는 새로운 서비스의 추가도 USN을 사용하던 도중에도 가능해 진다. 이에 본 논문에서는 센서 네트워크에서의 신뢰성의 의미를 알아보고 이를 개선하기 위한 연구 노력들을 살펴본다. 끝으로 향후 의미 있는 연구 방향에 대해서 소개한다.
-
GPS which measured user's location information outdoors should be of poor sensitivity because of interference and jamming of different electromagnetic waves. Specially, it has a defect of low received rate in high-rise urban where reflection and refraction of satellite signal go well. In this paper, I propose user location information sharing modeling of both vehicle and mobile phone using bluetooth in urban.
-
A horn antenna having dual-band and dualpolarization operation is proposed. To implement a small-sized structure providing circular polarizations in the Ka- and K-band, the antenna is designed by using the horn radiator on the basis of a conical helix which is exited by two ports for TX and RX in both ends. Through a design optimization process, a compactdual-band and dual-polarized horn antenna having high gain and high isolation performance was realized.
-
With the role of cell phones in today's society as a digital personal assistant as well as the primary tool for personal communication, it is possible to imagine the involvement of cell phones in almost any type of crime. The progression of a criminal investigation can hinge on vital clues obtained from a cell phone. This paper will be concentrated on CDMA system phones and focus on the data extraction for cell phone forensics. Especially, the data acquisition method of JTAG interface access to memory chip will be covered.
-
By using DM(Diagnostic Monitoring) data measured at WiBro network, automatic optimization function of WiBro network is implemented in this paper. The optimization function mentioned is able to be run on PC with 2GHz CPU and 1 GB memory. Automatic optimization function is one module of CellTREK that is a wireless network planning and optimization software developed by Infra Lab., KT.
-
In this paper, propagation analysis method in using Walfish-Ikegami propagation model in wireless cell planning is proposed. Through Walfish-Ikegami model, we can predict the distribution of propagation loss of the received signal. For correct and low complex analysis, quick LOS search method and path loss offset calibration using measured data are included in Walfish-Ikegami model. In CellTREK that is developed by KT, it is showed that the proposed model outperforms Modified HATA model when comparing with measured data in Wibro system.
-
This paper presents a novel design of the printed hyperpolar-transformed Sierpinski Carpet (HSC) antenna. By hyperpolar transforming the Sierpinski carpet geometry, from isotropic scaling symmetry to equiangular scaling symmetry, we get improved performance rather than that of the general Sierpinski Carpet antenna. The design parameter and performance of the proposed monopole antenna are investigated by simulation. And we showed that proposed HSC geometry gives more freedom for wideband antenna design such as flare angle, (angular)scale factor.
-
A conductivity of soot at microwave frequency is presented using a novel technique for complex permittivity of materials. The method overcomes limitations of conventional methods which are cavity perturbation and transmission/reflection method. Resonant frequencies and Q factors are measured and simulated for the cylindrical cavity, and they are compared to each other. Similar material property of both real material and simulation material produce similar values of resonant frequency and Q factor. The complex permittivity of material can be determined by simulating the cavity to change material property until the simulation results are nearly the same as the measurement results. Cylindrical cavity has been realized for measurement at 880 MHz, and conductivity of soot is measured. A sample was made by depositing the soot on the glass. The proposed method shows that the conductivity of soot is 11 S/m.
-
A measurement method of the dielectric constant of materials whose standard sample is not prepared easily is proposed. The unprepared sample of the material is placed in a cavity, and the resonant frequency is measured. A commercial software simulates the same sample and the cavity leading to find the correct dielectric constant. The measured samples include a ceramic, a forced glass, and a powdered enamel.
-
We analyzed the structural parameters of a guiding coil and a feeding loop for wireless power transfer in mid-range. The length, diameter, and number of turns of the guiding coil are the major factors to determine the resonant frequency. The separation distance between the coil and the loop also affects the power transfer ratio. This scheme has a greater transmission efficiency than using dipoles.
-
We investigate the characteristics of a dipole antenna on an artificial magnetic conductor (AMC) constructed of a normal material and a ground plane. We studied how the antenna performance is affected by changes in the dipole length and the distance between the dipole and the ground plane. The relation between the reflection phase of the AMC and the input resistance of the dipole for input impedance matching is also verified.
-
Average throughput estimation of EV-DO forward link is implemented on the basis of the serving coverage area per sector instead of time consuming and complicated Monte Carlo simulation. For the implementation of this analysis function in cell planning tool,
$CellTREK^{(R)}$ , developed by KT, both SNR vs. DRC mapping table and receiver sensitivity are suggested as the satisfying criteria to be satisfied above each threshold level simultaneously. -
In this paper, parametric analysis of Fresnel region antenna measurement method has been performed for the square aperture having the uniformly distributed current. The optimum number of Fresnel region field and the tolerable distance between two antennas have been guided. This parametric analysis could be fully utilized when performing Fresnel region antenna measurement method. Other types of current distribution and aperture shape will be investigated in the near future
-
Security weakness in PLC network can be made up for by authentication and management scheme of PLC modules introduced in this paper. Each PLC module must pass the authentication procedure to work normally in PLC network as soon as being installed in the spot. Based on this scheme, all PLC devices are registered, certified, and managed automatically in central control center - AMR server, authentication server, NMS server, and DB server.
-
Effect of the pin radius on the radiation characteristics of a patch antenna with a pin array inserted between a patch and ground plane is investigated. As the pin radius increases, the resonant frequency increases and the radiation intensity in the horizontal plane decreases. However, the radiation intensity in the horizontal plane increases for the pin radius larger than a certain value.
-
In this paper, we propose a micro payment service based on Open API (Payment & Account Management API). To support a business model that enables operators to offer integrated billing, a payment and an account management API is crucial. This service is based on the architecture of Parlay X web services[1, 2]. We described the network architecture and the logic procedure for a micro payment service.
-
As broadband wireless access systems are widely accepted, VoIP service over the wireless network is being requested. Because previous VoIP implementations are designed to provide service over wired network, they does not consider security problem sufficiently that is one of the most vulnerable aspects of wireless communication. Therefore, this paper describes how to implement secure VoIP service over wireless network with minimum overhead.
-
Video quality is degraded by codec and transmission error. By analyses video pattern through NR(no reference) method, we get to aware that telephony video has particular blocking and blurring pattern and NR is suitable for telephony video quality testing. So NR will make contribution to enhancing video quality and monitoring video quality in advance.
-
Multi-Inernal Division Localization Algorithm by Edge Information for Indoor Wireless Sensor NetworkLocalization algorithms are required for indoor sensor network applications. In this paper, we introduce an efficient algorithm for low complexity and high accuracy, termed multi-internal division localization(MID), which emphasizes simple refinement and low system-load for low-cost and low-rate wireless sensors. We inspect MID algorithm through MATLAB simulation.
-
The study purposes to analyze features and structures of Digital cable and IPTV service and to suggest how to survive in the competition. Since the two media provide their service to general subscribers, the study also tries to suggest how they cooperate one another without a cutthroat competition.
-
CAS(conditional Access System) is required for contents access such that only paid subscribers can watch channels in broadcast systems. In this paper, we design the CI(Common-Interface) module and implement a Multicrypt one of DVB scenario for interoperability. we use PADS2005 CAD tool for design and integrate the developed CAS into a STB(Set-Top-Box) on PCB board.
-
The DMB standards allow to transmit IP data on IP Tunneling. It is able to DMB data localcasting services using technologies as assigning IP address, encapsulating IP packets, guiding IP data service and, exchanging IP packet at the local area. As the result of that, we can have good experiences as rich and localized multimedia on the DMB networks.
-
In this paper, we consider wireless sensor networks with hard energy constraint, where each node is powered by a small battery. Under this hard constraint, reducing energy consumption is the most important design consideration for wireless sensor networks. Energy saving and control is an important issue, involved in the design of most sensor nodes. In this context, we focus on physical layer design where energy constraint problem can be modeled as an optimization of transmission modulation scheme[1]. Specifically, our analyses are based on energy control schemes that are relative to physical layer design on upper bound SEP MPSK in AWGN channels.
-
Kim, Yeong-Sam;Jeong, Jin-Doo;Yun, Sang-Hun;Jang, Seong-Hyeon;Jeong, Man-Hee;Oh, Dae-Gun;Chong, Jong-Wha 373
This paper proposes an architecture for high-speed data processing of the DF-DPD. The DF-DPD have the architecture feedbacking the detected phase to reduce the noise of the previous symbol as phase reference. However, the feedback of the detected phase results in lower data processing speed than that of the conventional differential phase detection. In this paper, an architecture is proposed for high-speed data processing of the differential phase detectors with decision feedback in the DF-DPD. -
Morphology is one of important issues when developing a layer of CVD-W. we need to control the process more precisely that is filling gaps between BL(bit line)and DC(direct contact). Whereas we are facing to difficulties like not-filling contacts due to marginal problems in deposition and etching process. This paper is for investigating a method to resolve morphology problem with strengthening the condition of seasoning.
-
This paper presents a wafer-level package using a Dry Film Resist(DFR) for RF MEMS devices. Vertical interconnection is made through the hole formed on the glass cap. Bonding using the DFR has not only less effects on the surface roughness but also low process temperature. We used DFR as adhesive polymer and made the vertical interconnection through Au electroplating. Therefore, we developed a wafer-level package that is able to be used in RF MEMS devices and vertical interconnection.
-
We investigated the properties of DSSC by
$TiO_2$ -blocking layer formed at several annealing temperatures. At$300^{\circ}C$ and$600^{\circ}C$ anatase and rutile phase of$TiO_2$ were formed, respectively. The characteristics of DSSC was improved by blocking layer annealed at$600^{\circ}C$ . -
In this paper, we extract interface trap density with treatment of gate dielectric of OTFT's. Interface trap densities in this paper were extracted from transfer curves. We obtained interface trap densities in pentacene / PVP interface Non-treated device has
$1.4{\times}10^{12}cm^{-2}eV^{-1}$ Dit and treated device has$1.1{\times}10^{12}cm^{-2}eV^{-1}$ Dit. -
Reducing the PR(Photoresist) dispense Rate is one of the important issues in Photolithography. It is a main concern that variation in PR dispense rate and existance of microbubble. so we need to measure the photoresist dispense rate more precisely. This paper presented a noble sensor of measuring the PR dispense and detecting the microbubble.
-
In this paper, we have designed and fabricated hyperabrupt varactor diodes. Capacitance variations of hyperabrupt-doped varactor diodes are larger than those of uniform-doped varactor diodes. The measured reverse breakdown voltage of the fabricated varactor diodes was about 20 V. For the anode contact diameter of
$50\;{\mu}m$ , the maximum capacitance of the fabricated varactor diode was 2.1 pF and the minimum capacitance 0.44 pF. Therefore, the$C_{max}/C_{min}$ ratio was 4.77. Also, for the anode contact diameter of$60\;{\mu}m$ , the maximum and minimum capacitances were 2.9 and 0.62 pF, respectively. And, thus, the$C_{max}/C_{min}$ ratio was 4.64. -
The dependence of
$f_T$ and$f_{max}$ on the unit finger width is measured and analyzed for$0.13{\mu}m$ MOSFETs. The increase of$f_T$ at narrow width is attributed by the parasitic gate-bulk capacitance, and the decrease of$f_T$ at wide width is generated by the reduction of increasing rate of$g_{mo}$ . The increase of$f_{max}$ at narrow width is originated from the abrupt reduction of gate resistance due to the non-quasi-static effect. These analysis results will be valuable information for layout optimization to improve$f_T$ and$f_{max}$ . -
A SPICE-based 3-dimensional circuit model of Light-Emitting Diode(LED) was modified include the reverse breakdown properties. The new model is found to be accurate to study the failure mechanisms of LEDs under electrostatic discharge (ESD) and electronic overstress (EOS). It was found that the permanent damages under heavy reverse stress is mainly due to the high electric field strength in P-GaN layer.
-
Extraction of Substrate Resistance in MOSFET Through DC Base Resistance Measurement of Parasitic BJTThis paper presents a new method to extract the substrate resistance by fitting current-dependent base resistance of parasitic BJT without a complex RF extraction method. The extracted substrate resistance values using the new method match well with those using the RF one, verifying the accuracy of the proposed DC technique.
-
Cho, Seong-Jae;Park, Il-Han;Lee, Jung-Hoon;Son, Young-Hwan;Lee, Jong-Duk;Shin, Hyung-Cheol;Park, Byung-Gook 395
There is difficulty in predicting the program efficiency of NOR type nonvolatile memory device adopting channel hot electron injection (CHEI) as program operation mechanism accurately since MOSFET on SOI has floating body. In this study, the dependence of program efficiency for SOI nonvolatile memory device of 200 nm channel length on SOI depletion conditions, partial depletion and full depletion, was quantitatively investigated with the aid of numerical device simulation [1]. -
종래의 MID 기술을 마쯔시타 전공의 독자적인 기술을 심화 확충하여, 차기 시장이 요구하는 "소형 고성능 고 신뢰성의 3차원 실장 Device"를 개발하였으므로 아래와 같이 보고 한다. MIPTEC이란, "Microscopic Integrated Processing Technology"로 마쯔시타 전공의 기술 브랜드를 말한다.
-
This paper presents a new architecture of unified IP/IT/IQ/MC circuit for H.264 decoder based on operation sharing and efficient scheduling. The resultant circuit based on the proposed architecture uses only 12 adders and 1 multiplier. We further reduced the circuit size by sharing buffers. Our circuit consists of 47,810 gates and operates at the maximum operating frequency of 117MHz with 130nm standard cells.
-
This paper presents an efficient architecture of unified transform and quantization circuit for H.264/JPEG CODEC. The proposed unified transform circuit shares adders required for all transform operations. The proposed unified quantization circuit uses four multipliers. Our transform circuit and quantization circuit consist of 33,711 gates and 9,650 gates respectively. The maximum operating frequency is 100MHz with 130nm standard cells.
-
In this paper, a new 4-way search window is developed for H.264 Motion Estimation(ME) to improve the memory bandwidth. The proposed 4-way(up, down, left, right) search window could improve the reuse of overlapped window data to reduce the redundancy access factor by 1.4, though the 1/3-way search window requires
$7.7{\sim}11$ times of data retrieval redundantly. In experiments, the new implementation of 4-way search window on Altera Stratix-III could deal with CIF ($352{\times}288$ ) video of 3 reference frame,$48{\times}48$ search area and$16{\times}16$ macroblock by 30fps real time at 55.2MHz. -
This paper presents a high performance 2D PE architecture for H.264 Motion Estimation(ME). While existing 2D PE architectures reuse the overlapped data of adjacent search windows scanned in 1 or 3-way, the new architecture scan adjacent windows and multiple paths instead of single raster and zigzag scanning of adjacent windows in 4 way(up,down,left,right). By reducing the redundant access factor by 1.4, the new 4-way search window improve the memory bandwidth by 70-58% compared with 1/3-way search window. With Altera Stratix-III implementation, the high performance 2D PE architecture deals with SD (
$720{\times}480$ ) video of 2 reference frame,$48{\times}48$ search area and$16{\times}16$ macroblock by 30fps at 97.1MHz. -
This paper presents a synchronous pipeline design for IP-based H.264 decoding system. The first optimization for pipelining aims at efficiently resolving the data dependency due to motion compensation/intra prediction feedback data flow in H.264 decoder. The second one would enhance the efficiency of execution per each pipelining stage to explore the optimized latency and stage number. Thus, the 3 stage pipeline of CAVLD&ITQ|MC/IP&Rec.|DF is obtained to yield the best throughput and implementation. In experiments, it is found that the synchronous pipelined H.264 decoding system, based on existing IPs, could deal with Full HD video at 125.34MHz, in real time.
-
This paper presents nonlinear and parallel design for synchronous pipelining in IP-based H.264 decoder implementation. Since H.264 decoder includes the dataflow of feedback loop, the data dependency requires one NOP stage per pipelining latency to drop the throughput into 1/2. Further, it is found that, in execution time, the stage scheduled for MC is more occupied than that for CAVLD/ITQ/DF. The less efficient stage would be improved by nonlinear scheduling, while the fully-utilized stage could be accelerated by parallel scheduling of IP. The optimization yields 3 nonlinear {CAVLD&ITQ}|3 parallel (MC/IP&Rec.)| 3 nonlinear {DF} pipelined architecture for IP-based H.264 decoder. In experiments, the nonlinear and parallel pipelined H.264 decoder, including existing IPs, could deal with full HD video at 41.86MHz, in real time processing.
-
Hong, Seung-Hyun;Lee, Mun-Kyo;Lee, Sang-Jin;Baek, Tae-Jong;Han, Min;Baek, Young-Hyun;Choi, Seok-Gyu;Rhee, Jin-Koo 411
The high performance 94 GHz MMIC(Monolithic Micro-wave Integrated Circuit) single balanced mixer was designed and fabricated, using MHEMT structure based diodes and a CPW(Coplanar Waveguide) tandem coupler. A novel single-balanced structure of diode mixer is proposed in this work, where a 3-dB tandem coupler with two section of parallel-coupled line. Implemented air-bridge crossover structures achieve wide frequency operation and the fabricated mixer exhibits excellent LO-RF isolation, larger than 30 dB, in the 5 GHz bandwidth of 91-96 GHz. A good conversion loss of 7.4 dB is measured at 94 GHz. The proposed MHEMT-based diode mixer shows superior LO-RF isolation and conversion loss to those of the W-band mixers reported to date. -
A 2.4 GHz low phase noise fully integrated LC voltage-controlled oscillator (VCO) in
$0.18\;{\mu}m$ CMOS technology is presented in this paper. The VCO is optimized based on phase noise reduction. The design of the VCO uses differential varactors which are adopted for symmetry of the circuit, and consider AM-PM conversion due to a cross-coupled pair. The VCO is designed to draw 3 mA from 1.8 V supply voltage. Simulated phase noise is -137.3 dBc/Hz at 3 MHz offset. The tuning range is found to be 300 MHz range from 2.3 GHz to 2.6 GHz. -
We demonstrate a simple method to multiply the repetition rate of an optical pulse train by a fiber Fabry-Parot interferometer (FFPI) spectral filtering. A stable 40 GHz pulse train at 1550 nm is successfully generated by removing unwanted spectral components of a 10 GHz actively mode-locked laser source by passing a high finesse FFPI.
-
A quadrature voltage controlled oscillator(QVCO) with MOS-varactors has been fabricated for X-band applications. The QVCO consists of two cross -coupled differential cores and buffer amplifiers, which has fabricated in TSMC
$0.18{\mu}m$ CMOS process. The QVCO exhibits a frequency tuning range from 8.38 GHz to 10.62 GHz. The phase noise is -88 dBc/Hz at 1 MHz-offset frequency. The total bias current is 25 mA including four buffer amplifiers. -
A power amplifier(PA) has been designed for 900 MHz-band applications. The PA consists of a single-ended CMOS amplifier which has
$0.18{\mu}m{\times}64{\times}6$ gate width. The PA has been designed using$0.18{\mu}m$ CMOS process. At 900 MHz, the PA exhibit an output power of 20.8 dBm and a power-added efficiency(PAE) of 58.4 % with 22.2 dB power gain. -
In this paper, CMOS RF front-end circuits for an L1/L5 dual-band global positioning system (GPS)/Galileo receiver are designed in
$0.13\;{\mu}m$ CMOS technology. The RF front-end circuits are composed of an RF single-to-differential low noise amplifier, an RF polyphase filter, two down-conversion mixers, two transimpedance amplifiers, a IF polyphase filter, four de-coupling capacitors. The CMOS RF front-end circuits provide gains of 43 dB and 44 dB, noise figures of 4 dB and 3 dB and consume 3.6 mW and 4.8 mW from 1.2 V supply voltage for L1 and L5, respectively. -
The procedure of design and verification for a 16-bit RISC processor is introduced in this paper. The proposed processor has Harvard architecture and consists of 24-bit address, 5-stage pipeline instruction execution, and internal debug logic. ADPCM vocoder and SOLA algorithm are successfully carried out on the processor made with FPGA.
-
본 논문에서 설계한 802.11 MAC(Medium Access Control)은 하드웨어와 소프트웨어의 통합 구조로 되어 있다. MAC에서 가장 빠르게 동작해야 하는 프레임 전송과 수신블록은 하드웨어로 설계를 하였고, 그 외에는 소프트웨어로 설계가 되었다. 하드웨어로 설계된 MAC은 802.11 표준문서에 포함된 SDL(Specification and Description Language)을 기초하여 설계하였으며, 성능 향상을 위하여 수신블록의 중복 프레임 검사를 수행하는 블록과 프레임을 분석하여 정보를 추출하는 블록을 SDL과 다르게 설계 하였다. 삼성 0.35공정 라이브러리를 이용하여 합성한 결과 3만 게이트의 크기를 갖으며, 최대 동작 주파수는 100MHz이다. 메모리는 47Kbits SRAM을 사용하였다. 실제동작의 검증에 앞서 Mentor Graphics사의 ModelSim을 이용하여 시뮬레이션을 수행하였으며, 동작 검증은 Huins 사의 Altera Excalibur FPGA가 탑재된 XP8000 보드를 이용하여 이루어 졌다.
-
본 논문에서는 IEEE 802.11 MAC Layer의 Reception, Transmission 검증을 위해 PLI (Programing Language Interface)를 이용한 방법을 제안한다. PLI를 이용한 검증은 시스템 Level의 검증으로써 설계단계에서 문제점을 확인하고 수정할 수 있다. 그러므로 불필요한 개발비의 낭비를 줄일 수 있고 개발 기간 단축의 효과를 거둘 수 있다. 검증을 위해 Mentor Graphics 사의 HDL (Hardware Description Language) 시뮬레이터인 Modelsim 6.1g Version을 사용하고 PLI를 이용하여 검증 환경을 구축한다.
-
FFT(Fast Fourier Transform)는 멀티미디어 통신 및 디지털 신호처리 분야, 특히 무선통신이나 디지털 방송 등에서 쓰이는 OFDM(Orthogonal Frequency Division Multiplexing)에서 필수적인 역할을 하고 있다. 본 논문에서는 파이프라인 FFT 프로세서 설계의 다양한 알고리즘 및 하드웨어 구조에 대해 살펴보고 이를 한 눈에 파악할 수 있는 설계 가이드라인을 제시한다. 또한 분석 중 Radix-2 Single-path Delay Feedback의 복소곱셈기의 비효율적인 면을 찾고 새로운 R2SDF 구조를 제안한다.
-
We propose a DVS technique for multiprocessor systems considering the energy consumed when translating voltage. We schedule periodic applications on two identical processors throughout a three-stage process; firstly, the computation energy consumption is minimized then the number of voltage translations is minimized. Finally, the result is compared with the schedule with no voltage translation and the one with smaller energy consumption is chosen. Overall, 10.6% energy reduction was achieved.
-
In this paper, we present an improved design of the conventional ARM7 processor. It is based on the flip-flop to improve the pipeline performance of the processor. Also for improving the performance, the optimization of functional blocks and a multiplier is carried out. According to the experimental results, the maximum delay-time of functional blocks and the execution cycle of a multiplier is reduced by 33% and 2 cycles compared with a conventional design, respectively. Therefore, it leads to improve an operation speed about 30%.
-
This paper presents a dual band frequency synthesizer for GSM and Wideband CDMA which is designed in a standard 0.13um CMOS 1P6M process. The shared components include phase frequency detector (PFD), charge pump (CP), loop filter, integer frequency divider(128/129 DMP, 4bit PC, 3bit SC) and Low noise Ring-VCO. A high-speed low power dual modulus prescaler is proposed to operate up to 2.1GHz at 3.3V supply voltage with 2mW power consumption by simulation. The simulated phase noise of VCO is -101dBc/Hz at 200kHz offset frequency from 1.9GHz.
-
This paper proposes a 8th-order single loop band-pass sigma-delta modulator that satisfies a wide bandwidth of 6MHz, which is required for a HDTV application. The proposed architecture is based on a simple analog structure that enlarges the noise shaping with a low OSR. In addition, a feedforward scheme is used to relax op-amp performance requirements. The proposed modulator has been simulated using the 0.18um 1.8v TSMC technology. The simulation results show that the bandwidth is 6MHz and SNQR is 70dB.
-
A high-speed DCO is proposed that uses the negative-skewed delay scheme. The DCO consists of a ring of inverters with each PMOS transistor driven from the output of 3 earlier stage through a set of minimum-sized pass-transistors. The digitization of negative-skewed delay is achieved by selecting pass-transistors turned on and digitizing the gate voltages of the selected pass-transistors. The proposed 7-stage DCO has been simulated using 1.8V,
$0.18\;{\mu}m$ TSMC CMOS process to obtain a resolution of 3ps and an operation range of 2.88-5.03GHz. -
In this paper a Fractional-N frequency synthesizer is designed for UHF RFID readers. It satisfies the ISO/IEC frequency band
$(860{\sim}960MHz)$ and is also applicable to mobile RFID readers. It is designed using a$0.18{\mu}$ RF CMOS process. The measured results show that the designed circuit has a phase noise of -103dBc/Hz at 100kHz offset and consumes 9mA from a 1.8V supply. The channel switching time of$10{\mu}s$ over 5MHz transition have been achieved, and the chip size including PADs is$1.8{\times}0.99mm^2$ -
A double-balanced frequency up-converter using the Gilbert cell structure has been designed with the TSMC
$0.18\;{\mu}m$ CMOS library. The frequency up-converter consists of a Mixer core and IF / LO balun. Frequency Up-converter exhibits a 3.4 dB conversion gain with a - 7.6 dBm$P_{1dB}$ for IF power of -10 dBm and LO power of 0 dBm inputs. It also exhibits 92.2 % modulation depth as a ASK modulator. -
With the semiconductor industry evolving into the deep sub-micron (DSM) era, the crosstalk effects on interconnect lines of a chip have increasingly caused a major bottleneck for design closure. In this paper, we propose an effective design guide line to reduce cell area overhead without crosstalk noise violations by using crosstalk prevention flow with clock shielding.
-
This paper presents a low-complexity design and implementation results of a multi-input multi-output (MIMO) orthogonal frequency division multiplexing (OFDM) symbol detector for high speed wireless LAN (WLAN) systems. The proposed spatial division multiplexing (SDM) symbol detector is designed by HDL and synthesized to gate-level circuits using 0.18um CMOS library. The total gate count for the symbol detector is 238K.
-
In this paper, we present the design of a system on a chip(SoC) based on Powerline Communication for Power-IT. The SoC deals with power information obtained from analog to digital converter and transmits this data via powerline. We integrate main processor, ADC and PLC function into a chip. Also a FPGA-based emulation system is introduced to evaluate a proposed SoC architecture.
-
In this paper we describe a multi-module, multi-port memory design procedure that satisfies area and/or energy constraints. Our procedure uses ILP models to determine (a) the memory configuration with minimum area, given the energy bound, (b) the memory configuration with minimum energy, given the area bound. If we have a margin in time constraint, we break up conflict edges and expend the search space of ILP. This method effectively reduces area and power of the designed results.
-
Modern VLSI designs get increasingly complex and time-to-market constraints get tighter. Using high level languages is one of the most promising solutions for improving design productivity by raising the level of abstraction. In high level synthesis process, most important step is scheduling. In this paper, we propose fast and efficient scheduling method under timing constraint based on list scheduling. Experimental results on well known data path intensive designs show fast execution times (less than 0.5 sec) and similar results when compared to optimal solutions [1].
-
New CMOS ROM cell is reported in this paper, distinguished from conventional ones in that it can be re-programmed by multi-times. It uses the comparator offset as the physical storage quantity and the MOSFET FN stress effect for offset programming. It demands very low offset for read, and works well in very low voltage. It can become a promising ROM solution for various SoC systems.
-
This paper presents an output common mode current compensation method to achieve both constant Gm and constant gain. A conventional rail-to-rail CMOS op-amp with constant Gm was designed by using complementary differential input stage and current compensation skills. But it doesn't operate constant gain, because of output resistance variation. With
$0.18{\mu}m$ CMOS process, the simulation results show that the differential gain variation can achieve less than 1.3dB. And a 60dB gain, a 13.5MHz unity gain-frequency, and 1mW power consumption, when operating at 1.8V and 10pF load. -
In this paper, a 10Gbps clock and data recovery circuit is designed in
$0.18{\mu}m$ CMOS technology. The circuit incorporates a multiphase LC oscillator, a quarter-rate Bang-Bang phase detector, a charge pump and a second order loop filter. The simulation results show that the designed circuit has a peak-to-peak clock jitter of 4.2ps and a peak-to-peak recovered data jitter of 8ps while consuming about 80mW from a 1.8V supply. -
An elaborate Simulink model for a nonredundant 10bit 20MSPS SAR ADC is proposed to verify its algorithm and to consider the real transistor-level issues of analog blocks. Some electrical trade-offs and power issues are considered.
-
Song, Ki-Nam;Park, Hyun-Il;Lee, Yong-An;Kim, Hyoung-Woo;Kim, Ki-Hyun;Seo, Kil-Soo;Han, Seok-Bung 463
As the demands of LCD and PDP TV are increasing, the high performance HVICs(High Voltage Gate Driver ICs) technology is becoming more necessary. In this paper, we designed the HVIC that has enhanced noise immunity and high driving capability. It can operate at 500KHz switching frequency and permit 600V input voltage. High-side level shifter is designed with noise protection circuit and schmitt trigger. Therefore it has very high dv/dt immunity, the maximum being 50V/ns. The HVIC was designed using$1{\mu}m$ BCD 650V process and verified by Spectre and PSpice of Cadence inc. simulation. -
This paper shows analysis of digital correction of pipelined ADC. Sub-ADCs have some margin because of digital correction so, it can be reduced bias current for minimizing power dissipation.
-
In this paper, a logic family, the transmission gate CMOS(TG CMOS) is proposed, which combines the transmission gate and pass transistor resulting in a different configuration from traditional full CMOS. In the simulation, basic cells comprising this logic are designed and their dynamic responses are analyzed. The simulation shows their performance is exceeding that of conventional full CMOS.
-
전하 펌프는 위상 고정 루프의 성능에 영향을 준다. 전하 펌프 설계에 있어서 전류 부정합, 전하 공유, 전하 주입, 누설 전류 등을 고려할 필요가 있다. 본 논문에서는 기존의 고속 전하 펌프의 전류 정합성을 개선한 새로운 전하 펌프 회로를 제안하였다. 전류 부정합을 줄이기 위해 주로 사용되는 간단한 방법으로는 캐스코드를 이용하여 전하 펌프의 출력 저항을 증가시키는 방법이 있다. 그러나 캐스코드 방법을 사용하면 전하펌프의 출력 범위에 제약을 받게 되므로 전원 전압이 낮아짐에 따라 적용하기가 힘들어진다는 단점이 있다. 따라서 본 논문에서는 캐스코드를 적용하지 않고 연산증폭기를 사용하여 전하펌프의 출력 범위 전체에서 우수한 전류 정합 특성을 갖는 회로를 제안하였다.
-
This paper presents a charge pump used in frequency synthesizer for PHS application. The up/down current mismatch of charge pump has a critical effect on the phase noise and spur performance in frequency synthesizer. Therefore, the mismatch compensation scheme is proposed in this paper. And, the measurement results show that the mismatch can be reduced below 5 %.
-
Portable device is powered by the battery. To use portable devices longer time without replacing the battery, you should maximize the lifetime of the battery. If you use efficient DC-DC converter, You can maximize the lifetime of the battery.
-
In this paper, high voltage DC- DC boost converters by stacked structure of power transistors are proposed. These stacked power transistors are tolerant to output voltage higher than the process limit for individual CMOS transistors. The proposed circuits were designed in a standard 3.6V,
$0.13{\mu}m$ . -
Chae, Han-Yong;Lee, Sung-Young;Park, Tae-Hoon;Lee, Hyun-Sung;Lee, Kwang-Hee;Seo, Ju-Won;Choi, Kyue-Sang 481
In this paper, the advanced process has been presented to remove the WSix peeling that was made in sub 100nm DRAM SRCAT(Sphere-shaped-Recess-Ch annel-Array Transistor). The source of WSix peeling was proved to be the groove of gate poly film. We have completely solved the problems to adopt the gate-poly CMP (Chemical Mechanical Polishing) process. -
In this paper, we present high-temperature characteristics of tunable all-optic filter using fiber Bragg grating(FBG), including peak reflectivity, FWHM bandwidth, refractive index change along temperature variation. The characteristics of a FBG tunable filter with refractive index change is affected by its thermal stability.
-
The network structure of
$SnO_2$ nanowires was fabricated on the electrodes by a simple thermal evaporation process from Sn metal powders and oxygen gas. The diameter of the nanowires was$20\;{\sim}\;60\;nm$ depending on the processing conditions. The operating temperature of the sensor could be decreased down below$50^{\circ}C$ by controlling the properties of the nanowires and the structures of the electrodes. The sensitivities were$10\;{\sim}\;15$ when the$NO_2$ concentrations were$10\;{\sim}\;50\;ppm$ at the operating temperature of$50^{\circ}C$ . -
SiOC films containing alkyl groups have a low dielectric constant because of the interaction between the C-H hydrogen bonds and the oxygen of high electro-negative atom. The Si-
$CH_3$ in a void is broken by the$O_2$ , therefore the strength of CH bond in Si-O-O-$CH_3$ bond increases. The Si-O-O-$CH_3$ bond is broken by nucleophilic attack due to Si atom, again. The elongation of C-H bond causes the red shift, and the compression of C-H bond causes the blue shift. Among these chemical shifts, the blue shift from$1000\;cm^{-1}$ to$1250\;cm^{-1}$ was related with the formation of pores. If the oxygen is deficient condition, the methylradicals of the electron-rich substitution group terminate easily the Si-O-Si cross-link, and the pore is originated from the cross-link breakdown due to much methyl radicals of Si-$CH_3$ . The dielectric constant of the films decreases due to pore generation. -
As anodizing method using poly-Si (polycrystalline silicon) grown by LPCVD (Low Pressure Chemical Vapor Deposition), a ballistic electron emitter was made. An OPPS (Oxidized Porous Poly-Si) structure can generate ballistic electron which can pass through without scattering owing to electric field of oxide layer wrapped around nanocrystal due to applied voltage of between surface and bottom electrode. As electrode, (Al, Au and Pt/ti) were used. In this case, there were the better characteristics in Al and Pt/ti than in Al and Au.
-
According to high-speed large scale integration trend of Memory module product, many type of noises, such a reflection, cross-talk simultaneous switching noise, occur on the Package PCB and they make the deterioration of memory module's performance and reliability. As module products have more high efficiency, Hardware of test board and socket has to be considered In test of the high-speed Memory Module. we mainly focused on improvement of Signal integrity Using the High Frequency Test socket that we invented
-
LGP for the small size exit was designed and fabricated. Pattern design method, which is the most important thing in the design of the LGP, was converted from the line type into the cross type. By using this new method, the brightness unevenness, which was very difficult problem to be solved in V-cutting method, was improved. Currently products had 78% of the brightness uniformity but newly developed product in this research had 87% of the brightness uniformity. Also the optimized design rule provided the improvement of the brightness of the LGP developed in this study, that has brightness of
$871cd/m^2$ whichwas 98% higher than the common products. -
The magnetic characteristics of Mg-Zn ferrite that was fired at
$1150^{\circ}C$ for 1hour and sintered at$1250^{\circ}C$ for 3hours was examined. The composition ratio of the ferrite was changed after sintering. In that case the composition ratio of${Fe_2}{O_3}$ was increased but that of CuO was decreased. When the addition quantity of CuO was 3.8 mole%, it's shrinkage rate had maximum value. Magnetic permeability was decreased as the addition rate of CuO was increased and MgO was decreased. When the composition rate of CuO was 2.3 mol% and MgO was 10.9 mol%, the firing density had maximum value. In case that CuO was 2.8mol% and MgO was 10.4mol%, PL had minimum value. -
An integrated Mach-Zehnder interferometer for biosensor applications was designed and fabricated. To implement the optimum biosensor a rib waveguide must have single mode operation and high sensitivity. The proposed Mach-Zehnder interferometer was fabricated based on these design rules, and its feasibility is confirmed by ethanol detection experiment in the real-time measurement system operating at 632.8 nm.
-
In this paper, we design and implement the monolithic power factor correction IC for system power modules using a high voltage(50V) CMOS process. The power factor correction IC is designed for power applications, such as refrigerator, air-conditioner, etc. It includes low voltage logic, 5V regulator, analog control circuit, high-voltage high current output drivers, and several protection circuits. And also, the designed IC has standby detection function which detects the output power of the converter stage and generates system down signal when load device is under the standby condition. The simulation and experimental results show that the designed IC acts properly as power factor correction IC with efficient protective functions.
-
In this study, P-doped ZnO thin films were prepared on sapphire substrates by pulsed laser deposition and annealing method. The electrical properties were investigated as a function of annealing temperatures at a fixed oxygen pressure. The XRD measurement showed that p-doped ZnO thin films were c-axis oriented. The Hall measurement showed that p-type ZnO thin film was observed. The carrier concentration of
$1.18{\times}10^{16}cm^{-3}$ and the mobility of$0.96\;cm^{-3}/Vs$ were obtained for the P-doped ZnO thin film fabricated annealing temperature$850^{\circ}C$ . -
ZnO thin films were deposited on glass and plastic substrates at different
$Ar/O_2$ gas flow ratio in RF magnetron sputtering system. To investigate structural and optical properties of ZnO thin films, X-ray Diffactometer and UV-Vis Spectrometer were performed, respectively. The obtained films showed a preferred orientation the c-axis perpendicular to the substrate and transmittance above 80 % in visible range. -
The direct methanol fuel cell (DMFC) is a promising power source for portable applications due to many advantages such as simple construction, compact design, high energy density, and relatively high energy-conversion efficiency. In this work, an electrochemical methanol sensor for monitoring the methanol concentration in direct methanol fuel cells was fabricated using a thin composite nafion membrane as the electrolyte. We have analyzed the I-V characteristic of the fabricated methanol sensor as a function of methanol concentration, catalyst electrode and platinum(Pt) dot.
-
-
Sin, Sang-Hyun;Kim, Han-Hyoung;Yang, Seung-Kook;Lee, Jong-Geun;O, Beom-Hoan;Lee, Seung-Gol;Lee, Il-Hang;Park, Se-Geun 509
Hybrid Imprint Lithography (HIL) is proposed where photolithography and imprinting processes are employed. Fabrication step of multilevel or three dimensional patterns is suggested. The method of controlling residual layer thickness after imprinting is developed. The thickness of residual layer changes lineally with imprinting time and can be controlled. Polymer patterns fabricated by this HIL is demonstrated. -
Kim, Han-Hyoung;Sim, Se-Hwan;Kim, Sung-Gi;Yang, Seung-Kook;O, Beom-Hoan;Lee, Seung-Gol;Lee, El-Hang;Park, Se-Geun;Lee, Jong-Geun 511
Micro-pads made of PDMS(polydimethylsiloane) can be mechanical shock or vibration absorber because of its contractibility. Fabrication of micro-pads and techniques of separation from substrate and attachment to new substrate are developed. Three micron thick PDMS pads were fabricated by imprinting lithography. -
The potential of non-rubbing technology for applying to display devices was demonstrated by irradiating a high density argon ion beam (IB) on a polyimide (PI) as a liquid crystal alignment layer. The superior electro-optical characteristics were obtained, compared to rubbed PI, Although the low pretilt angle was created on the IB irradiated PI.
-
We studied about new module technology to solve warpage problems that produce bending of cell in the LCD (Liquid crystal display). Characteristics of cell gap and glass bending of applying heat Panel's PAD part and cell at various temperature was investigated. When applies heat and compresses PAD party only in case of compressing COG(Chip on Glass), uniformity of cell gap that happen by glass bending by temperature of these compressing COG in the PAD party is decreased.
-
Highly integrated ISFETs require the monolithic implementation of ISFETs, CMOS electronics, and additional sensors on the same chip This paper presents novel packaging type of CMOS ISFET pH sensor using standard CMOS FET chip and extended sensing membrane which is separated from CMOS FET. This proposed packaging type will make it easy to fabricate CMOS ISFET pH sensors
-
In this paper, we design and implement the monolithic zero crossing detection power factor correction IC using a high voltage 30V BCD process. The ZCD PFC IC is designed for power applications, such as notebook, LCD monitor, etc. It includes power factor correction function and several protection circuit, regulator, high-voltage high current output drivers. And also, the designed IC has restart timer function which the output pulse is generated if the output signal of IC is not in a 200us. The simulation results show that the designed IC acts properly as power factor correction IC with efficient protective functions.
-
This paper presents fully integrated low power consumption limiting amplifier. The proposed limiting amplifier is employed folded cascode structure with source degeneration output stage. This proposed structure demands few transconductance than conventional structure. It can be dramatically decrease current consumption. The total power consumption is only
$96.5\;{\mu}W$ under a 1.8 V supply voltage in 9.5 dB limited gain condition. It was designed in using$0.18\;{\mu}m$ CMOS technology. -
Proposed battery charger is a economic candidate because that is simple and small size. The circuit has linearly operational power stage. That use small size buffer with small driving current and large power MOS gate capacitance. The simulation result show that charging current is stable and has low ripple.
-
This paper proposes a new high-speed level shifter using a special high voltage device with low threshold voltage. Also, novel low voltage swing method is proposed. The high voltage device is a standard LDMOS(Laterally Diffused MOS) device in a
$0.18{\mu}m$ CMOS process without adding extra mask or process step to realize it. A level shifter uses 5V LDMOSs as voltage clamps to protect 1.8V NMOS switches from high voltage stress the gate oxide. Also, level-up transition from 1.8V to 5V takes only 1.5ns in time. These circuits do not consume static DC power, therefore they are very suitable for low-power and high-speed interfaces in the deep sub-quarter-micron CMOS technologies. -
In this paper, several cases of multi-shared bus architecture are discussed and in order to decrease the bridge latency, the architecture introducing a memory decoder is proposed. Finally, a LCD controller using DMA master is integrated in this bus architecture that is verified due to RTL simulation and FPGA board test. DMA, LCD line buffer and SDRAM controller are normally operated in the timing simulation using ModelSim tool, and the LCD image is confirmed in the real FPGA board containing LCD panel.
-
The proposed CMOS Quadrature VCO for WLAN application was designed in TSMC
$0.18\;{\mu}m$ RF CMOS technology. The QVCO based on NMOS back-gate as a coupling transistor and switched capacitors array without tail transistors is designed to generate quadrature output signals. The simulated results show that the QVCO core consumed 3.67 mA and 6.6 mW from a 1.8 V supply. The QVCO is tunable between$4.76\;GHz\;{\sim}\;6.35\;GHz$ and has a phase noise lower than -116.8 ㏈c/Hz at 1 MHz offset over the entire tuning range -
In this paper, we present the comparison of the CMOS Double-Balanced Mixer for WLAN applications using the tail current source and not using it at the same current. The mixers are derived from the Gilbert cell mixer and have been simulated by using TSMC
$0.18{\mu}m$ RF CMOS technology. -
By using Dual Port DRAM to Multi-media SoC, an improved performance is achieved in this paper. The proposed scheme greatly help the multi-media SoC like a application for full HDTV, and it can be extended to the application field which is needed the low access latency with heavy traffic. Additionally, the proposed scheme help to down the BUM cost of system.
-
By reducing size of operation code, an improved MCU(micro controller unit) is implemented in this paper. The proposed MCU is developed for 32Bit Embedded System. The MCU has a general 32Bit MCU operations. The MCU supports to an ICE device.
-
A step-down DC-DC converter with On-chip Compensation for battery-operated portable electronic devices which are designed in 0.18um CMOS standard process. In an effort to improve low load efficiency, this paper proposes the PFM (Pulse Frequency modulation) voltage mode 1MHz switching frequency step-down DC-DC converter with on-chip compensation. Capacitor multiplier method can minimize error amplifier compensation block size by 20%. It allows the compensation block of DC-DC converter be easily integrated on a chip and occupy less layout area. But capacitor multiplier operation reduces DC-DC converter efficiency. As a result, this converter shows maximum efficiency over 87% for the output voltage of 1.8V (input voltage : 3.3V), maximum load current 500mA, and 0.14% output ripple voltage. The total core chip area is
$mm^2$ . -
This paper presents an ultra-wideband (UWB) CMOS low noise amplifier (LNA) topology that operates in 3.1-10.6GHz band. The common gate structure provides wideband input matching and flattens the passband gain. The proposed UWB amplifier is implemented in 0.18 um CMOS technology for lower band operation mode. Simulation shows a minimum NF of 2.35 dB, a power gain of
$18.3{\sim}20\;dB$ , better than -10 dB of input and output matching, while consuming 16.4 mW. -
We propose an architecture for a lighting engine for mobile 3D graphics. The proposed architecture has a variable pipeline depending on lighting effects and the number of lighting sources so that unnecessary operations and power consumption are minimized. We design a lighting engine basedon the proposed architecture using Verilog-HDL and synthesized it using a 0.25um CMOS standard cell library at 100MHz. The synthesis results show that it occupies 180,000 and 260,000 gates for 24bit and 32bit formats, respectively.
-
Yu, Chan-Se;Song, Saeng-Seop;Jeong, Seong-Hun;Lee, U-Seong;Kim, Jun-Cheol;Gang, Nam-Gi;Seo, Gwang-Seok 543
Nowadays, the research on the system integration using various technologies, like MCM-C, MCM-L and MCM-D. Especially, MCM-D technology is suitable for mmwave application due to its high resolution of patterning and thermal property similar to that of semiconductor devices. In this work, integrated passive devices like inductor, capacitor and resistor are evaluated on the GaAs substrate and their characteristics are examined. And finally, the Wilkinson power divider using lumped IPD are evaluated on GaAs substrate and it shows low insertion loss below 0.5 dB and the isolation over 15 dB. -
This paper presents a low power CMOS low noise amplifier for UWB applications. To reduce the power consumption, two cascode amplifiers was stacked in DC. Designed with
$0.18-{\mu}m$ CMOS technology, the proposed LNA achieves 20dB flat gain, below 3dB noise figure, and the power consumption of 5.2mW from a 1.8 V supply voltage. -
Linearity improvement technique of transconductor is presented in the paper. In order to certify the linearity improvement of proposed transconductor, the 3rd-order Elliptic low-pass Gm-C filter which provides 5MHz cutoff is implemented by using the transconductor. According to the IIP3 measurement result of filters, proposed filter has higher IIP3 than normal source-degeneration filter; the In-band IIP3 of proposed and normal filter are 10.1 dBm and 7.5 dBm respectively. The filter is fabricated in 1P6M
$0.18-{\mu}m$ CMOS while consuming the 3.3mW with 1.8 Vdd. The in-band input-referred noise voltage is$62.3{\mu}Vrms$ and the SFDR is 54.1 dB. -
This paper proposed and designed the modified flash analog-to-digital converter(ADC). The speed of new architecture is similar to conventional flash ADC but the die area consumption is much less due to reduce numbers of comparators. The circuits which are implemented in this paper is simulated with LT SPICE and layout with Electric tools of computer.
-
This paper presets a results of frequency response in variation of wire bonding length. A gold ball bonding is used as a wire bonding process, and a DPDT(double pole double thru) switch is adapted as a device for test. Wire length is ranged from 442um to 833um and a measured frequency range is from 1 GHz to 6 GHz. Little difference are measured in insertion loss and return loss depending on wire length. Measured S21 and S11 are -0.58 dB and -17.7 dB, respectively. S21 insertion loss is rising up and S11 insertion loss is falling down as the frequency is increased.
-
In a general way, Current scaling DACs are used in wireless communication systems. But there are degradations of important factors because of mismatch of current source corresponding to high resolution. To solve the problem, Calibration methods are researched lately. Mismatch of current source is corrected by Calibration method and then corrected current values are delivered to Core DAC. As a results, Degradations of important factors that produced by increment of non-linearity are suppressed by calibration method.
-
본 연구에서는 레이저빔 투과를 이용한 본딩 웨이퍼 검사 방법을 제안하고 검사 장치를 설계 구현하였다. 1064nm 파장에서의 정상웨이퍼를 일정한 비율로 투과 하였다. 본딩 불량으로 인한 웨이퍼의 기공은 두께에 따라 투과율이 현저하게 변화하여 기공 부분을 검출하였다. 이러한 기공은 두께의 변화가 있으며 광량의 변화하는 부분이 에어갭으로 인식 카메라로 쉽게 구분이 가능하였다.
-
This paper presents the effects of via losses to be connected with an embedded DPDT(Double Pole Double Thru) in a substrate. The substrate consists of two ABF(Ajinomoto Bonding Film) and a Epoxy core. In order to verify and test effects of via, via chains in a substrate using SoP-L process are proposed and measured. Via loss can be calculated as averaging the total via holes. The exact loss of a DPDT switch embedded in substrate are extracted by using the results of via chain and measured data from embedded DPDT. The calculated one via insertion loss is about 0.0005 dB on basis of measured via chains. This result confirms very low loss in via. So the inserti on loss of the embedded switch is confirmed only switch loss as loss is 0.4 dB.
-
In this paper, I proposed 10bits 40MS/s Pipelined A/D converter. The op-amps for SHA and MDAC designed folded-cascode amplifier with gain-booster. And the MOS transistors with a low threshold voltage are employed to low on-resistor and parasitic capacitance. The power dissipation is 119㎽ at 1.2V and 40MS/s
-
In this paper, we propose a establishment of system level environment to exploit PC system with SSD (Solid State Disk) by using TLM (Transaction Level Modeling) method with SystemC language. The reason why we choose this modeling method is that it eases RTL (Register Transfer Level) modeling burdens and we can accurately estimate the performance about different architectural changes. Also, it provides simulation speed which is relatively faster than RTL modeling method. The baseline architectural platform we implemented showed that SSD's internal transfer time is a dominant factor, so we need to improve that part and it is expected to be a good simulator to measure the system's overall performance by exploiting SSD's internal architectures.
-
This paper presents a new Insulated Gate Bipolar Transistor(IGBT) for power switching device based on Non Punch Through(NPT) IGBT structure. The proposed structure has adding N+ beside the P-base region of the conventional IGBT structure. The proposed device has faster turn-off time and lower forward conduction loss than the conventional IGBT structure.
-
The high efficiency power management IC(PMIC) for Moblie application is proposed in this paper. PMIC is controlled with PWM control method in order to have high power efficiency at high current level. The saw-tooth generator is made to have 1.2 MHz oscillation frequency and full range of output swing from ground to supply voltage(VDD:3.3V). The comparator is designed with two stage OP amplifier. And the error amplifier has 70dB DC gain and
$64^{\circ}$ phase margin. DC-DC converter, based on Voltage-mode PWM control circuits, achieved the high efficiency near 95% at 100mA output current. DC-DC converter is designed with LDO in stand-by mode which fewer than 1mA for high efficiency. -
본 논문에서는 CMOS
$0.18\;{\mu}m$ 공정을 이용하여 UWB LNA를 설계하였다. UWB LNA$3{\sim}5GHz$ 의 대역 에서 전력이득은 12-15 dB, 잡음지수는 5 dB이하, 그리고 입력과 출력의 반사손실은 10 dB 이하의 특성을 보이도록 하였다. 캐스코드 구조를 이용하여 잡음을 억제하고 이득을 향상시켰으며, 입력매칭에 공통 게이트 증폭기를 이용하여 대역폭을 증가시켰다. -
This paper proposed a new arbitration method in arbiter which is one of bus system components for the design of SoC. Considering compatibility between IP and bus system, the performance of bus system can change the performance of SoC chip. The proposed arbitration method achieved the performance improvement with high efficiency depending on the environment in use.
-
We propose a 4-bit 1.6GSample/s flash-A/D converter realized in a digital 0.18um 1-poly 4-metal CMOS technology. To achieve low power with good performance, we employ immanent C2MOS comparator scheme. The kickback noise is one of the most important issue in A/D comparator performance. To decrease the effect of kickback noise, here we introduce kickback neutralization technique. The designed A/D converter has an effective number of bits(ENOBs) of 3.93 while using 32mW operating at 1.6GHz.
-
In this paper, we propose a mixed driving circuit for the source driver of QVGA-scale TFT-LCD driver IC to reduce the area of the source driver. In the mixed driving circuit, graphic data pass or go through the mixed channel driver whether RGB data are the same or not. The mixed driving circuit has been designed in transistor level using the 0.35um CMOS technology and has been verified using Hspice.
-
This paper presents a design of a charge pumping circuit for LCD Driver IC. The charge pumping circuit consists of a control block, a VCIOUT generating block, a DDVDH generating block, a VGH/VGL generating block, and VCL generating block. It generates various higher and lower voltage than supply voltage using external control input. Simulation results show that voltages of DDVDH, VGH, VGL, and VCL satisfy the target voltage, and the output DDVDH drives the output current 7mA.
-
This paper proposes new analog ZQ calibration scheme. Proposed analog ZQ calibration scheme is for minimizing the reflection which degrade the signal integrity. And this scheme is for minimizing CIO capacitance. It is simulated under 1.5v supply voltage and samsung 0.18um process. Power consumption of proposed analog ZQ calibration circuit was improved by 32%. Under all skew, temperature from
$30^{\circ}C$ to$90^{\circ}C$ and Monte carlo simulation, quantization error of RZQ(=$240{\Omega}$ ) is less han 1.07%. -
DRAM설계시 DDR2에서부터 고속 동작으로 인해 반송파에 의한 신호외곡으로 impedance matching의 필요성이 대두되었다. 이로 인해 제안된 방법은 외부 Termination 저항(RZQ)을 기준으로 impedance matching을 위한 Rtt 저항의 생성이다.[1] 제안된 ZQ Calibration 회로는 기존의conventional ZQ Calibration 회로에 After ZQ calibration block을 추가하여 한 번 더 교정함으로써 마지막 PMOS Array와 NMOS Array 저항 값이 Termination 저항 값에 가깝도록 설계하였다. 따라 전력효율은 그대로 유지하면서
${\Delta}VM$ 의 오차범위를 기존의${\pm}5%$ 이내에서 skew 조건에 따라${\pm}1.33%$ 까지 향상시키는 것을 볼 수 있다. (JEDEC spec.${\pm}5%$ 이내). -
This paper describes novel bootstrapped CMOS differential logic family operating at near-Vth supply voltage. The proposed logic family provides improved switching speed by utilizing voltage bootstrapping for the supply voltage approaching device thresholds. The circuit is configured as differential structure having single bootstrapping capacitor, minimizing area overhead and providing complete logic composition capability. A 64-bit adder designed using the proposed technique in a 0.18um CMOS process provides up to 79% improvement in terms of power-delay product as compared to the conventional adder designed with DCVS.
-
This paper presents a low-power and high-speed pulsed flip-flop based on dual-precharging and conditional discharging. The dual-precharging operation minimizes the parasitic capacitance of each precharge node, resulting in high-speed operation. The conditional-discharging operation minimizes the redundant transitions of precharge nodes, resulting in low-power operation. Linear feedback shift register (LFSR) designed in a
$0.18{\mu}m$ CMOS technology using the proposed flip-flop achieves 32% power reduction as compared to conventional design. -
In this paper, a novel beta-multiplier reference current source circuit for the 800mV power-supply voltage is presented. In order to cope with the narrow input common-mode range of the OpAmp in the reference circuit, shunt resistive voltage divider branches were deployed. High gain OpAmp was designed to compensate intrinsic low output resistance of the MOS transistors. The proposed reference circuit was designed in a standard 0.18um CMOS process with nominal Vth of 420mV and -450mV for nMOS and pMOS transistor respectively. The total power consumption including OpAmp is less than 50uW.
-
Digital PLL을 위한 높은 해상도를 갖는 TDC(Time to Digital Converter)를
$0.18{\mu}m$ CMOS 공정으로 설계하였다. 2단 구조를 갖는 TDC를 제안하였고 이를 Cadence Spectre를 이용하여 검증하였다. TDC는 Difference pulse generator, coarse 변환기와 fine 변환기로 구성된다. 그리고, 2단 변환기와 Thermometer decoder를 이용하여 delay cell의 수를 적게 유지하면서도 높은 해상도를 얻을 수 있었다. -
This paper describes an improved design of graphic memory for QVGA (
$320{\times}240\;RGB$ ) - scale 262k-color LCD Driver IC. A distributor block is adopted to reduce graphic RAM area, which is accomplished with 1/8 data lines of the previous structure. In line-read operation, the drivabilty of memory array cell is improved by partitioning a word line according to the row address. The proposed graphic memory circuit has been designed in transistor level using$0.18{\mu}m$ CMOS technology library and verified using Hsim. -
본 논문은 배터리를 이용하는 시스템의 사용시간을 극대화하기 위하여 두 가지 해결책을 제시한다. 첫 번째, 우리는 멀티 프로세서 시스템에서 Dynamic Voltage Scaling(DVS)을 이용하여 에너지 소모를 최소화시킨다. 다른 어프로치와의 큰 차이점은 테스크의 실행 시간을 deadline까지 확장시켜 에너지 소모를 최소화할 뿐만 아니라 테스크의 실행 사이클 수가 감소할것을 고려하여 테스크를 나누어 다른 동작 주파수를 적용 시키고 이를 수학적 방법으로 도출한다. 두 번째, 배터리의 discharge 특성인 capacity rate effect와 recovery effect를 고려하여 프로세서들의 에너지 소모 프로파일을 재구성함으로서 배터리 라이프타임을 최적화시킨다.
-
In this article, process voltage temperature (PVT) compensated on-chip oscillator is implemented by using proportional to absolute temperature (PTAT) circuit and process compensator. Process compensator circuit based on current subtracter and PTAT circuit are proposed for compensation of oscillation frequency to cope with process variation and temperature variation. All circuit can operate in the range of
$3.5{\sim}5\;V$ supply voltage. It can be applied to PVT insensitive low frequency clock reference generator. -
we present a technique of power estimation for LCD panels using regression model. We extract and model two different power sources in LCD panels, those are gray-scale power and additional power of parasitic capacitors for charge sharing effect with inversion scheme. To model the power source, we use curve fitting and approximation. The results of proposed technique show that an average accuracy of estimation is above 98%.
-
In this paper, a sample design of I/O port of micro-processor using ODC(Output Don't Care) computation that is one of methods for Clock Gating applicable at the register transfer level(RTL). The ODC computation Method is applied at the point that estimate the value considering Don't Care Conditions from output of datapath to registers using clock in logic system. This paper also shows the results of reduce consumption power due to controlling clock that was supplied at registers. In Experimental results, ODC computation Method reduce power reductions of around 37.5%
-
This paper presents a high performance DC-DC boost converter by current-mode control method. As load current change, the converter change PWM/PFM operation automatically. current-mode DC-DC boost converter is implemented in a standard
$0.35{\mu}m$ CMOS process. The peak efficiency was 94 % with a switching frequency of 1.2MHz. -
This paper presents pulse-frequency modulation(PFM) to improve the light-load efficiency. The proposed circuit is designed by using the device parameter of standard
$0.13{\mu}m$ CMOS process. The performance of proposed circuit is evaluated by HSPICE simulation Measured efficiency in a light-load is measured 78-90 % for 0.1 to 100mA output current. -
This paper implemented The LED illuminance control IP based on 8bit RISC Processor. 8bit RISC Processor designed hardware interrupts, an interface for serial communications, a timer system with compare-capture-reload resources and a watchdog timer. LED Array consists of Red, Green, Blue, White and Warm White. The illuminance control IP is used to LED Board control with 8bit data.
-
The distance detection system receives stereo video input through 2 CCD cameras. Using a decoder, the image is changed to the YCbCr4:2:2 format and only the Y signal is saved in the 4*256*8bit shift register of the Dual-Port SRAM. As a result of the matching procedure, the Depth value, which is the distance information, is saved in SRAM, and the Depth Map is made and output to the TFT-LCD screen.
-
Locking time of the DLL is the important design issue in case of clock gating for low power system. For precise analysis of the locking speed of the DLL, this paper analyzes the locking process of the DLL in time domain. Analysis result shows that the value of the DLL bandwidth over reference frequency should be limited to below 1 (
$i.e.w_n/F_{REF} < 1$ ) for the stable operation and relation between bandwidth and lock time is expressed by log function. -
In this paper, a 110 dB, 1.024 MHz fourth-order single-loop Delta-Sigma sigma modulator has been presented with an over-sampling ratio of 128 and an overload factor of -6 dB for a bandwidth of 4 kHz. In particular, this
${\Sigma}-{\Delta}$ modulator is well suited for high accuracy measure systems. The whole modulator consumes only 3-mW from a single 3.3V supply in a$0.35-{\mu}m$ CMOS technology. -
A content recommendation according to users preferences comes up in the Internet application due to contents overwhelming. This paper newly proposes a method to predict contents preference using tags in conjunction with Content-Based Filtering. By implementing this method, this paper cleans up the contents sparsity problem in Content-Based Filtering, and shows the outstanding improvements.
-
Generally, distance between sensor nodes is measured using received signal strength indicator (RSSI) in wireless sensor network (WSN). Since RSSI is not absolutely accurate and stable in indoor environment, the performance of position estimation largely depends on estimation methods. In this paper, performance evaluation is presented to compare trilateration based positioning technique and collaborative ranging (CR) technique. From evaluation results, CR method is shown to be better than trilateration based method in terms of accuracy and precision.
-
The paper describes the design and implementation of full-software videophone module for Korean Government's Ubiquitous Robotic Companion project 2007. The project shows the commercial feasibility of video service, particularly videophone service with 3G WCDMA cellular mobile phone.
-
In this paper, we propose a new hand gesture recognition scheme using hand poses captured from a web camera. The key idea of this scheme is to extract skin color from the background-subtracted image. To extract skin color, in the first phase, we subtract background by repeatedly comparing the stored initial frame with next frames. And then we eliminate noise using dynamic table. In the second phase, we exactly recognize hand gesture by extracting skin color from
${YC_b}{C_r}$ color region. -
When exchanging user data between nodes in a hierarchical conference system using the endpoint mixing scheme, the hierarchical structure may cause extra delay. The algorithm[1] was proposed for minimizing the media delay between the number of the neighboring nodes. In this paper, we implement a hierarchical conference with SIP protocol based on the algorithm.
-
Overlay Multicast Routing Algorithm operates flexibly according to the various limited condition and environment of the MANET. Because of this Algorithm have maintenance and transmission of the network to use virtual Overlay ID. This paper proposes the method to give node ID considering attribute of node. If it uses ID considering attribute of node, it will improve routing speed.
-
Today, the railway is very important in modern society means of public transport. Many people use the railway each day. On the other hand, with regard to railway accidents every year due to damage caused many casualties. In this paper, we propose railroad crossing accident prevention system for monitoring the situation based on OSGi for safe railway operations, about the same railroad crossing a dangerous place. This system, communication between the train and railroad crossing. So the information from the train crossing while a train driver was monitored in real time with more information than can help prevent accidents on the crossing. Using the OSGi framework, can be used widely in many hardware.
-
This paper proposes an indoor air Quality monitoring system for measuring various indoor air qualities using IEEE 802.15.4 based wireless sensor network. For indoor air quality monitoring, sensor nodes include carbon monoxide sensor and dust sensor were used. The wireless network by deployed sensor nodes has limited energy, computing, communication capabilities and memory sizes. In this paper, the query process in indoor air quality monitoring was designed to improve the limited sensor node resources such as battery consumption and networking.
-
MANET is depended on the property as like variable energy, high degree of mobility, location environments of nodes etc. So, in this paper, we propose an algorithm techniques which is TICC (Time Interval Clustering Control) based on energy value in property of each node for solving cluster problem. It provides improving cluster energy efficiency how can being node manage to order each node's energy level. TICC is clustering method. It has shown that Node's energy efficiency and life time are improved in MANET.
-
In this paper, we propose service discovery mechanism, using CALM agent platform to guarantee scalability of scope of available services without modifying existing service discovery protocols. The proposed service discovery mechanism provides users with a wider selection of services, and convenient search methods.
-
In this paper, we describe implementation of a network Speaker for easily read streaming audio data from the network. The Network Speaker uses MAXIM company's DS80C400 for network control and MAX542 for audio data play. The DS80C400 network microcontroller offers TCP IPv4/6 network stack with the TINI-OS provided in ROM. The TINI-OS is adopted as an embedded operating system. Application programs are implemented by using JAVA language.
-
Due to the rapid development of the hardware divisions, varied and low-price mobile devices were provided to not only end-users but also widespread industry. However, in the software divisions, the characteristics of the software such as closed and differentiation causing restrictions of development. Therefore, it requires high costs and long times in the development process. In this paper, we introduce the Mobile Platform Middleware based on L4 Microkernel which provides linux-like environment and services for other mobile application platforms such as BREW and WIPI to facilitate easily development and migration of applications for mobile devices.
-
In this paper, we describe a new guard lamp and traffic light controller using Zigbee one of near field communication. It can control guard lamp and traffic light system by wireless system. Also, it can definite in the sever system New guard lamp and traffic light using zibee system improved for waste power, an accident and management condition.
-
본 논문은 지리정보시스템(GIS, geographic information system) 상에서 GIS 데이터의 구조와 기하학적 특성을 바탕으로 GIS 속성 집합들의 평균 면적(MAAG, meanareas of attribute group)을 이용한 워터마킹 기법을 제안한다. 워터마크는 소유권 정보를 포함하는 이진 비트열로 사용하였으며, GIS 데이터 내의 MAAG를 결정한 후, 각 MAAG의 적응적 임계치를 이용하여 은닉되며, 워터마크 검출 시, 원래의 GIS 데이터가 필요 없는 블라인드 워터마킹 기법을 적용한다. 실험 결과, 제안한 워터마킹 기법이 기하학적 공격에 견고하며 워터마크의 비가시성을 확인하였다.
-
In this paper, we propose a new approach for the implicit rating algorithm of finding user's intense and preference to the contents on the web. Although the explicit method dig out the user preference of specific contents based on the user's intervention, we propose the implicit method obtaining the user preference according to the user's behavioral patterns on the web implicitly and automatically without the user's intervention. The implementation results show that the proposed approach is highly valuable for supporting recommender systems in conjunction with the users lifestyle.
-
Various researches on 3D silhouette extraction have been performed in the area of computer graphic. This paper presents a new method for internal pattern extraction by using an arbitrary region silhouette control technique. The proposed method was tested by using 3D data of stone pagodas. The results show that the proposed method particularly improves the performance of internal pattern extraction.
-
Silhouette extraction is widely used in many computer graphics applications. In this paper, we proposed a method for extracting 3D silhouette and internal pattern from 3D vector data. To do this, we first make an edge-list, secondly define the silhouette, and finally remove hidden lines. After getting the silhouette, we extract internal pattern using adjacent edge's dihedral. The proposed method not only effectively improves the performance of extracting 3D silhouette and internal pattern from 3D vector data but also reduces the computational complexity.
-
Traditional FTL and flash file systems based of NAND flash memory may not be adaptively applied to new fusion flash memory which combines the advantages of NAND and NOR flash memory. In this paper, we propose a FTL performance measurement tool using Multi Block Erase function of fusion flash memory. The performance measurement tool shows that multi block erase function can be effectively utilized in performance enhancement of garbage collection for fusion flash memory.
-
In order to resolve mechanical limit in HDD, recently, the hybrid hard disk combining HDD and a flash memory was launched. In this paper, we propose a simulator for hybrid hard disk which considers redirection, flushing and spin-down function to complement the difference between HDD and hybrid hard disk. The simulator was implemented in linux kernel 2.6.20 by modifying system calls related with file system. The experiment shows that the power consumption of hybrid hard disk is 47% smaller than that of hard disk in laptop PC.
-
In this paper, we suggest a new multiobjective optimization algorithm which is based on the simulated annealing(SA) method. The proposed algorithm uses population-based simulated annealing and adapts elitism in the process of selection.
-
A DF (Directory Facilitator) provides yellow pages services for other agents in agent platform. That is to say, the agents are able to register the descriptions of the services they provide for DF or inquire DF about the services other agents provide. The Current DF cannot federate with heterogeneous agent platforms. Because DF is not opened to the other DF in the heterogeneous agent platform, it is need to something about federation technology between them. Thus, we propose the database server for the federation technology among DFs. The main purpose of the database server is to provide the environment where agents can register their services and search about the services that other agents on the heterogeneous platform provide.
-
There are trade-offs between a window size and an issue width for superscalar processors. A good balance between them prevents waste of system resources. In this paper, we investigate the performance of a superscalar processor with various sizes of window and issue width. From the experiments, we find that there is a linear relationship between window size and issue width.
-
개인과 사회의 안전을 중요시하는 요즘 영상감시시스템의 비중은 날이 갈수록 커져가고 있다. 본 논문은 지능형 영상 감시 시스템에 적용되는 비전기반의 물체 추적시스템에 관한 내용으로 이루어져 있다. 지능형 영상 감시 시스템은 실제 국내외 많은 기업에서 활발히 연구하고 대표적인 분야이다. 하지만, 제품화되기에는 아직 많은 문제가 존재한다. 이러한 문제가 나타나는 가장 큰 원인 중 하나는 타겟의 크기가 변화하여 정보가 손상되는 경우이다. 이로 인해 정확한 타겟정보를 얻지 못하고, 배경정보를 갱신함으로써 추적성능을 크게 저하시키게 된다. 따라서 본 논문에서는 이러한 문제를 최소화하기 위하 방법을 제안한다.
-
Virtualization of operating systems have already been developed and commercialized in the enterprise computing area. As the computing power of the embedded systems is growing, it is regarded that the virtualization is the important research area. The virtualization is typically established by the micro kernel. L4 kernel is the one example of the micro kernel. In this paper, we propose the architecture for virtualization of Linux over the L4 kernel.
-
Nowadays world wide web has been abundunt in quantity. However, the quality decreaed. Due to the much information, we need to select search some key words and review the search results using keywords related search methods in order to obtain information users want. In this paper, we propose the system design of treatise retrieval through metadata reasoning using ontology. In the process of this design, we express a particular treatise information as semantic-based metadata using ontology instead of using simple keywords relationship which has been used conventionally.
-
With advent of IPTV services and availability of various web contents, users can enjoy various contents via internet. In order to effectively provide a large amount of web contents to users, personalized IPTV services are required to the user's sides. In this paper, we extend the current ATOM schema for personalized IPTV services and propose a IPTV service framework based on IPTV personalization.
-
본 연구는 학습 성취도 예측을 통한 완전학습 시스템을 연구하는데 그 목적이 있다. 학급 내의 95%의 학생들이 학습 과제의 90% 이상을 완전히 학습해 내는 것이 완전학습이다. 그러나 개인의 수준차로 인한 완전학습 도달 시간이 상이하고, 그 도달 시간을 파악하기가 어려우므로 현실적으로 완전학습에 도달하기란 쉬운 일이 아니다. 본 연구에서는 이러한 현실적인 어려움을 극복하고자 학생들의 과거 현재 학습 성취 데이터를 분석하여, 미래 학습 성취도를 예측함으로써 보충학습이 필요한 학생을 미리 선별하고, 학생별 특성과 수준에 맞는 보충학습 자료 제공을 통한 재학습 유도로 정해진 기간 내에 단계별(단원별, 학기별)로 완전학습에 도달할 수 있도록 하였다.
-
This paper demonstrates that the recurrent neural networks can be used successfully for the identification of finite automata (FAs). A new type of recurrent neural network (RNN) is proposed and the offline training algorithm, regulated Levenberg-Marquadt (LM) algorithm, for the network is developed. Simulation result shows that the identification and the extraction of FAs are practically achievable.
-
In this paper, we present a real-time system to detect abnormal events on gas pipes, based on the signals which are observed through the audio sensors attached on them. First, features are extracted from these signals so that they are robust to noise and invariant to the distance between a sensor and a spot at which an abnormal event like an attack on the gas pipes occurs. Then, a classifier is constructed to detect abnormal events using neural networks. It is a combination of two neural network models, a Gaussian mixture model and a multi-layer perceptron, for the reduction of miss and false alarms. The former works for miss alarm prevention and the latter for false alarm prevention. The experimental result with real data from the actual gas system shows that the proposed system is effective in detecting the dangerous events in real-time with an accuracy of 92.9%.
-
K-means is a popular one in clustering algorithms, and it minimizes the mutual euclidean distance among the sample points. But K-means has some demerits, such as depending on initial condition, unsupervised learning and local optimum. However mahalanobis distancecan deal this case well. In this paper, the author proposed a new clustering algorithm, named exponential probability clustering, which applied Mahalanobis distance into K-means clustering. This new clustering does possess not only the probability interpretation, but also clustering merits. Finally, the simulation results also demonstrate its good performance compared to K-means algorithm.
-
This paper presents a new navigation algorithm of an autonomous mobile robot with vision and IR sensors, Zigbee Sensor Network using fuzzy rules. We also show that the developed mobile robot with the proposed algorithm is navigating very well in complex unknown environments.
-
This paper presents adjustment of input order to improve clustering performance of ART1. We propose new method for On-line clustering which adjusts initial input data using buffer. We demonstrate the clustering performance of the proposed algorithm by testing it on Zoo data set from UCI and created artificial data set for simulation. Experimental results show that preposed method increases 7.8% of clustering performance than ART1 model on the average.
-
이 연구는 프로그래밍 교과의 수행평가에서 자기주도적 학습능력 촉진을 위하여 학업성취도를 분석 및 예측하는 시스템을 목적으로 하였다. 이 연구에서 학업성취도의 평가는 루브릭을 적용하여 프로그래밍 수행평가의 채점을 논리력, 문제해결력, 창의력으로 측정하였으며 학업성취도를 분석하기 위해 학습자별 학업성취도를 측정 준거 별로 그래프로 표현하며 이동평균법을 적용하여 향후 점수를 예측하였다. 이로 인해 학습자는 평가 결과 그래프로 현재 자신의 학습상태를 파악하고 예측 결과로 자신의 학습의 준거 별 장 단점을 분석하여 학습 방향 및 학습시간에 대한 자기 성찰에 도움을 주어 자기주도적 학습능력을 촉진시킬 수 있도록 하였다.
-
When we apply the neural networks to applications, we need to select proper architecture of the network and the activation function of the network is one of most important characteristics. In this research, we propose a method to make a network using multiple activation functions. The performance of the proposed method is investigated through the computer simulations on various regression problems.
-
This paper propose skin color detection that uses MLP(Multi Layer Perceptron) and multiple color models. The proposed method reduces weight of MLP by partial connection between input layer and hidden layer based on color models, and the using color models are RGB model and YCbCr model. The experimental result for proposed method showed 94% classification rate of skin and non-skin pixels with 32% decrease in the number of weight compare to general MLP on the average.
-
The emotional information processing is to simulate and recognize human sensibility, sensuality or emotion, to realize natural and harmonious human-machine interface. This paper proposes an emotion-based image retrieval method. In this method, user can choose a linguistic query among some emotional adjectives. Then the system shows some corresponding representative images that are pre-evaluated by experts. Again the user can select a representative one among the representative images to initiate traditional content-based image retrieval (CBIR). By this proposed method any CBIR can be easily expanded as emotion-based image retrieval. In CBIR of our system, we use several color and texture visual descriptors recommended by MPEG-7. We also propose a fuzzy similarity measure based on Choquet integral in the CBIR system. For the communication between system and user, a relevance feedback mechanism is used to represent human subjectivity in image retrieval. This can improve the performance of image retrieval, and also satisfy the user's individual preference.
-
In this paper, we analyze the DF's problem and propose the solution. We describe the service reservation and Reputation function for searching these services for late registration. Reputation function assume the presence of other agent who can provide ratings for other agents that are reflective of the performance or behavior of the corresponding agents.
-
유해 문서를 분류하기 위한 고정된 등급에 의한 분류가 아닌 사용자의 필요에 의해 다양한 등급으로 분류할 수 있는 분류기를 구현하였다. 자질 생성을 위해
${\chi}^2$ , IG, DF, ICF를 이용하였으며, 분류를 위해 나이브 베이지언, C4.5, kNN, SVM을 이용하였다. -
It is of importance to integrate several databases to improve its coverage and usage of large amount of biological information produced by diverse biological experiments. In this paper, we proposed a method to integrate the protein interaction databases with various naming schemes. The identifier (ID) mapping methods in the process of integration was also presented.
-
UFC(Ubiquitous Fashionable Computer) is a human oriented fashionable computer with which we exploit the ubiquitous computing environment by our intuitive interface[1]. The multipoint conference in the UFC is expected to be one of the leading applications in the ubiquitous environments. In this paper, we introduce GUI for multipoint conference system in UFC.
-
Ubiquitous Computing System is available anytime, anywhere communications and computing systems, and information sharing and mutual cooperation between computing systems. in addition, For Ubiquitous Computing System, it is need the sensor technology to detect information of users and surrounding environment. For these reason, this paper proposed CRS(Context Recognition Switch) and DOS(Dynamic and Optimal Standard) based Context-awareness system architecture.
-
In this paper, we implement Mobile AP system, which has Multihoming function providing vertical handover between WiBro and HSDPA networks. We monitor packet drop in networks during binding updates(BU) and acknowledgement(BA) and analyze handover latency. We propose methodology to reduce handover latency and describe the further development direction of Mobile AP system.
-
A large amount of efforts are required to search user's preferred contents for the program contents being provided by IPTV services. In this paper, using collaborative filtering, an automatic recommendation method of IPTV program contents is presented by reasoning similar group preferences on IPTV program contents which constitutes personalized IPTV environments. The proposed method models the user's preference of IPTV program contents with the program attributes such as content, genres, channels actor/actress, staffs and calculates it using the watching history of program contents in different genres and watching times. Also, the proposed method considers timely changing user's preference and the preference oon the content itself, which improves the traditional collaborative filtering methods that can not recommend the non-consumed items.
-
Multi-agent technologies are essential in realizing the upcoming ubiquitous environment. In the multi-agent environment, each agent has its own set of services and stores these services in the service repository of the multi-agent system. By using this repository, the user can retrieve the most appropriate service. In this paper, we propose an efficient service repository architecture that can improve the existing agent-based service discovery.
-
The feature of 6LoWPAN is the capability of the dynamic assignment of 16bit short addresses. By using this dynamically assigned short address, a hierarchical routing is employed. In case of node failure, this hierarchical routing don't support a technique for path recovery. So, this paper proposes the path recovery technique for hierarchical routing over 6LoWPAN.
-
무선 시스템에서는 수신도 송신처럼 에너지를 소모한다. 이러한 전력소모는 배터리를 전원으로 오랜시간 동작해야하는 센서 망에서는 치명적이다. 특히 센서 네트워크를 위해 제안된 경쟁기반 방식의 MAC은 에너지 소모를 야기하는 패킷간의 충돌을 최소화 하고 전송지연 을 줄이는 프로토콜이 필요하다. 본 논문에서는 adaptive S-MAC의 방식을 그대로 사용하면서 간섭에 의한 충돌을 줄이는 알고리즘을 이용하여 한 SYNC interval 내에서 최대 3홉까지 전송 가능한 MAC 프로토콜을 제안하였다. NS2의 시뮬레이션을 통해 제안한 MAC 프로토콜의 성능을 기존 MAC과 비교하여 증명하였다.
-
We developed a XMAS (X Multimedia Analysis System) for analyzing the illegal and objectionable multimedia in Internet environment based on Web2.0. XMAS uses the MPEG-7 visual descriptor and multi-class SVM (support vector machine) and its performance (accuracy on precision) is about 91.6% for objectionable multimedia analysis and 99.9% for illegal movie retrieval.
-
UHF RFID has the communication area within 10mm and It is a narrow system in order to cover HomeNetworking. 802.11n has the communication area between 100mm and 120mm. RFID is able to extend the communication area by using 802.11n technology. In this paper, presented HomeNetwork system using RFID and 802.11n.
-
A dead zone prediction system for vehicles are implemented in this paper. To improve performance reliability and stability, we import two method to get a information between car and car, and car and road. One is traffic lane detection method, another is vecle recognition. In this paper, we explain the methods and whole structure about this system except for details.
-
Fisher Linear Discriminant(FLD) is a sample and intuitive linear feature extraction method in pattern recognition. But in some special cases, such as un-separable case, one class data dispersed into several clustering case, FLD doesn't work well. In this paper, a new discriminant named K-means Fisher Linear Discriminant, which combines FLD with K-means clustering is proposed. It could deal with this case efficiently, not only possess FLD's global-view merit, but also K-means' local-view property. Finally, the simulation results also demonstrate its advantage against K-means and FLD individually.
-
마우스나 키보드를 벗어나 직관적인 손을 이용하는 테이블 탑 디스플레이는 대부분 Touch 정보를 이용한다. 직접적인 터치에 손 형상 및 제스처를 이용할 수 있다면 보다 자유롭게 시스템을 컨트롤 할 수 있을 것이다. 본 논문에서는 테이블 탑 디스플레이에서의 손형상 인식을 기술한다.
-
The method for evaluating AF's quality and calculating of AF checking time is proposed in this paper. For the purpose, the fuzzy control method is used. To show the effectiveness, the technique is implemented and the performance is verified on a NEC's 16 bit-general purpose micro-controller. The result shows that although the number of AF checking times is reduced, the receiver can find the best frequency faster.
-
In this paper, we explore face recognition technology for embedded system. We develop an algorithm suitable for systems under ubiquitous environment. The basic requirements includes appropriate data format and ratio of feature data to achieve efficiency of algorithm. Our experiment presents a face recognition technique for handheld devices. The essential parts for face recognition based on embedded system includes; integer representation from floating point calculation and optimization for memory management.
-
In this paper, we introduce an ubiWarehouse system for the management of automobile parts. Because automotive parts are very various in kinds according to companies, models and manufacture year, it's hard to find exactly the desired parts and to control goods in stock. To solve this problem, we propose the model of context recognizer in which context data are expressed in a systematic type of 5W1H. And initial context data are grouped and integrated, and some conflict context information is solved. Finally user's intention is interpreted and served. As a result, the proposed system can be used to enhance conveniency and correctness of the management of various kinds of part's.
-
As Ubiquitous era comes, it became necessary to construct environment which can provide more useful information to human in the spaces where people live like homes or offices. For it, this paper research human pattern by classified motion recognition using soft-computing and suggest the system which can control Ubquitous environment by grasp human's movement and condition.
-
This paper suggests the indoor localization footwear system based on RFID and smart floor with effective RFID tag arrangement using simulation. The result of the simulation demonstrates that smart floor has optimal RFID tag interval according to moving characteristics.
-
Recently we are limiting use of toxic material of lead, mercury, cadmium, hexavalent chromium, PBB, etc. by a nation environment regulation guidance in Europe, the United States, China and Japan. In this paper, we developed manufacture method and RFID tag of environment material using Hanji, Broussonetia Kazinoki Siebold, and PLOYCABONATE, etc. The developed RFID tag stick to each layer interval using environment material which is minimized environment pollutant.
-
In wireless multihop networks, most of on demand routing protocols suffer from performance degradation due to high mobility and channel errors. This problem is more serious as routing path increases. In this paper, we propose a new scheme which can improve network throughput by using distance adaptive packet bursting. The bursting mechanism is to transmit multiple packets after channel acquisition. Through the simulation, we show that our scheme is more efficient than existing methods.
-
Recently Multi media industry require HDMI-CEC which is the system to integrate various product one action. So you need to implement CEC when you use HDMI1.3a. In this paper, presented how to make CEC protocol in MCU and how to reduce power consumption. This system implement CEC protocol and uart communication as using WELTREND MCU.
-
An implementation of robust stereo matching algorithm under variable illumination conditions is presented in this paper. By applying window-based matchingmethod to global stereo matching algorthm using belief propagation, our implemented system shows low error rate and could efficiently cope with general radiometric disimilarities between images acquired from two different point of views.
-
Method for 3D reconstruction from image points and geometric clues can be roughly classified as "model-based" and "constraint-based". We present a new method to reconstruct from one image a scene using depth-point. The our method is benchmarked synthetic data and its effectiveness is shown on photograph data.
-
On the Internet we are confronted with a huge amount of information in daily lives. However, we do not have system support for storing and managing Internet search results useful for us. To solve this problem, this paper presents a data management system in which search results obtained from wikipedia and a web search engine are organized in a structured way, enabling an easy access to them later.
-
By using DirectX technology, an improved Visual Monitoring System implemented in this paper. The proposed Visual Monitoring System is developed based on the S3C2440 processor. The Windows CE 5.0 is adopted as an operating system, and Visual Monitoring System transfer image 15 frame per second using UDP/IP and by using AdaBoost Algorithm, detect face region and save face image.
-
In the last few years rapid improvements in hardware technology have made it possible to process, store and retrieve huge amounts of data ina multimedia format. As a result, Content-Based Image Retrieval(CBIR) has been receiving widespred interest during the last decade. This paper propose the content-based retrieval system as a method for performing image retrieval throught the effective feature analysis of the object of significant meaning by using texture descriptor.
-
In this paper, we propose a new algorithm for task scheduling consisting of subtask partitioning and subtask priority scheduling steps in order to keep the peak power under the system specification. The subtask partitioning stepis performed to minimize the idle operation time for processors by dividing a task into multiple subtasks using the least square method developed with power consumption pattern of tasks. In the subtask priority scheduling step, a priority is assigned to a subtask based on the power requirement and the power variation of subtask so that the peak power violation can be minimized and the task can be completed within the execution time deadline.
-
It is often required to display 3-D data onto a 2-D screen and to examine and verify validity of data. LIDAR data is a good example. They represent 3-D spatial information in text format. However, it is very difficult to examine data on a 2-D screen. A 3-D data viewing system has been implemented and tested in order to solve the problem.
-
This paper introduces current technology trend of cell planning system in radio propagation and 3D GIS technologies which are implemented in Cell planning system "CellTREK" for accurate radio propagation analysis. It includes managing of DTM(Digital Terrain Model) data considering building height used by 3D propagation analysis module, and navigation module based on DirectX technology to estimate and eliminate the shadowing area by high rise buildings.
-
LiDAR data are acknowledged as very useful method to represent 3-D geographical information. In this paper aquad tree has been utilized to represent the 3-D spatial information. Compression algorithm is implemented based on a given threshold. The efficiency of compress is very high with large threshold values.
-
지리정보시스템(GIS)은 경제발전, 환경보전, 도시계발 등에서 중요한 역할을 하고 있다. 지리정보시스템에서 빈도높게 측정되고 있는 것은 LiDAR(고정밀 항공 레이저 측량기술) 데이터로써 높은 위치정확도를 지니며, 데이터의 취득시 바로 지상좌표를 취득함으로써 좌표의 변환이 필요 없기 때문에 좀더 빠르게 데이터를 처리할 수 있는 장점을 가지고 있다. 본 연구에서는 이러한 LiDAR의 자료와 구글어스 등과 같이 2차원 영상을 획득한 경우, 3차원의 LiDAR 데이터를 2차원에 매핑시키는 방법을 연구하였다. 2차원 영상의 기준점을 정확하게 파악하는한 3차원의 LiDAR 데이터와 정확하게 일치하는 것으로 확인되었다.
-
This paper aims to propose an emergency recognition system using multimodal information extracted by an image processing module, a voice processing module, and a gravity sensor processing module. Each processing module detects predefined events such as moving, stopping, fainting, and transfer them to the multimodal integration module. Multimodal integration module recognizes emergency situation by using the transferred events and rechecks it by asking the user some question and recognizing the answer. The experiment was conducted for a faint motion in the living room and bathroom. The results of the experiment show that the proposed system is robust than previous methods and effectively recognizes emergency situations at various situations.
-
In this paper, We propose the feature-based 3D model Retrieval System. 3D models are represented as triangle meshes. A first simple feature vector can be calculated from hull. After looking for meshes intersected with the hull, we compute the curvature of meshes. These curvature are used as the model descriptor.
-
This paper presents a hardware accelerator for accelerating vector graphics applications based on the OpenVG standard. Since our design mainly targets embedded applications, we focus on efficient uses of limited resources, especially the memory bandwidth. The designed accelerator can process the images of
$640{\times}240$ pixels with moderate complexity at the rate of 30 frames per second. -
Sensing is an important part of a smart home system. Vision sensors are a type of passive systems, which are not sensitive to noise. In this paper, we implement a prototype for stereo vision systems using OpenCV. It is an open source library for computer vision developed by Intel corporation. The prototype will by used for comparing performance among various stereo algorithms and for developing a stereo vision smart camera.
-
In this paper, It has been emphasized of important path access during transmit of node when each node transmit broadcast service which one's of new MANET (Mobile Ad hoc Networks) of autonomy and mobility network structures. It has proposed analysis methods are hierarchical path structure of transmit which experience context aware through confidence to network connectivity alone as issue which can be reduce duplicate of beam forming on networks and maintenance one's of method got to optimized of transmission network that status information of context awareness using ad hoc ODDMRP(Ontology Doppler effect Dynamic Multicast Routing Protocol) path method.
-
Fibre channel based Network-Storage Streaming Accelerator is implemented in this paper. By using Fibre Channel as interface for storage device and sharing storage device among the accelerators, waste of storage space is eliminated. And By using Multi link of Fibre Channels, the load of I/O operations can be distributed over all available links and I/O operations can be conducted even if some links were failed.
-
Mani Memory DataBase(MMDB) system store their data in main physical memory and provide very high-speed access. Conventional database system are optimized for the particular characteristics of disk storage mechanism. Memory resident systems, on the other hand, use different optimizations to structure and organize data, as well as to make it reliable. This paper provides a brief overview on MMDBs and the results after evaluating the performance of our simple MMDB based on Embedded system.
-
In this paper, we analyze the characterization of Mibench, an embedded system benchmark program, using simplescalar simulator. The experimental results show Mibench generally is formed by lots of integer and memory access instructions. Especially, IPC of rijndael decoding is effected by cache size largely, but IPC of CRC32 is few effected by cache size or branch predicting algorithm.
-
As an Embedded system get more complicated, the importance of the software test increases. Especially it is mandatory to test the embeded software for a charge degrade of the development company which is happened due to the error, a danger element clearance to the customer, a performance enhance of the system. In this paper, we propose efficient test method which discovers the bug an embedded system using suitable test case selection and automation test.
-
본 논문은 WLAN 환경에서 웨이블릿과 신경망을 사용한 실내 위치인식 방법을 제안한다. 제안한 방법의 기본적인 아이디어는 경제적이면서 효율적인 방법으로써 실내에 설치된 무선 AP들로부터 수신된 신호들의 수신세기로부터 비교적 정확하게 위치를 추정하는 연구이다. 일반적으로 무선 신호는 주위 환경 및 건물 구조적 요인에 의해 수신세기가 더해지거나 감해지는 현상이 발생함으로써 수신된 각 신호세기로부터 신호 잡음과 오류에 강인하고 시간과 주파수 도메인의 정보 표현이 가능하며 각 신호세기들간의 구별성을 갖는 특징값 획득이 필요하다. 제안 방법에서는 웨이블릿 변환을 이용하여 수신된 신호로부터 중복 데이터와 잡음에 민감하게 반응하지 않는 특징값을 획득하고, 수신신호 전역 및 지역적 특징의 표현이 가능한 신경망을 사용하여 실내위치인식 방법은 제안한다. 실험 결과 실내위치 인식률이 94 % 이상 제시하였다.
-
The partitioning problem is one of efficient issues on designing an excellent DVE. A brilliant partitioning method is related with assigning several avatars into the suitable servers with well balancing the growing requirement of bandwidth and computational resources in DVE. In this paper, a new method LCAA is proposed. The LCAA is a new partitioning method that balancing the CPU utilization of servers in DVE especially.
-
An object tracking system, which uses an omni-directional camera, is proposed in this work. First, we construct a mapping table, which describes the relationships between image coordinates and omni-directional angles Then, we develop a surveillance system to detect unexpected objects automatically from omni-directional images. Finally, we generate perspective views for detected objects by using the mapping table. Simulation results demonstrate that the proposed algorithm provides efficient performances.
-
Block-matching motion estimation plays an important role in video coding. In this paper, we propose fast motion estimation algorithm using octagon pattern for low complexity. Proposed algorithm carries out minimum SAD point among nine regions divided by octagon pattern and center point. Experimental results show that proposed algorithm has better performance than conventional method through quantitative analysis.
-
In this paper, we propose a fast Motion Estimation (ME) algorithm for low computational complexity based on spatial correlations. The proposed algorithm uses the motion vector of neighboring blocks, to decide the location of initial searching point. The number of searching point decreases by changing the location of initial searching point. Experimental results show that the proposed algorithm reduces searching points about
$7{\sim}13%$ and increases PSNR about$0.1{\sim}0.5$ (dB) compared with conventional algorithm. -
In this paper, we propose a Motion Estimation (ME) based on Frame Difference (FD) for frame interpolation in video sequence with luminance variation. Proposed algorithm uses limited blocks whose motion is predicted by FD for ME. The Block average of current and previous frame for the blocks which has no motion variation is used as interpolated block. In experiments, the proposed algorithm shows better performance than conventional algorithms.
-
In this paper, we introduce the multi-target-based auto surveillance algorithm. Multi-target-based surveillance system detects intrusion objects in the specified areas. The proposed algorithm can divide into two parts: i) background generation, ii) object extraction. In this paper, one of the optical flow equation methods for estimation of gradient method used to generate the background [2]. In addition, the objects and back- ground video images that are continually entering the differential extraction.
-
We present a new algorithm for VLC table prediction in H.264 context-based adaptive variable length coding (CAVLC). Using both the correlation of coding modes and the statistics of the mode distribution in intra and inter frames, we can predict an appropriate VLC table of the given
$4{\times}4$ block. Experimental results demonstrate that the proposed algorithm reduces the bit rate about 0.97% on average, compared to the H.264/AVC standard. -
The block size of intra prediction mode can differentiate the texture area from the homogeneous area of image. This information can be used to enhance the size resolution of image. Specifically, in this paper, we apply the bicubic interpolation or the bilinear interpolation adaptively selected the intra prediction mode of the H.264 compression.
-
H.264/AVC standard adopts multiple reference frames for motion estimation. This method improves coding efficiency, but it increases complexity of encoder. In this paper, we propose a algorithm that reduces the search range by using the correlation of motion vector. Consequently, motion estimation processing time is 47.5% when compared with standard of five reference frames, and SNR and bitrate are better than that of standard of three multi reference frames.
-
Visual quality of video telephony over 3G networks is not satisfactory due to limited bandwidths. Therefore, it is worthwhile to enhance subjective visual quality based on ROI coding. In this paper, we propose a rate-control algorithm for video telephony with ROI based H.264|AVC coding. The QP values are increasingly assigned in non-ROI away from the ROI so that graceful degradation of visual quality can be achieved, which is more visually pleasant.
-
An efficient intra mode selection algorithm is proposed to reduce the computational complexity of inter frames for the H.264|AVC video encoding system. We propose an adaptive thresholding algorithm based on distribution characteristics of the sum of the absolute differences (SAD) of the best inter mode. Through comparative analysis, the proposed algorithm shows better speed up ratio with a negligible quality loss.
-
This paper presents a new dynamic range compression method using region-adaptive gamma correction. Gamma corrections with different gamma coefficients are first applied to the observed image to generate several candidate images. Then, the proposed method produces the result image by adequately combining them according to the weight function based on local variances. Experimental results demonstrate that the proposed method significantly enhances image quality by bringing out the details not only in dark region but also in bright region.
-
In this paper, we propose an edge dependent interpolation (EDI) method based on adaptive search range. The proposed EDI method uses the vector matching to determine the edge direction, and the vector matching process is terminated when the previous sum of absolute difference (SAD) is smaller than the next one. The adaptive search range method enables the EDI algorithm to estimate edge direction more accurately and to reduce the computational complexity. The experimental results show that the proposed method produces better performance than conventional algorithms.
-
멀티미디어 통신이 다변화되는 환경에서 화상회의, DMB 또는 화상통신과 같은 영상 서비스가 중요시 되고 있다. 화상회의나 영상통화의 경우 통신하는 객체의 움직임이 크지 않은 특징이 있으며, 또한 모바일 영상의 경우 영상의 크기가 제한적이다. 따라서 본 논문에서는 데이터의 손실을 최소화하며 연산량을 크게 줄일 수 있는 탐색 알고리즘을 제안한다. 움직임이 작은 영상의 특성에 맞춰 탐색을 수행 하여 연상량을 줄임과 동시에 화질의 열화를 최소화한다. 또한 임계값을 적용하여 영상의 불필요한 탐색을 조기 종료 하도록 함으로서 압축과정의 효율을 높일 수 있다.
-
In H.264, sub-pel motion estimation (ME) has strong effect when coding video sequences. 1/4-pel performs better at low bitrate while 1/8-pel gives better results at high bitrate. In this paper, a variable sub-pixel motion vector resolution based on block mode motion estimation method is proposed. Experiment results show that the proposed method can take the advantage of 1/4-pel at low bitrate and 1/8-pel at high bitrate. In addition to that, time is reduced from 14% to 53% compared to KTA1.3 with 1/8-pel motion vector (MV) resolution.
-
In this paper, a modified cross search algorithm for fast block matching motion estimation is proposed. Various Motion Estimation (ME) algorithms have been proposed since ME requires large computational complexity. The proposed algorithm employs Modified Cross Search Pattern (MCSP) to search the motion vector. Efficient compression can be achieved since Modified Cross Search Algorithm (MCSA) simplifies the search pattern to reduce the computational complexity. The experimental results show that proposed algorithm reduces the search points up to 29% compared to conventional methods.
-
In this paper, we propose a new tracking algorithm which uses weighted sum of color bin's centroids to find the main centroid of the target. The weights are determined by the proportion of colors of the target and by the colors of background. That is, A color which has high occupation in forming the target is highly weighted and a color which has low occupation is lowly weighted. Moreover, the proposed algorithm prevent track failure by lowering the weight of the colors which forms the background. Therefore, the proposed algorithm performs stable tracking inspite of occlusion and existence of confusing backgrounds.
-
Oh, Young-Jin;Jung, Kwang-Hee;Kim, Joong-Kyu;Lee, Gwang-Soon;Lee, Hyun;Hur, Nam-Ho;Kim, Jin-Woong 815
In this paper, we evaluate depth image preprocessing for 3D data service based on DIBR over T-DMB. We evaluate two preprocessing methods of depth images. These are gaussian smoothing and adaptive smoothing. The results show that adaptive smoothing is more suitable for images with sharp transition of depth. -
In the present paper we propose a new method of intermediate view reconstruction between stereo images using belief propagation_based stereo matching. Intermediate view reconstruction is an important step for multiview 3D display. Many previous paper about intermediate view reconstruction using depth information to synthesize interview though stereo matching were proposed. But depth information is different to estimated accurately. In the present paper, in order to obtain accurate depth information, belief propagation_based stereo matching was used.
-
본 논문에서는 적외선 거리측정 센서를 이용한 보행자 측정 시스템을 제안하였다. 제안한 시스템은 적외선 거리측정 센서의 배열를 이용하여 센서 시스템을 통과하는 보행자의 높이를 영상으로 획득하며, 이러한 센서열을 두 행으로 배치함으로서 보행자의 이동 방향을 판단한다. 실험 결과 제안한 시스템은 약 90% 의 정확도로 보행자 수를 측정할 수 있음을 확인하였다.
-
This paper presents a new demosaicking method based on weighted sum in the wavelet domain. In our method, the missing wavelet coefficients in lowest frequency subband are obtained by weighted sum. Since detail coefficients have large values at the edge region, these values are used as weighting factors. Detail coefficients are replaced by the coefficients in the corresponding subbands. Experimental results show that the proposed method generates good performance.
-
In this paper, we propose a robust and fast digital image stabilization algorithm based on edge detection. The proposed algorithm exploits sobel operator to obtain edge image and fast detects irregular conditions with analyzing an edge information of the image. Experimental results show that the proposed algorithm can gain better performance in the sense of speed and precision comparing with full-block search method.
-
Focusing is the principal factor that decides the image quality. In the low illuminance condition, captured images with a digital camera usually blurred because the autofocus system of the camera fail to detect the in-focus position. The failure of focusing is due to thermal noise in the captured image. In this paper, we propose a new focus detection algorithm. The proposed algorithm use the new focusing index which is weighted sum of the high-frequency energy and mid-frequency energy. The weight is determined by the local variance of the image. The proposed algorithm performs stable focusing detection with in the low illuminance condition.
-
Blur identification is the first and the most important step of restoring images. Edge region of the image usually conveys important information of blur parameters. In this paper we propose a region-based edge extraction method for estimating point-spread-function (PSF). As a result, the proposed method can detect the starting and the ending points of a step response, and provides the PSF parameters to the restoration process.
-
In modern days, many of the images are captured by using various devices, such as PDA, digital camera, or cell phone camera. Because all these devise have a limited dynamic range, images captured in real world scenes with high dynamic ranges usually exhibit poor visibility and low contrast, which may make important image features lost or hard to tell by human viewers. In this paper, the efficient color image enhancement method is presented. Experimental result show that the proposed method yields better performance of color enhancement over the previous work for test color images.
-
This paper proposes the experimental results and analysis of face recognition on an conventional UMPC(Ultra Mobile Personal Computer). With face images acquired by the embedded camera of UMPC, we detected the facial region by using Adaboost face detector. The detected image was normalized into a
$32{\times}32$ pixel sized image for face recognition. We performed face recognition based on PCA (Principal Component Analysis). As experimental results, the TER (Total Error Rate) of face recognition was 19.77%. -
In this paper, a pattern classification method using closest decision method based on the mean of norm in the closet prototype from an input pattern and its k nearest neighbor prototypes is presented to do accurate classification in arbitrary distributed patterns when the number of patterns is very low. Also this method can be used to classify input pattern precisely when the number patterns is very low because this method considers the weight by the difference of variance in prototypes around the discrimination boundary.
-
This paper presents a new method of segmenting characters in a car licence plate which is less influenced by illumination variation. It uses an anisotropic filter to reduce the lighting noise and a histogram specification scheme to obtain the binary image. Anisotropic smoothing filter process the input images, which are acquired under different lighting conditions, so that they may have similar image quality. The enhanced performance of the proposed algorithm has been proved by the experiment.
-
This paper presents a new signature and Fourier descriptor based algorithm for recognizing a rotated object and its rotation angle. Fourier descriptor is used to represent an object using its frequence parameters which are not influenced by rotation. once the object is recognized, the point with the largest auto-correlation coefficient which can be calculated from signature of the object is used to find angle of the object. The outstanding performance of the proposed algorithm has been tested with the test images where more than 10 2D objects arbitrarily located on a table.
-
스테레오 매칭(Stereo Matching) 기법에 대한 전역적인 방법과 지역적인 방법에 대한 연구가 활발하게 진행되고 있다. 최근의 적응적 영역 가중치 방법(Adaptive Support-Weight)은 매우 뛰어난 결과에 비해 많은 계산 시간이 필요하다. 따라서 로봇시스템에서 스테레오 매칭을 이용하기에는 부적합하다. 본 논문에서는 분리 가능한 Bilateral 필터를 이용하여 빠른 스테레오매칭 기법을 제안한다
-
In this paper, we propose a CIECAM02-based color edge detection method. The proposed method detects color edges with distance metric based on the characteristics of Human Visual System (HVS). The CIECAM02 is inherently strong in considering HVS. We use a vector gradient edge detector in a JCh components with newly proposed distance metric. The proposed method detect edges clearly. We subjectively confirm the performance with clearly enhanced images.
-
Multi-scaled retinex algorithm is generally used to enhance the local contrast and remove the illuminant component. However, if the chromatic distribution of an original image is not uniform and dominated by a certain chromaticity, the chromaticity of resulting image depends on the dominant chromaticity of the original image, thereby inducing the color distortion. In this paper, a modified multi-scaled retinex method to reduce the influence of the dominant chromaticity in the image is proposed using a average chromaticity of original image and global illuminant chromaticity. In addition, to compensate saturation, the chroma value of the resulting image is enhanced based on that of the original image in the CIELAB space.
-
In this paper, we proposed a novel edge-oriented color interpolation method which determine the edge direction with hard-decision based on high correlation between different channels. The novel edge direction estimation criterion improves the color interpolation method especially on edges by considering high frequencies between channels.
-
Region adaptive demosaicing scheme based on the spectral spatial correlation is prosed in this paper. Region classification and adaptive demosaicing makes the decision of the edge direction more accurately.
-
In this paper, we propose a tone mapping method using Non-linear Dynamic Range Normalization (NDRN) for High Dynamic Range (HDR) images. HDR images are not suitable for commercial display devices because dynamic range of HDR images do not match with one of Low Dynamic Range (LDR) display devices. To reproduce a tone of HDR images for LDR displays, tone mapping methods have been proposed such as local and global tone mapping. We introduce NDRN to locate mean of HDR images at the center of LDR. NDRN preserves the details for highlight and shadow. By suppressing the significant luminance change in tone mapping, naturalness of original image can be also preserved. The experimental results show that the proposed method preserves details and naturalness of original images.
-
The terrain perception technology using passive sensors plays a key role to enhance autonomous mobility for UGV. We present an effective method to classify terrain covers based on the color information. Considering a real-time implementation, neural network is applied for the terrain classifier and wavelet features extracted from the images are used. Test results show that the proposed algorithm has a promising classification performance.
-
In this paper, we studied the performance evaluation of a vehicular rear-view camera through quantifying the image quality based on several objective criteria from the ISO (International Organization for Standardization). In addition, various experimental environments are defined considering the conditions under which a rear-view camera may need to operate. The process for evaluating the performance of a rear-view camera is composed of five objective criteria: noise test, resolution test, OECF (opto-electronic conversion function) test, color characterization test, and pincushion and barrel distortion tests. The proposed image quality quantification method then expresses the results of each test as a single value, allowing easy evaluation.
-
This paper proposes a new fast algorithm that detects the lanes on the road using Moore-Neighbor edge trace algorithm, which traces the edge elements by searching the connectivity in eight direction window. The detected line components are connected if they have the same orientation on the same line. The proposed algorithm is faster than other conventional algorithms since it tests only the connectivities of the line segments. The performance of the proposed algorithm has tested by the experiments to test how fast and accurate.
-
Jung, Kwang-Hee;Park, Young-Kyung;Kim, Joong-Kyu;Lee, Gwang-Soon;Lee, Hyun;Hur, Nam-Ho;Kim, Jin-Woong 859
In this paper, we present a simultaneous method for depth image based rendering. Simultaneous method can reduce high computational complexity and waste of memory required for DIBR. Experimental results show that the proposed method is suitable for generating auto-stereoscopic images. -
This paper presents the results of iris region localization for imprecisely taken iris images in UBIRIS ver2. UBIRIS database consisting of 500 images of 24-bit RGB typed TIFF file. They were captured by conventional digital camera in visible light environment. Experimental result showed that FP(False Positive rate) and FN(False Negative rate) were about 2.2% and 29.1%, respectively.
-
To predict the iris matching performance and guarantee its reliability, image quality measure prior to matching is desired. An analysis on iris image degradation factors which deteriorate matching performance is a basic step for iris image quality measure. We considered five degradation factors-white-out, black-out, noise, blur, and occlusion by specular reflection-which happen generally during the iris image acquisition process. Experimental results show that noise and white-out degraded the EER most significantly, while others on EER were either insignificant or degradation images resulted in even better performance in some cases of blur. This means that degradation factors that affect the performance can be different from those based on human perception or image degradation evaluation.
-
Despite the effort for affording hearing handicapped persons facility for living, the investigation of assistive devices which recognize the out of sight circumstance has been studied insignificantly. In this paper, a novel acoustic-aware system is suggested to forward the sudden noise occured by the appearance of a new context to a hearing-impaired persons.
-
In this paper, we discuss face verification using the optimum nonlinear composite filter. The optimum nonlinear composite filter is derived by minimizing the output energy due to the additive noise and the input scene. We construct the nonlinear composite filter with 3 training images. The performance is analyzed in terms of the detection probability and the false alarm probability showing the robustness to distortion and noise.
-
Illumination variation is the most significant factor affecting face recognition rate. In this paper, we propose adaptive smoothing based on combined most significant bit (CMSB) - plane and local entropy for robust face recognition in varying illumination. Illumination normalization is achieved based on Retinex method. The proposed method has been evaluated based on the CMU PIE database by using Principle Component Analysis (PCA).
-
The method and results of the software implementation of a echo processor for medical ultrasound imaging using a GPU (NVIDIA G80) is presented. The echo signal processing functions are modified in a SIMD manner suitable for the GPU's massively parallel processing architecture so that the GPU's 128 ALUs are utilized nearly 100%. The preliminary result for a frame of image composed of 128 scan lines, each having 10240 16-bit samples, shows that the echo processor can be inplemented at a high rate of 30 frames per second when implemented in C, which is close to the optimized assembly codes running on the TI's TMS320C6416 DSP.
-
In this paper, we propose a packet loss concealment (PLC) algorithm for CELP speech coders, which is based on multiple adaptive codebooks by using comfort noise for the lost packet recovery. The multiple adaptive codebooks are composed of a conventional adaptive codebook to model periodic excitation of speech and another adaptive codebook to provide a better estimate of excitation when packets are lost in the speech onset region. The performance of the proposed PLC algorithm is evaluated by implementing it into the G.729 decoder and compared with that of the PLC algorithm employed in the G.729 decoder by means of perceptual evaluation of speech quality (PESQ). It is shown from the experiments under different burstiness of packet loss rates of 3% and 5% that the proposed PLC algorithm provides higher PESQ scores than the G.729 PLC algorithm.
-
Parametric stereo coding is a technique to efficiently code a stereo audio signal as a monaural signal plus small amount of parametric overhead to describe the stereo image. The stereo properties are analyzed, encoded, and reinstated in a decoder according to spatial psycho-acoustical principles. However, coding of multichannel audio signal using parametric stereo still requires considerable bit-rate. In this paper, enhanced parametric stereo coding for multichannel audio signal is proposed.
-
We suggest a feature reduction method to classify mouse function data sets, which integrate several biological data sets represented as high dimensional vectors. To increase classification accuracy and decrease computational overhead, it is important to reduce the dimension of features. To do this, we employed Hybrid Huberized Support Vector Machine with kernels used for a kernel logistic regression method. When compared to support vector machine, this a pproach shows the better accuracy with useful features for each mouse function.
-
Music can give pleasure to people according to a rhythm, and Musical instrument can make various sounds according to quality of the lumber. A string instrument makes sound by strings so it feels very soft and a brass makes to feel a high pitched tone. A gayageum makes sound by oscillation which is transferred to a resonator when a string is touched. And this sound is very soft and faint. Therefore, in this paper, we researched sound properties of gayageum according to quality of the lumber of musical instrument. As the result of researches, we found that melody is affected by the annual ring of the resonance lumber.
-
This paper presents an algorithm of target detection of an underwater acoustic signal by estimating the background. At first, subtract the estimated background from the underwater acoustic signal. To estimate the background, this paper uses an algorithm of Denoising. By using Thresholding and Power analysis, we extract targets from the signal to eliminate the background. The proposed method is valuable as an algorithm to reduce calculation amounts of multi frames we will apply.
-
This paper presents a method for classification of underwater transient signals using, which employs a binary image pattern of the mel-frequency cepstral coefficients(MFCC) as a feature vector and a neural network as a classifier. A feature vector is obtained by taking DCT and 1-bit quantization for the square matrix of the MFCC sequences. The classifier is a feed-forward neural network having one hidden layer and one output layer, and a back propagation algorithm is used to update the weighting vector of each layer. Experimental results with some underwater transient signals demonstrate that the proposed method is very promising for classification of underwater transient signals.
-
This paper presents a reference template design method for frame-based classification of underwater transient signals. In the proposed method, framebased feature vectors of each reference signal are clustered by using LBG clustering algorithm to reduce the number of feature vectors in each class. Experimental results have shown that drastic reduction of the reference database can be achieved while maintaining the classification performance with LBG clustering algorithm.
-
본 논문은 여러 음향신호의 도달각에 기반한 실내측 위성능을 분석한다. 도달각 측정을 위해 송출전력이 같은 총성, 벨소리, 그리고 의사충격음을 사용하였다. 음향 신호는 약 32 평방미터의 실험실에서 한 개의 음원 스피커를 통해 송출되고, 두 쌍의 서로 동기가 맞는 배열 마이크를 통해 수신된다. 수신된 음향신호로부터 한 마이크 쌍 사이의 도달지연시간차가 측정되고, 이로부터 도달각이 산출된다. 끝으로, 음원 스피커의 최종 위치는 도달각의 교점으로 결정된다. 본 논문은 설치한 실험환경에서의 참 도달각과 측정 도달각의 평균절대오차와 측위오차의 상보누적분포를 보이고, 이에 대한 성능특성을 분석한다.
-
The design of an improved digital artificial reverberator is introduced in this paper. The system is based on an embedded all-pass filter and consists of three parts, diffusion part, delay part, and reverberation part. By using an embedded all-pass filter, reverberator is simplified and has fewer operation cycles. The performance of the designed reverberator is compared with well known commercial equipment.
-
본 논문에서는 최근 주목받고 있는 에러 정정 기법 중 하나인 LDPC(Low Density Parity Check) 부호를 광 기록 채널(Optical Recording Channel)에 적용해 보았고 이때 사용되는 연판정 출력 알고리즘으로 MAP과 SOVA를 이용하여 성능을 비교하였다.
-
In this paper, we propose a sharpening approach which is based on unsharp masking through the adaptive windowing. This adaptive windowing changes the window size of the low-pass filter which is used in unsharp mask for reducing the halo artifacts.
-
We propose a adaptive combination of affine projection algorithm (APA) filters with different update interval. Two APA filters with different update interval are adapted independently in order to keep the advantages of both component filters. This novel scheme provides improvement of performance in term of the convergence rate and the steady-state error. Experimental results show good properties of the proposed algorithm.
-
Array comparative genomic hybridization (aCGH) has been used to detect chromosomal regions of amplifications or deletions, which allows identification of new cancer related genes. As aCGH, a large-scale spatial data, contains significant amount of noises in its raw data, it has been an important research issue to segment genomic DNA regions to detect its true underlying copy number aberrations (CNAs). In this study, we focus on applying a segmentation method to multiple data sets. We compare two different threshold values for analyzing aCGH data with CBS method [1]. The proposed threshold values are p-value or
$Q{\pm}1.5IQR$ and$Q{\pm}1.5IQR$ . -
In this paper, we have proposed a new method to estimate three dimensional (3D) shape of an object. The new method is simple and fast, based on Pixel-Intensities in the images sequence as a model for depth measurement. The images are taken by varying the focus value in small steps, and each pixel in the image is taken as a single measurement. The proposed algorithm is more fast and accurate than previous methods.
-
This paper suggests a simple scheme of block motion estimation in which the search pattern selection is based on the classification of motion content available in the spatio temporal neighboring blocks. The search area is divided into eight sectors and the search pattern selection is also based on the direction of predicted motion vector. Experimental results show that the proposed algorithm has achieved good predicted image quality measured in terms of PSNR and has very less computational complexity.
-
This paper presents a method where the best focues points are calculated using line fitting. Two datasets are selected for each pixel based on the maximum value which is calculated using Laplacian operator. Then linear regression model is used to find lines that approximate these datasets. The best fit lines are found using least squares method. After approximating the two lines, their intersection point is calculated and weights are assigned to calculate the new value for the depth map.
-
Estimation of surface roughness is an important quality measure for many applications including optics, polymers, semiconductor etc. In this paper, we propose to estimate surface roughness using image focus. We use polymers as test objects. The proposed method is an inexpensive and fast method based on Shape From Focus (SFF). We show that the results from SFF are encouraging for comparison of polymers using surface roughness.
-
For evaluating the performance of some codecs, many researchers have study and develop new objective video quality assessments. However, it's not sufficient for evaluating the temporal feature of video data yet, which is a distinguishable and representative characteristic when compared with other multimedia. This paper propose the method to apply the weight to SSIM (Structural SIMilarity) according to the cognitive psychological feature. And, we presented that the performance of objective video quality assessment applied the weight to SSIM by using the proposed method is superior to one of original SSIM.
-
In the present paper, a new framework, which is called visual SSIM (VSSIM), is proposed by incorporating crucial human factors into the SSIM. The human factors are foveation, luminance, frequency and motion information. Subjective quality test compliant with the Video Quality Expert Group (VQEG) multimedia group test plan shows that the visual SSIM is more correlated with the subjective quality result than the conventional SSIM.
-
This paper presents a method of adaptive image enhancement with dynamic range compression and contrast enhancement. The dynamic range compression is to adaptively enhance the dark area using illumination component of DCT compression block. The contrast enhancement is to modify the image contrast using retinex theory that uses the HVS properties. The block artifacts and other noises, caused by processing in the compression domain, were removed by after processing.
-
We propose a clustering based object feature matching for identification of same object in multi-camera system. The method is focused on ease to system initialization and extension. Clustering is used to estimate parameters of Gaussian mixture models of objects. A similarity measure between models are determined by Kullback-Leibler divergence. This method can be applied to occlusion problem in tracking.
-
Histogram Equalization (HE) method is widely used for contrast enhancement. However, HE often introduce washed out appearance or color distortion due to the over enhancement in contrast. In this paper, Dynamic Range Separate Histogram Equalization (DRSHE) is proposed for contrast enhancement. DRSHE reconfigures the dynamic range of histogram using probability distribution ratio. The experimental results show that DRSHE suppresses the washed out appearance or color distortion and preserves naturalness of the original image compared with conventional methods.
-
In this paper, we present early processing techniques for visual inspection of metallic parts. Since metallic surfaces give rise to specular reflections, it is difficult to extract object boundaries using elementary segmentation techniques such as edge detection or binary thresholding. In this paper, we present two techniques for finding object boundaries on micro bit images. First, we explain a technique for detecting blade boundaries using a directional correlation mask. Second, a line and angle extraction technique based on Harris corner detector and Hough transform is described. These techniques have been effective for detecting blade boundaries, and a number of experimental results are presented using real images.
-
In this paper, we propose a lossless compression algorithm using adaptive DPCM(Differential Pulse Coded Modulation) for enhancing the compression ratio. To improve the compression efficiency, various DPCM modification algorithms have been proposed. Proposed algorithm presents 16 DPCM methods and adaptively selects the DPCM method for each block. The experimental results show that proposed DPCM algorithm has better performance on compression ratio than JPEG standard.
-
Image completion is to repair a portion of removed image automatically. In this paper, we propose an image completion technique with inner structure estimation. Our method consists of two steps. An inner structure is first estimated by using sobel edge detector. Then, the removed pixels are repaired using similar patches in the known region. By experimental results, it is shown that our approach works well on natural images.
-
This paper presents an object tracking method using motion vectors generated in the MPEG4 encoding process and the snake algorithm for active contours. This paper shows the possibility of realtime object tracking during MPEG4 encoding process in a conventional surveillance system. The experiments is performed on a PC platform to prove the effectiveness of the method.
-
In this paper, a interpolation error concealment algorithm of motion compensated interpolated frame for motion compensated frame rate conversion to reduce the block artifacts caused by failure of conventional motion estimation based on block matching algorithm is proposed. Experimental results show good performance of the proposed scheme with significant reduction of the block artifacts.
-
To develop reliable 3D face recognition system, many researchers also have focused on 3D face data acquisition system. Previous many 3D face acquisition systems use visible patterns to solve corresponding problem, and this pattern made anyone who wants to be verified uncomfortable. In this paper, we propose a new invisible infrared line-laser pattern for 3D face data acquisition.
-
An implementation of modified stereo matching using efficient belief propagation(BP) algorithm is presented in this paper. Edges of the image were found using conventional edge detection algorithms. Resulting edge information is used to suppress propagation of wrong probabilistic information. Proposed method can effectively reduce errors that incurred by ambiguous scene properties.
-
This paper describes the process of extracting defect from optical photomask images. We introduce a new method of finding photomask detects with a single optical photomask damaged image. The proposed algorithm is efficient when an original undamaged image is unavailable. The experiment showed that even a small and discontinuous photomask defect was extracted as well as continuous type of defects.
-
Usually stereo matching methods have some limitations in finding out matching points specially at the boundaries of objects This paper proposes a new method which reduces noises incurred in the process of determining disparity with our energy-based stereo matching method. Our method exploits segmentation results so that pixels within the intra-region have similar disparity values.
-
Spotlight system has been made use of art works on stage such as musical and performance etc. Currently, spotlight is controlled manually through the planned path in advance. Therefore, automatic control system is necessary for manipulating spotlight on stage. In this paper, we propose the system that detects to track the multiple objects and classifies those objects, also selects an object of those ones. The proposed method can be applied to the interactive stage spotlighting system(ISSS).
-
In this paper, we propose a novel image clustering method based on weighted sub-sampling to reduce clustering time and the number of clusters for target detection and tracking. Our proposed method first obtain sub-sampling image with specific weights which is the number of target pixels in sampling region. After performing clustering procedure, the cluster center position is properly obtained using weights of target pixels in the cluster. Therefore, our proposed method can not only reduce clustering time, but also obtain proper cluster center.
-
In this paper the performance of lane recognition algorism using linear regression analysis for raises the accuracy of sampling. It is required to have a raises the accuracy of sampling that is Lane Recognition.
-
In this paper, image processing technologies was employed to monitor and visualize the conditions of the inside of furnace for glass. In order to capture the images of the furnace under the high temperature environment, specially designed camera system was used. From the experimental results we see that the developed software showed good information about the inside conditions such as a scum line and positions of bubbles.
-
Feature matching is often used for image alignment. It, however, isconsidered as motion estimation problem in case of video. In that case we need only a motion vector in an image. Then we can compute the distance between two images although the images are far away each other. So we propose affine transformation from camera motion for spatial positioning of frames and aligning those frames. The data from this method can be useful for calculating the distance, stabilizing video, photographing panorama and so on.
-
Recently, many efforts have been made for research and application of object tracking system. However, introduced object tracking algorithms have limitations to adopt a realtime object tracking system with multi camera. In this paper, we present a novel background generation and target object recognition algorithm for realtime object tracking system with multi camera and implemented it.
-
The intra prediction technique is the one of the key factors to the success of H.264. There are nine optional prediction modes for each
$4{\times}4$ luma block and 4 modes for each$16{\times}16$ luma block. To reduce the intra mode bits efficiently, the most probable mode (MPM) is estimated by using the intra modes of the adjacent blocks, since intra modes for neighboring$4{\times}4$ luma blocks are correlated. In this paper, a new method for estimating the MPM is proposed by using the statistical distribution of intra modes of adjacent intra blocks. Experimental results show that the proposed method can achieve a coding gain of about 0.1dB. -
This paper proposes a new method for image composition which estimates the rotation angle of human hand and uses the reserved image in real-time camera images. First, we capture a background image and extract a interesting region by background subtraction. Next, we estimate the skin region from the interesting region and calculate the rotation angle of estimated skin region using PCA(Principal Components Analysis). Finally, we composite the reserved image for the calculated rotation angle in camera images. The proposed method can be applied to control the 3D avatar for marker-less augmented reality.
-
Wireless Sensor Network (WSN) surveillance applications usually employed magnetometer for recognizing the ferrous objects. Novel magnetic sensing model which includes azimuth parameter is suggested to understand the anisotropic characteristic of magnetic field through numerous outdoor experiments.
-
This paper presents a new method for illumination normalization in eye detection. Based on the retinex image formation model, we employ the discrete wavelet transform to remove the lighting effect in face image data. The final result based on the proposed method shows the better performance in detecting eyes compared with previous work.
-
The human facial appearances vary globally and locally according to identity, pose, illumination, and expression variations. In this paper, we propose a hybrid-nonsmooth nonnegative matrix factorization (hybrid-nsNMF) based appearance model to represent various facial appearances which vary globally and locally. Instead of using single smooth matrix in nsNMF, we used two different smooth matrixes and combine them to extract global and local basis at the same time.
-
Recently, biometric authentication mechanism has been used to provide high level of security in cryptographic systems. In this paper, we propose an efficient method of generating invariant iris key to be applied in cryptographic systems. In order to generate iris key and improve the performance at the stolen token scenario, multiple random projection technique was combined with multiple linear transformation methods. From the experimental results, we proved that invariant iris keys were generated and the proposed method was robust to stolen token scenario.
-
This paper proposes a touchless fingerprint acquisition device for five fingerprints. In conventional devices, they are focused for taking 1 touchless fingerprint image. But this device is taking 5 fingerprint image at a time. Also, it considers problems for focus and rolling in touchless fingerprint recognition for using camera. They affects fingerprint recognition, so this research is performed to measure and analyze these problems.
-
Recently, omni-directional cameras are broadly used due to their wide field of view. Fisheye camera is one of them. This paper proposes the system which uses a fisheye camera for face recognition and analyzes its advantages. Since face images taken with a fisheye camera are affected by perspective distortion and radial distortion, we suggest a two-step method for removing those distortions from the face images.
-
In this paper, a robust method is developed to precisely detect iris of both eyes. The method does not put any restrictions on the background. The method is based on AdaBoost for face and eye candidate points detection. Candidate points are post-processed and an iris pair is selected using mean crossing function and a convolution template.
-
In this paper we demonstrate the effect of sparse decomposition on various Independent Component Analysis (ICA) algorithms for separating simultaneous linear mixture of independent 2-D signals (images). We will show using simulated results that sparse decomposition before Kernel ICA (Sparse Kernel ICA) algorithm produces the best results as compared to other ICA algorithms.
-
This paper proposes illumination-efficient iris image capturing method. face capture method for extraction of iris pattern with one high resolution camera needs a large scale near infrared illumination. we replace high-resolution camera with co-optic-axial combination between wide view camera and narrow view camera. because this method needs small scale illumination that illuminate only narrow camera viewing angle, we can capture iris pattern image with small scale near infrared illumination.
-
In this paper, we present a novel method for classifying pornography images using adaptive skin detection. From an input image, we detect initial skin regions and construct an adaptive skin probability density model using color information for the detected skin regions. From the skin probability density model, we extract feature vectors and train the images using Support Vector Machine to classify pornography images.
-
As radar signal environments become denser and more complex, the capability of high-speed and accurate signal analysis is required for ES(Electronic warfare Support) system to identify individual radar signals at real-time. In this paper, we propose the new novel clustering algorithm of radar pulses to alleviate the load of signal analysis process and support reliable analysis. The proposed algorithm uses KDE(Kernel Density Estimation) and its CDF(Cumulative Distribution Function) to compose clusters considering the distribution characteristics of pulses. Simulation results show the good performance of the proposed clustering algorithm in clustering and classifying the emitters.
-
Reliable channel modeling becomes an important measure in performance evaluation on various data detection algorithms. For this reason, correct and accurate modeling is required. This paper presents a nonlinear modeling of Super-RENS (Super-Resolution Near Field Structure) read-out signal using the second-order Volterra model.
-
People feel gloomy or delightfulness psychologically according to characteristic of the music when they listened the music. this effect called acoustic psychology effect. In this paper we have analyzed the music felt with gloomy or delightfulness in spectrum to find out characteristic of that music.
-
In this paper we use the Isometric Projection, a linear subspace method, for manifold representation of the pose-varying-faces. Isometric Projection method for pose identification is evaluated on view varying faces and is compared with other global and local linear subspace methods.
-
In this paper, Kalman-LMS algorithm is further extended to nonlinear system identification, whereby Kalman-LMSalgorithm and third-order Volterra filer are utilized.
-
This paper proposes a variable break that can offset prediction error as well as a pre-selection methods, based on the variable break, for enhanced unit selection. In Japanese, a sentence consists of several APs (Accentual phrases) and MPs (Major phrases), and the breaks between these phrases must predicted to realize text-to-speech systems. An MP also consists of several APs and plays a decisive role in making synthetic speech natural and understandable because short pauses appear at its boundary. The variable break is defined as a break that is able to change easily from an AP to an MP boundary, or from an MP to an AP boundary. Using CART (Classification and Regression Trees), the variable break is modeled stochastically, and then we pre-select candidate units in the unit-selection process. As the experimental results show, it was possible to complement a break prediction error and improve the naturalness of synthetic speech.
-
In this paper, we introduce a novel variant of LDA for face renition. The proposed method is derived by regularizing the eigenvalue of nonlinear LDA. We evaluated the proposed method using AR face database, and it showed outstanding and stable performance over the preceding LDA variants.
-
In this paper, we propose a rotation invariant face detection method using Haar-like feature variation. Previous approaches using rectangular features can be calculated very fast. But rectangular features is weak in rotated face. Rotated Haar-like features can get high accuracy, but the performance is slow because it can't use the integral image. Our method vary Haar-like features keeping rectangular. this method makes the performance a bit slow, but gives better accuracy.
-
Most of all popular music is made by genre and specification of music according to age group. Generally Young people of
$10{\sim}20$ ages like dance and techno, But old people over 40 age like trot. In this paper, we analyzed characteristic of music which people preferred by an age group. Without relevance with age, we could confirm the factor of music which popular in all age group by analyzing. The common factor of music all of age group liked are slow word, fast beat, repeated and simple melody, and characteristic of frequency in affluent middle tone. -
When we heard the sound of waves, we can feel stable and comfortable. I'm going to analysis the cause of comfortableness. The period is about three seconds when we breathed in relaxed state. The frequencies which in waves sound and meditation or heavy sleep are alike. Furthermore we can see that frequency is distributed in all over band width like white noise. In this paper, we confirm the fact that waves sound give comfortableness to us with Frequency Following Response.
-
There are nine modes of the intra prediction for
$4{\times}4$ luma blocks in H.264/AVC, each of which is identified by the prediction direction and reference pixels. Especially, mode 8 is modified to enhance coding efficiency, considering that the mode does not use left-bottom pixels although they are available. That is, we propose a modified intra prediction method of mode 8 which uses left-bottom pixels if available. -
This paper proposes a algorithm that generate the intermediate scene from the stereo image. This system based on mesh representation method, and segmented objects which ar calculated from triangles the pro proposed algorithm is composed of two steps. One is triangle grouping using gestalt theory, and the other is disparity estimation using average disparity of segmented object. We make an experiment on the proposed algorithm with some stereo images and obtain good quality disparity map for intermediate scenes.
-
In this paper, we proposed a reduced memory overdrive architecture. Proposed overdrive architecture consists of 2D-DWT filter, BLI and Color Conversion block. For Frame Memory reduction we eliminated HH data in DWT-IDWT process and converted color space RGB into YCbCr. Consequently, we reduced Frame Memory about 50%.
-
Image Registration is used many works in image processing widely. But It is difficult to find the accuracy informations such as translation, rotation, and scaling between images. This paper proposes an algorithm that Fourier based image registration using the pyramid edge detection and line fitting. It can be estimated the informations by each sub-pixels. The proposed algorithm can be used for image registrations which high efficiency is required such as GIS, or MRI, CT, image mosaicing, weather forecasting, etc.
-
본 논문에서는 조명 또는 장면의 갑작스러운 변화에 효과적으로 배경모델링을 하기 위해 국부이진패턴을 이용한 다중 배경모델링 방법을 제안한다. 제안하는 방법은 각 장면에서 독립적인 배경모델을 이용하여 모델 업데이트를 실시한다. 이후 검출된 전경 영역의 비율이 일정 임계치를 넘게 되면 기존의 모델 중 적합한 모델을 찾거나 새로운 모델을 생성하여 현재 배경모델을 대체한다. 이는 배경모델의 성능을 유지하면서 효율적으로 장면의 변화에 바로 대응할 수 있는 장점이 있다. 실험결과에서는 실내조명이 갑작스럽게 변하는 영상과 Pan Tilt Zoom 카메라를 이용한 다중 영상에서 제안한 방법이 효과적으로 동작함을 확인할 수 있었다.
-
In this paper, we propose a 3D modeling method using Laser Slit Beam and Stereo Camera. We can get depth information of image by analyzing projected Laser Slit Beam on object. 3D modeling is demanded exquisite merge of 3D data. In our approach, we can get the depth image where the reliability is high. Each reconstructed 3D modeling is combined by the sink information which is acquired by SIFT (Scale Invariant Feature Transform) Algorithm. We perform experiments using indoor images. The results show that the proposed method works well in indoor environments
-
A mechanical or electrical stimulation to the muscle always produces special phenomena, as silent period. Generally, a mechanical stimulation is followed by a single silent period, and an electrical stimulation is followed by multiple silent periods. In this paper, we propose a new algorithm for determining the duration of the silent period.
-
This paper introduces a new focus measure using Principal Component Analysis (PCA) for Shape from Focus (SFF). A neighborhood consisting of seven pixels is taken and the focus quality is computed over the whole sequence. The experimental results demonstrate effectiveness and robustness of the proposed method.
-
This paper presents a real-time aging measurement and feasible compensation system for the prolonged lifetime of OLED panel. The proposed system is composed of four parts, a PC with a man-machine interface, a measurement block, an adaptive amplifier block, and a compensation block. We apply a tree algorithm for less complexity and convenience of measurement on the degree of aging. An adaptive multi-stage differential amplifier is also implemented to deal with a various range of input voltages at the same spot.
-
Image quality analysis has been carried out for both monochrome and colour print images obtained by a calibrated low-end scanner. The analysis method is based upon ISO13660 for monochrome images and additional criteria for colour quality that we have defined. We have compared performance of the calibrated scanner with that of a high-end spectrophotometer in terms of several aspects such as line and large area. They have provided similar results without significant discrepancy.
-
In this paper, we propose a new method of generating 3D face by using single frontal face image and 3D generic face model. By using active appearance model (AAM), the control points among facial feature points were localized in the 2D input face image. Then, the transform parameters of 3D generic face model were found to minimize the error between the 2D control points and the corresponding 2D points projected from 3D facial model. Finally, by using the obtained model parameters, 3D face was generated. We applied this 3D face to 3D game framework and found that the proposed method could make a realistic 3D face of game user.
-
In this paper, the method which searchs a character of face and transforms it by FACS(Facial Action Coding System) for face modeling is suggested. FACS has a function to build an expression of face to AUs(Action Units) and make various face expressions. The system performs to find accurate Action Units of sample face and use setted AUs. Consequently it carries out the coefficient for transforming face model by 2D AUs matching.
-
In this paper, we propose a method that makes use of neighborhood relationship in 2D spectrogram of separated sources toward the generalization of the binary mask in Degenerate Unmixing Estimation Technique (DUET). A new generalized mask can be consist of five to ten mask. According to the new mask, the original power of the spectrogram in each frequency-time point is assigned. The result showed a smooth and tender wave-form, indicating a high speech separation performance compared to the original method.
-
This paper presents to reduce distinction between the real world and the virtual world using high-quality objects in Augmented Reality(AR). To make high-quality objects, using 3D studio max, VRML(Virtual Reality Modeling Language) and Artoolkit.
-
This paper proposes an object segmentation method using centre of mass model and
$CIELa^*b^*$ color feature. The proposed method detects moving objects using geometric and colorimetic information. The method is robust to illumination changes and it reduces noise by block-wise computation. -
This paper proposes a pedestrian counting system using line laser. By using a line laser and IR filter, the shapes of pedestrians are easily obtained without complex preprocessing. Also, the directions of pedestrians were able to distinguish by employing gradient information. In the experiment, the proposed method successfully counted the number of people with accuracy of about 97% and with processing time of 24ms per frame.
-
This paper describes a monocular PSD-based motion capture sensor to employ with commercial video game systems such as Microsoft's XBOX and Sony's Playstation II. The system includes a PSD(Position Sensitive Detector) and active infrared (IR) LED markers that are placed on the object to be tracked. The micro-controller calculates the 3D position of the markers using only the measured intensity and the 2D position on the PSD. A series of experiments were performed to evaluate the performance of our prototype system. From the experimental results we see that the proposed system has the advantages of the compact size, the low cost, the easy installation, and the high frame rates to be suitable for high speed motion tracking in games.
-
In this paper, we proposed image-processing technique for automatic real-time fire and smoke detection in tunnel fire environment. To minimize false detection of fire in tunnel we used motion information of video sequence. And this makes it possible to detect exact position of event in early stage with detection, test, and verification procedures. In addition, by comparing false detection elimination results of each step, we have proved the validity and efficiency of proposed algorithm.
-
The Scalable Video Coding(SVC) extention of H.264/AVC standard. SVC based temporal, spatial, snd qualty scalability of video bit streams. In this paper, we will develop C-model program and hardware circuits for the chip design of the SVC decoder. In order to acquire the flexibility of the circuit design and reliability of the hardware system development. In these development, we utilize the results of the C-model program to achieve the independencies of each sub-blocks and check the efficiencies of the circuit design results.
-
To process the dynamic images in real time, there could be many constraints on the hardware. Kalman Filter has been used to estimate motion information and use the information in predicting the appearance of targets in succeeding frames. This paper suggests algorithm about lane recognition using Kalman Filter which is one of operations research technique.
-
To solve Pose-variation problem in 3D, we propose an iterative 3D head pose normalization method using 2D and 3D interaction. The proposed method uses 2D information with the AAM(Active Appearance Model) and 3D information with a 3D normal vector.
-
본 논문은 주행 중인 자동차에서 획득한 영상에서 배경과 도로영역 및 물체를 분리하기 위한 영역분할 기법과 물체 검출 기법을 제안하고자 한다. 영상내의 에지라인의 화소 간 연결성을 이용한 라인검출을 이용하여 도로의 윤곽선 정보를 추출하고 컬러분포를 통해 배경과 도로영역을 분리한다. 물체가 가지는 코너 특성을 이용하여 나타난 정보들의 군집화를 통해 후보영역을 얻고 컬러 성분을 이용하여 개별 물체를 분리해냈다. 제안된 알고리즘은 복잡한 배경을 갖는 도로영상의 경우에도 도로영역과 물체의 검출에 강인함을 실험을 통해 검증하였다.
-
Since the characters are not clear always due to lighting conditions, sometimes only a part of the characters are detected and the boundary of the number plate is not completely shown. To solve this problem, this paper presents a new efficient algorithm for segmenting the number plate using the topological relationship among the characters in the number plate and its outer contour. The boundary of the number plate is estimated using the detected characters and detected by testing the connectivity of the vertical and horizontal edges. The superior performance of the proposed algorithm has been proved by the experiments.
-
Multi-resolution approach to object detection wherein all entities including the partial information and background knowledge are modeled in set-theoretic terms whereby associated processing are formulated via set-theoretic operations is investigated. The generic set-theoretic paradigm is then applied to particular problems of detecting malfunctions in semiconductor fabrication process wherein the computational- and storage- efficiencies as enabled by morphological signal processing further coupled with flexibilities enabled by multi-resolution approach leads to a scalable paradigm in which the desired performance can be obtained on-demand fashion.
-
The wavelet transform has an advantage over the Fourier transform for representing in homogeneous functions that have discontinuities, sharp peaks, ike images. This paper proposes a noise variance estimation method by utilizing the wavelet transform.
-
In this study, a novel algorithm of the improvement residual non-uniformity (RNU) in thermal imaging system is proposed using correlated double sample signal processing. The proposed method attempts to eliminate offset variation of ROIC in IR detector causing the variation of FPA (focal plane array) temperature and suppling power. Experimental results show that the proposed method confirmed a better performance than the existing RNU system.
-
The objective of this paper is to build a decision method of the shape quality for the stainless steel and ensure reducibility of working-load for next rolling process. The criterion of the shape quality is derived from the customer needs, and Automatic Shape Decision System is implemented in SPM (Skin Pass Mill). The methods of shape decision are based on curve fitting and frequency analysis and so on. The Field Test with concerned customers is successful. The performance of right decision is 99% and the claims from the customer have been largely reduced.
-
It is difficult to measure the pulse wave in a short time because radial artery position and located depth are different depending on the person. In this paper, the pulse wave measurement system was developed using 3 channel piezoresistive sensor array to detect the most significant pulse wave. Augmentation Index(AI) and Heart Rate(HR) analysis are also available for predicting cardiovascular risks. The developed system is small and easy to use. And it is promising to be used as home healthcare device.
-
As the mobile phone has multi functions and high performance, multimedia processors have an important roles in handsets. Recently high resolution camera (above 5M pixels), HD Camcording and beautiful UI which Using OpenVG or OpenGL are adopted in mobile phone. So we are introduced the function multimedia processor and guide to mobile phone engineers to meet multimedia features.
-
This paper presents the mobile robot initial velocity estimation using spatial/temporal information from passive RFID system. A mobile robot is traveling along a sequence of line segments, each at a constant velocity, and the number of passive tags sensed at every sampling instant is at most one. To simplify the problem, a mobile robot is commanded to traverse two passive tags with steering angle unchanged. The 6th order polynomial equation for the mobile robot initial velocity estimation is obtained, along with some discussion on resolving the multiplicity of solutions.
-
In this paper, we survey various Robust Object Recognition Algorithms. One of the core technologies for local feature detector is Scale Invariant Feature Transform. And we compared several algorithms with SIFT based on IPP technology. As a result, the conversion of source codes using IPP is sped up. And this will be more improved recognition speed using SIMD Instructions.
-
In this paper, the algorithm and the computer simulation of a effective homing navigation system are presented. it is a combination of Path integration by using population coding and neural network and simple avoidance obstacle algorithm. Also, through the computer simulation, we demonstrated the feasibility of the suggested method.
-
In this paper, using a genetic algorithm, consisting of six to seven degrees of freedom links, walking robot to up-stair that can walk to optimize energy and stability to generate. Walking robot to up-stairs of the four-step segmentation of the various situations that match the pace and pattern so that it can generate. It also generated using genetic algorithms to test for Matlab into the Robot Simulation of the humanoid experiment was used.
-
Rodents demonstrate an outstanding capability for tactile perceptions using their whiskers. The mechanoreceptors in the whisker follicles are responsive to the deflections or vibrations of the whisker beams. It is believed that the sensor processing can determine the location of an object in touch, that is, the angular position and direction of the object. We designed artificial whiskers modelling the real whiskers and tested tactile localization. The robotic system needs to adjust its position against an object to help the shape recognition. We show a robotic adjustment of position based on tactile localization. The behaviour uses deflection curves of the whisker sensors for every sweep of whiskers and estimates the location of a target object.
-
In this paper, two kinds of the protection circuits are proposed and simulated to verify their performances. One is an over current protection circuit, and the other is a no load protection circuit which reduces power consumption. These protection circuits of an LED drive circuit can reduce power consumption and prevent to damage the elements.
-
We discuss about Design and Debugging for 1553B Communication. 1553B Communication is used in Aircraft and Satellite System. When we design a Satellite Control Computer, we apply a 1553B Communication among Satellite Control Computer and others. Satellite Control Computer has a PM32 Module, it is CPU and control module especially. In this paper, we show you a 1553B Communication Design and Debugging in the Satellite System.
-
An inverter for driving flat fluorescent lamp(FFL) with various protection circuits using micro controller(Micom) is proposed in this paper. The inverter will be shut down if the fault operating conditions such as open lamp, over current and over voltage are detected.
-
This paper presents a high efficient two-stage interleaved synchronous buck CMOS DC-DC converter. The proposed circuit has a fixed duty cycle as 0.5 by an added buck converter. And it causes the best ripple cancelation of the output current ripple. The proposed circuit was simulated by HSPICE with a standard CMOS
$0.35{\mu}m$ process parameter. -
This paper presents outdoor reset control based on fuzzy algorithm for radiant floor heating system. We construct fuzzy system under indoor temperature and outdoor temperature. Simulation is based on TRNSYS with MATLAB. MATLAB is calculating and decide heat source using fuzzy system. Energy efficiency of Fuzzy algorithm is analyzed in term of indoor by TRNSYS System.
-
Hemorrhagic shock is a common cause of death in emergency rooms. The objective evaluation of hemorrhagic shock is very important for early diagnosis and treatment. The purpose of this study is to understand its mechanism by analyzing the changes of bio-signals in hemorrhagic shock using controlled hemorrhage of SD rats. In this study, we constructed a hemorrhagic integrated system to control bleeding and to simultaneously measure bio-signals such as ECG, blood pressure, temperature, and respiration. In order to verify the system, we measured the bio-signals mentioned above while hemorrhagic shock was induced by withdrawing blood (2.5ml/100g/15min) from a femoral vein for 10 rats.
-
As power plant facilities are being deteriorated, their safety is getting more importance, and more routine surveillance is being required. For this purpose, this paper presents a field robot system which performs the surveillance of power plant facilities instead of human workers from the viewpoint of the workers' safety and work efficiency.
-
To improve productivity of TFT-LCD and PDP, it is required that the inspection system of flat glass should be established. It is composed of robot arms, base table, and laser sensors. This paper focuses on three non-contact laser sensors. After testing three laser sensors, LG-G30 is selected.
-
This paper presents adaptive fuzzy control based on observer for nonlinear HVAC system whose states are not available. Fuzzy systems are employed to approximate the unknown nonlinear functions of the HVAC system and the state observer is designed for estimating the states of the HVAC system. An adaptive fuzzy controller is firstly constructed without the controller singularity problem. The obtained control system shows robustness and effectiveness compared with classical feedback controller. Simulation results are provided to illustrate the control performance.
-
This paper proposes the design of anti-braking system on aircraft and wheel slip ratio control using adaptive slide mode control. By maintaining the desired wheel slip ratio under runway conditions, we can obtain the maximal frictional force and reduce the braking range. In this paper, we apply an adaptive sliding mode control to aircraft brake system and it can guarantee the robustness under variations in brake characteristics. The performance of proposed controller is verified in simulations.
-
An error prediction system (EPS) for a power distribution system can predict an out-of-order state based on gathered data from the system. This paper describes a software structure of an EPS that is equipped with various sensors. The software analyzes the gathered data from sensors and predict error symptoms using statistical methods. The EPS system is installed on a real power distribution system.
-
In this paper, an error prediction system for the distribution feeders is designed and implemented. In order to monitor the signals of voltages, currents, temperatures, and sounds, and then analyze the signals, an ARM9-based processor board is used and numerous programmable gain amplifiers, temperature sensors, filters are implemented based on a low single voltage system.
-
In this paper, it is applied the reconstruction algorithm to detection of breast cancer. To solve the forward and inverse problem, Finite-Difference Time-Domain (FDTD), Tikhonov regularization and Gauss-Newton method are used. And to confirm the accuracy of the algorithm, it is applied to arbitrary model.
-
In this paper, it is described the performance of the breast cancer detection system that is composed of sensing, RF signal and image reconstruction part. Especially in the reconstruction algorithm, the amplitude and the phase of electric fields are used as compare value. So we improved to get the stable values of measured amplitude and phase of electric fields. Through compare images of reconstruction, we confirmed the performance of improved system.
-
A tomography system using microwave multi-channel transceiver was fabricated and measured. The tomography system is applicable to detection of breast cancers in a human body. This system is configured by microwave muiti-channel transceivers, a illumination chamber housing monopole antennas and coupling liquid, and image reconstruction algorithm solving inverse scattering problem.
-
By adding height compensation to the usual 3 points skew adjustment device, an improved functional skew adjustment device is implemented in this paper. The proposed skew adjustment device is for traverse which will be adapted to BD and HD player system requiring more accuracy. and It can adjust not only R/T skew but also height. The system is composed of personal computer, control box, kinematic mechanism device and bar-code reader device. Application programs are implemented by visual basic.
-
In this paper We are going experiment which can happen at the movement of the robot to reduce the Systematic distance error. The system get the experiment result by using BLDC Motor, Encoder, ultrasonic sensor. The Embedded XP is adopted as an operating system, And The robot was controlled by using RS232.
-
In this paper, we would like to introduce a simple and low cost wired pointing device by using a electromagnetic induction. A number of patents of Wacom describe electronic drawing devices or styluses. The movement of the stylus over a tablet is traced electronically and used applied to a resonant circuit in the stylus. Electromagnetic radiation emitted by the resonant circuit is detected by a sensing mechanism and location processing is used to locate the stylus. In this research, difference coil principle is used to detect the emitted radiation of the resonant circuit in the pen. This detection device shows good performance to detect the location of the pen on the tablet.
-
In this paper, we have developed PPG pillow system for unconstrained respiration monitoring during sleep. The system employs a pillow containing a PPG sensor and a simple respiration extraction algorithm. The results showed that the extracted respiratory rhythm was found to have close relations with the reference signal. The system has an advantage of processing simplicity. A follow-up study should be performed to evaluate the system in terms of breath intake.
-
In this paper, authors propose a novel step-up AC-DC chopper operated with power factor correction (PFC) and with high efficiency. The proposed chopper behaves with discontinuous current control (DCC) of input current. The input current waveform in the proposed chopper is got to be a discontinuous sinusoid form in proportion to magnitude of ac input voltage under the constant duty cycle switching. Therefore, the input power factor is nearly unity and the control method is simple. In the general DCC chopper, the switching devices are turned-on with the zero current switching, but turn-off of the switching devices is switched at current maximum value. To achieve a soft switching of the switching turn-off, the proposed chopper is used a new partial resonant circuit. The result is that the switching loss is very low and the efficiency of chopper is high.
-
In this paper we construct a portable storage device (USB memory) for personal health information management system which guarantees control of one's information. (1) Development of system for inquiry hospital visit history, check-up results, and medication details (2) Establishment of personal emergency information management system for rapid and accurate treatment by an authorized person in case of an emergency (3) Development of a health diary program in which individual patients can input and manage their health information such as blood pressure, blood sugar level, weight, and pulse that they measured.
-
The purpose of this study is to develope a computer mouse using the head movements and eye blink in order to help the disability persons who can't move the hands or foot because of car accident or cerebral apoplexy. The mouse is composed of two gyro-sensors and photo sensor. The gryo-sensors detect the head horizontal and vertical angular velocities, respectively. The photo sensor detect the eye blink to perform click, double click, and to reset the head position. In the results, we could control the mouse points in real time using the proposed system.
-
It is required to optimize the system operation efficiency to allocate maintenance task and period using systemic maintenance process. To allocate maintenance task and period must analysis the failure distribution mode at first. In this paper, we introduce the linear regression analysis and estimate the failure distribution for the railroad signal equipment using that.
-
By adjusting the applied Software Method, One Physical Pallete can execute each colored Icon. Each Pallete includes Image's data and Image's Color data.Color data is composed of hex data by generating Pallette tool. This data is loaded by selecting Icon. Icon's selection is composed of current selected Icon and non-selected Icon by user. We must draw on these states to Meun's Icon.
-
WiBro(Wireless Broadband) is the standard of high-speed portable internet based on OFDMA/TDD (orthogonal frequency division multiple access / time division duplexing) techniques, and the subset of consolidated version of IEEE802.16e Wireless MAN standard. In this paper, we propose the design method of WiBro system. Proposed method used KSFM neural network blind equalization with Bussgang algorithms.
-
Through comparison and evaluation of various HDD systems for PC such as magnetic HDD, Intel turbo memory and Solid State Drive (SSD), an optimized HDD system to improve booting speed proposed. For the study, conventional magnetic HDD, magnetic HDD with Intel turbo memory, SSD and SSD with Intel turbo memory are used. The evaluation is performed based on a full notebook machine with Intel SantaRosa platform and MicroSoft Windows Vista.
-
The LightScribe Technology is for printing images on the label side of recordable media using CD laser diode. By implementing Optimum Labeling Power Calibration for LightScribe, Labeling Quality can be improved. This paper proposes a new laser power calibration method using RFSUM signal. This function is implemented based on GH22LP20 of LG Electronics.
-
배터리를 전원으로 사용하는 이동 통신 기기에서 전력 관리의 효율성은 전통적으로 중요한 요구조건 중의 하나이다. 특히 고성능과 고기능을 제공하면서도 더 긴 동작시간이 요구되는 최근의 이동 통신 기기들에서는 이러한 효율적인 전력 관리의 중요성이 더욱 크다. 본 논문은 전력 소모량과 시스템의 크기에서의 장점으로 인해 이동 통신 기기에 폭 넓게 적용되고 있는 embedded system 에서의 효율적인 전력 관리를 위한 CPU 소모 전력 관리 기법으로 적응적 동적 전력 관리 기법을 제안한다.
-
By using FACS(Facial Action Coding System) and linear interpolation, a 3D facial robot simulator is developed in this paper. This simulator is based on real facial robot and synchronizes with it by unifying protocol. Using AUs(Action Unit) of each 5 basic expressions and linear interpolation makes more various dynamic facial expressions.
-
In this paper, we develop a program that recognition of the object 3D pose using stereo camera. In order to detect the object, this paper is applied to canny edge detection algorithm and also used stereo camera to get the 3D point about the object and applied to recognize the pose of the object using iterative closest point(ICP) algorithm.
-
Currently for exploring marine resources, After confirming the location of the resources by controlling search equipment and sensor module, the method which collects sample of searched resources and analyzes the quality and a contents of the resources in research vessel is been applying. This search method is structure which analyzes an ingredient in ship and decides the quality of the resources. And real-time processing for an effective resources search is been demanding. Therefore we have developed a exploration system of marine resource that can display the type feature of resources by Graphic User Interface.
-
In this paper, we propose a control method to improve control performance for a Quad-rotor Unmanned Aerial Vehicle's stabilization. The proposed method is the Fuzzy+I control that contains a fuzzy controller which processes signals from the error and the change of error, and generates the control signal by summing up fuzzy output signal and integral signal. We simulated and experimented on the fuzzy+I control method by implementing Quad-rotor UAV that is able to hovering, for the purpose of verifying the effectiveness of the proposed fuzzy+I control method in comparison with general PID control, and we found out that fuzzy+I controller improved control performance of the system.
-
고령사회로 진입함에 따라 보행보조기의 관심이 증가되고있다. 고령자는 로봇의 조작능력이 매우 떨어지기 때문에 외부환경변화(장애물, 위험상황)에 민첩하게 대응할 수 없다. 본 논문에서는 보행보조로봇 사용자가 구동 중 실수를 하더라도 진행하고자 하는 방향의 장애물 대하여 안전하게 회피하는 방법을 제안한다. 보행보로로봇에 레이져 센서를 정면에 장착하여 장애물을 판단하고 로봇이 회피 또는 정지하도록 하였다.
-
In this paper, we developed UHF RFID R/W system using AT91SAM7S256(ARM chip), UHF RFID R/W module (WJ7090) and wireless LAN(IEEE 802.11.a/b). And we developed a transmission/receiving packet which is send to UHF R/W module in AT91SAM7S256. In order to show the usefulness of UHF RFID R/W system, we executed a performance test. The developed UHF RFID R/W system shows better performance for reading of RFID tag and data transmission through wireless LAN.
-
There are several international standards, established by IEC, for RAMS management of railway system. Those int'l standards are described only total RAMS management, but RAM and safety activities have dissimilar characteristics. In this paper, we suggest the separated RAMS architecture with RAM and safety activity for railway signaling system.
-
Error correcting codes (ECC) are widely used in all types of memory in industry, including caches and embedded memory. The focus in this paper is on studying of power consumption in memory ECCs circuitry that provides single error correcting and double error detecting (SEC-DED) designed by various evolution strategies. The methods are applied to two commonly used SEC-DED codes: Hamming and odd column weight Hsiao codes. Finally, we conduct some simulations to show the performance of the various methods.
-
The purpose of this study is to implement a landscape lighting system that displays full color video by precisely controlling the R, G, B (Red, Green, Blue) LEDs which have a resolution of 256 each. The system implemented in this study consists of a PC, MASTER, SLAVEs and MODULEs. The PC sets the various landscape lighting displays, and the image files can be sent to the MASTER through a virtual serial port connected to the USB (Universal Serial Bus). The MASTER sends a sync signal to the SLAVE. The SLAVE uses the signal received from the MASTER and the landscape lighting display pattern.
-
This paper is designated to introduce the method of reducing stand-by Power of SMPS applied PLC(Power Line Communication) and its implementation. PLC modem consists mainly of PLC Module, Coupling Circuit, ZCP(Zero-Cross Point) Circuit and Power Supply Circuit. By controlling power from Power Supply Circuit to PLC Module and ZCP Circuit, the reduction of Stand-by Power is established. When this method is applied to SMPS used for a low-speed PLC, about 50% power reduction is provided, compared to the other case to which it is not applied.
-
For intelligent vehicles, recognizing the sounding is an important task. In this paper we propose an road area detection system. This system uses u-disparity and v-disparity map. v-disparity map is used to find the road area. u-disparity is used to cluster the area that is an object. The test results and overall system is discribed in this paper.
-
본 논문에서는 motion-blur를 감소시키는 overdriving 기술의 오류를 감소시키기 위해 hybrid image coding 방법을 제안한다. hybrid image coding방법은 luminance data Y을 압축하기 위한 새로운 Adaptive Quantization Coding (AQC)을 제안하고 chrominance data CbCr을 Block Truncation Coding (BTC)방법으로 압축하는 것이다. 시뮬레이션 결과는 기존의 PSNR과 SD의 비교를 통하여 HIC의 우수함을 확인하여 알고리즘의 효율성을 검증하였다. 제안된 알고리즘은 verilog HDL를 통해 구조를 구현하고 synopsys design compiler를 통하여 합성
$0.13{\mu}m$ Samsung Library구조의 효율성을 확인하였다. -
Failures of equipments are linked directly to extensive damages of human lives or financial losses from the increasing uses of railway signaling equipments utilizing computers. Then safety organizations have to establish for guaranteeing safety during the system life-cycle. In this paper, we examine the relationship between railway signaling standards and other safety standards for safety meaning establishment.
-
In this paper, we propose action-learning method based on teaching. By adopting this method, we can handle an exception case which cannot be handled in an Ethology-based Action SElection mechanism. Our proposed method is verified by employing AIBO robot as well as EASE platform.
-
Recently, ubiquitous sensor network forms the self-organization network, and transfers the information among sensor nodes that have computing technology ability. In this paper, we design and implement U-IT system for monitoring the safety of metro railway and underground shopping malls. For this, we implement sensor nodes to support IEEE 802.15.4 wireless communication standard using low power. Also we implement USN gateway node system to transmit the collected data from sensor nodes to database server over CDMA cellular network.
-
본 논문에서는 ELA(Edge Line based Average) 알고리즘이 잡음 영상에서 IPC할 때 생기는 문제점을 개선하는 알고리즘을 제안한다. 먼저 잡음을 제거하는 필터링과 동시에 잡음이 없는 원화소의 크기와 잡음의 크기를 추정한다. 이에 따라 잡음의 크기를 고려하여 ELA 방법과 수직보간 방법에 가중치를 주어 보간값을 구한다. 이 후 잡음이 존재할 경우 포스트 필터링(Post Filtering)을 거쳐 잔재해 있는 잡음을 제거해준다. 실험결과 제안하는 알고리즘이 기존 ELA 알고리즘들 보다도 향상된 결과를 보인다.
-
현재 에너지 소비를 줄이기 위한 연구들이 활발히 진행되고 있다. 그 중 대형 LCD TV 분야는 전체 소비전력의 약 70%이상이 백라이트에 의한 소비이므로, 대형 LCD TV의 전력 절감을 위해서는 효율적인 백라이트 설계에 대한 연구가 매우 중요한 부분이다. 따라서 본 연구에서는 백라이트의 효율적인 전력 소비를 위해 다채널 영상 분할 LED백라이트 밝기 제어를 통한 저전력 BLU 설계하였다. 본 연구를 통한 실험을 한 결과 60%이상의 전력 절감효과를 측정하여 연구의 유효함을 입증하였다.
-
This paper describes the interface designs of Optical-Camera Electronic unit with a DFPA(Detector Focal Plane Assembly) and IDHU(Image Data Handling Unit) which meet the top-level requirement of a satellite system. Especially, the designs on the image format and timing of the Header information for the correct reconstruction of the image in the Groundstation are explained in detail.
-
For safety railway vehicle movement, analysis and recoding of railway vehicle speed and driving information has written by law. nowadays, base in europe, these system is spread of various advanced country. In this paper, We implement an event recorder which record the driving and breaking information of running railway vehicle. For the event recorder, We designed the data structures with data analysis tools and a protocol between Event recorder and data analysis tool. The verification of Analysis tool was tested by to made data by Event Recorder's emulator and information of real railway driving recording.
-
일반적으로 운영체제의 데이터 백업을 목적으로 널리 사용되고 있는 하드 디스크는 대역폭와 탐색시간이 매우 저조한 성능을 보이고 있어 저장 능력과 성능사이에 격차는 나날이 증가하고 있다는 단점이 있다. 반면에 플래시 메모리의 새로운 응용 분야로 주목을 받고 있는 SSD는 고정된 반도체에 자료를 저장하기 때문에 탐색 시간이 존재하지 않아 데이터에 접근하는 시간이 훨씬 빠르다는 이점을 가지고 있다. 하지만 하드 디스크에 비해 현저히 떨어지는 저장능력과 비경제적인 가격 등의 문제점으로 인해 하드 디스크를 완전히 대치하기에는 어려움이 있다. 본 논문에서 제안하는 HFS(Hybrid File System)는 HDD와 SSD를 제안한다. HFS는 하드 디스크의 큰 저장능력과 SSD의 빠른 데이터 접근 속력 등의 각 디스크의 장점을 최대한으로 이용하여 데이터를 빠르게 처리할 수 있도록 고안 되었다.
-
From the complexities and functional/operational expectations, the compositions of PC devices requires Device Drivers to deliver full performance of the Hardware. However, due to quick transition of hardwares and expectations of better performance of the system. As a rest users are exposed of unexpected failures of device drivers which will cause malfunction of the system which consequently cause damages in quantity as well as quality wise. In this paper, the method of calculation and/or forecast to unexpected failure will be suggested. It may not be the answer but can be a guide to calculation to economic damage due to device driver failure.
-
In this paper, we propose a system for accident prevention of intelligent farm machine. Especially, efficient implementation method of accident prevention system using image sensor and sound source localization recognition system is proposed.
-
The paper is represented active robot soccer system using humanoid. many robot we implement the control method of several robot and the algorithm of robot soccer system.
-
In this paper, we investigate the issues on the design and implementation of tele-operation system based on the haptic interface. Here, the 3-DOF haptic device and the X-Y-Z stage are employed as master controller and slave system respectively. For this master-slave system, the force feedback algorithm, the modeling of virtual environments and the control method of X-Y-Z stage are presented. In this paper, internet network is used for data communication between master and slave.
-
In this paper, we propose a front-mounted single camera based depth estimation and robot localization method. The advantage of front-mounted camera is reduction of redundancy when the robot move. The robot computes depth information of captured image, moving around. And the robot location is corrected by depth information.
-
This paper deals with the study on the development of Audio SMPS with reduced noise. The noise defined here is in the range between 20 - 20 kHz frequency that a human can listen. We proposed a design method for Audio SMPS, which reduce noise and raise audio performances. This was achieved by new electronic elements, grounding, pattern, and shielding methods.
-
This paper presents a network-attached WORM(Write Once Read Many) storage system whose purpose is to protect sensitive data that should not be accessed by accidental/intentional intrusion. In our storage system, the sensitive data would be marked and be stored into a specific WORM volume. Furthermore, with the associated WORM mark, the data whose WORM life cycle has been expired would automatically be moved to the general-purpose disk space, without interfering any other tasks, to save the WORM volume space. Our WORM storage system was integrated to the NAS product.
-
-
The study presents the results of the analysis on the structural characteristics of MOS capacitor for sensing the ionizing radiation effect. Increasing the thickness of MOS capacitor's oxide layer enhanced the sensitivity of MOS capacitor under irradiation condition, but the sensitivity of irradiated MOS capacitor is uninfluenced by the area of MOS capacitor.
-
-
A nuclear explosion emits a transient radiation pulse like gamma rays. Gamma rays have a high energy and cause unexpected effects in semiconductor devices. These effects are mainly referred to dose-rate latcup and dose-rate upset. By transient radiation pulse in CMOS devices, dose-rate latchup is simulated in this paper.
-
An area of research called evolvable hardware has recently emerged which combines aspects of evolutionary computation with hardware design and synthesis. Evolvable hardware (EHW) is hardware that can change its own circuit structure by genetic learning to achieve maximum adaptation to the environment. In conventional EHW, the learning is executed by software on a computer. In this paper, we have studied and surveyed a gate-level evolvable hardware chip, by integrating both GA hardware and reconfigurable hardware within a single LSI chip. The chip consists of genetic algorithm(GA) hardware, reconfigurable hardware logic, and the control logic. In this paper, we describe the architecture, functions of the chip.
-
Wireless sensor networks (WSN) have attracted much attention in recent years due to their potential use in many applications such as border protection and combat field surveillance. Given the criticality of such applications, maintaining a dependable operation of the network is a fundamental objective. However, the resource constrained nature of sensor nodes and the ad-hoc formation of the network, often coupled with an unattended deployment, pose non-conventional challenges and motivate the need for special techniques for dependable design and management of WSN. In this article, we highlight the potential of careful positioning of the base station (BS), which acts as a sink resources.
-
Recent advancement in wireless communications and electronics has enabled the development of low-cost sensor networks. The sensor networks can be used for various application areas(e.g., health, military, home). For different application areas, there are different technical issues that researchers are currently resolving. The current stats of the art of sensor networks is captured in this article, where solutions are discussed under their related protocol stack layer sections. This article also point out the open research issues and intends to spark new interests and developments in this field.
-
In this paper, we propose application training kit using parallel port circuit of standard architecture in computer system. The proposed training kit is verified through the design of hardware board and a virtual driving test using GUI method in VC++ under window XP operating system.
-
It is difficult to solve PCB(Printed Circuit Board) Noise problem. Because Electronic circuit system operates very high frequency. Resonance analysis of PCB layout by PI(Power Integrity) Simulation method visualizes distribution of Switching noise between VDD and GND. By using de-cap, we reduce impedance and solve the EMI problems.
-
The purpose of the Air Traffic Control(ATC) system is to provide a safe, efficient flow of air traffic from origin to destination. Therefor, it is important to reduce the operational errors. Most of errors occurs from controllers. So, we suggest the human machine interface scheme for Air Traffic Control system.
-
In this paper, we suggest a system architecture for korean air traffic control system through investigating a korean ARTS (Automated radar terminal system) and ACC (Area control center) system. We focus on designing a network architecture for supporting both an approaching control and an area control. This paper will be a base for developing korean air traffic control system by ourselves.
-
In this paper, using symmetrical condensed node(SCN), the TLM numerical technique has been successfully applied to microstrip meander line. A detailed technique of the symmetrical condensed node(SCN) may be used to model planar microstrip transmission line is presented. Also, the S-parameters
$S_{11}$ and$S_{21}$ of microstrip meander line have been computed. From obtained results, TLM analysis is shown to be an efficient method for modeling complicated structure of planar microstrip transmission line. -
In this paper, a new stereo object tracking system using the disparity motion vector is proposed. In the proposed method, the time-sequential disparity motion vector can be estimated from the disparity vectors which are extracted from the sequence of the stereo input image pair and then using these disparity motion vectors, the area where the target object is located and its location coordinate are detected from the input stereo image. Basing on this location data of the target object, the pan/tilt embedded in the stereo camera system can be controlled and as a result, 3D tracking of the target object can be possible.
-
In the conventional floating display system some image distortions might be occurred in the floating image plane, in which a flat screen has been mostly used for providing an adequate input image plane. In this paper, as a new approach to alleviate image distortion problem in a floating display system, a curved screen is suggested by through some computer simulation using an optics design program of Light Tools.
-
We analyzed the performance of hierarchical cellular system with multi traffic(voice traffic, data traffic). We executed the computer simulation by the number of reserved channel for handover. For new call, the more the number of channel reserved, the higher the block probability of call became. The blocking probability of data traffic was higher, compared with that of voice traffic
-
Nowadays, electricity has become indispensable in our daily lives. Despite a lot of benefits of electricty, however, the electricty related injuries and damage have steadily increased. Arc is one of the main causes of electric fire of system. therefore, in this paper, a study of general properties in low voltage system that nonlinear system and linear system. also, we discussed arc properties for the system design normally operated without any hazard.
-
In this paper, we propose image process modeling as a part of location based surveillance system for unauthorized target recognition and tracking in harbor, airport, military zone. For this, we compress and store background image in lower resolution and perform object extraction and motion tracking by using sobel edge detection and difference picture method between real images and a background image. In addition to, we use Independent Component Analysis Neural Network for moving target recognition. Experiments are performed for object extraction and tracking of moving targets on road by using static camera in 20m height building and it shows the robust results.
-
In this paper, we describe introduction of GNSS Test & Evaluation Facility developing in "Korean aerospace research Institute" and UML based design results of GNSS Augmentation System Test & Evaluation Simulator especially.