Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference (한국전기전자재료학회:학술대회논문집)
The Korean Institute of Electrical and Electronic Material Engineers
- Annual
2007.06a
-
Orientational ordering of polar molecules and excess charges at the interface are main origins of surface polarization. For organic electronics, probing and control of these two surface polarization phenomena are key issues. In this presentation, I report a novel electrical measurement that can directly probe orientational dipolar motion in surface monolayers by Maxwell-displacement-current, and also report a novel optical technique that allows carrier motions in organic materials by measuring the optical second harmonic signals activated by the electric field. Then I discuss how the control of dipolar motions and carrier motions are linked to organic electronics applications such as organic field effect transistors.
-
We investigated the characteristics of UTB-SOI pMOSFETs with SOI thickness (
$T_{SOI}$ ) ranging from 10 nm to 1 nm and evaluated the dependence of electrical characteristics on the silicon surface orientation. As a result, it is found that the subthreshold characteristics of (100)-surface UTB-SOI pMOSFETs were superior to (110)-surface. However, the hole mobility of (110)-surface were larger than that of (100)-surface. The enhancement of effective hole mobility at the effective field of 0.1 MV/ccm was observed from 3-nm to 5-nm SOI thickness range. -
In this paper, we investigated the effect of solar cell breakage on maximum output power of PV module. The test result using artificial light source didn't give any change in output power in case of crack near electrical ribbon. Also, there was a reduction in output power in case of increasing of crack area far from electrical ribbon. But, this experiment is under artificial light source test method. So, when such a PV module is outdoor for a long time, there would be problems on electrical output power and durability because of thermal aging phenomenon of solar cell breakage.
-
1차원 구조체인 반도체 나노선은 앙자제한효과 (quantum confinement effect) 등을 이용하여 고밀도/고효율의 소자 개발이 기대되고 있다. GaN는 상온에서 3.4 eV의 밴드갭 에너지를 갖는 III-V 족 반도체 재료로써 박막의 경우 광전자 소자로 폭넓게 응용되고 있다. 최근 GaN 나노선의 합성에 성공하면서 발광소자, 고효율의 태양전지, HEMT 등으로의 응용을 위한 많은 연구가 활발히 이루어지고 있다. 하지만, 아직까지 GaN 나노선의 전기적 특성을 제어하는 기술은 확립되지 않고 있다. 본 연구에서는 Vapor solid (VS)법을 이용하여 GaN 나노선을 합성하였으며, GaN 분말과 함께
$Mg_2N_3$ 분말을 첨가하여 (Ga,Mg)N 나노선을 성공적으로 합성하였다. 합성시에 GaN와 Mg 소스간의 거리 변화를 통해 Mg 도핑농도를 제어하고자 하였다. 이 같은 방법으로 합 된 (Ga,Mg)N 나노선의 Mg 도핑농도에 따른 결정학적 특성을 알아보고, (Ga,Mg)N 나노선을 이용하여 소자를 제작한 후 그 전기적 특성을 살펴보고자 한다. X-ray diffraction (XRD)과 high-resolution transmission electron microscopy (HRTEM), EDX를 이용하여 합성된 나노선의 결정학적 특성과 Mg의 도핑 농도를 확인하였다. Photo lithography와 e-beam lithography법을 이용하여 (Ga,Mg)N 나노선 field-effect transistor (FET)를 제작하고, channel current-drain voltage ($I_{ds}-V_{ds}$ ) 와 channel current-gate voltage ($I_{ds}-V_g$ ) 측정을 통해 (Ga,Mg)N 나노선이 도핑 농도에 따라 n형에서 p형으로 전기적 특성이 변화함을 확인하였다. -
In this paper, we studied the analysis of environmental effects on maximum output power change of crystalline silicon photovoltaic module. During the test period, there was a 5% reduction of maximum output power on an average. And the degree of output power uniformity became better compared to initial value. Using climate data like rain, snow and dust, we tried to find the reasons for maximum power fluctuation. The surface of PV module was monitored using microscope and infrared camera to study temperature distribution. The further analysis is described in the following paper.
-
Proton irradiation technology was used for improvement of switching characteristics of the PT-IGBT. The proton irradiation was carried out at 5.56 MeV energy from the back side of processed wafers and at 2.39 MeV energy from the front side of the wafers. The on-state and off-state I-V characteristics and switching properties of the device were analyzed and compared with those of un-irradiated device and e-beam irradiated device which was conventional method for minority carrier lifetime reduction. The proton irradiated device by 5.56 MeV energy was superior to e-beam irradiated device for the on-state and off-state I-V characteristics, nevertheless turn-off time of proton irradiated device was superior to that of the e-beam irradiated device.
-
To improve efficiency of solar cells, it is important to make a light trapping structure to reduce surface reflectance for increasing absorption of sun light within the solar cells. One of the promising methods that can reduce surface reflectance is isotropic texturing with acid solution based on hydrofluoric acid(HF), nitric acid(
$HNO_3$ ), and organic additives. Anisotropic texturing with alkali solution is not suitable for multicrystalline silicon wafers because of its different grain orientation. Isotropic texturing with acid solution can uniformly etch multicrystalline silicon wafers unrelated with grain orientation, so we can get low surface reflectance. In this paper, the acid texturing solution is made up of only HF and$HNO_3$ for easy controling the concentration and low cost compared to acid solution with organic additives.$HNO_3$ concentration and dipping time were varied to find the condition of minimum surface reflectance. Textured surfaces were observed Scanning Electron Microscope(SEM) and surface reflectance were measured. The best result of arithmetic mean(wavelength from 400nm to 1000nm) reflectance with acid texturing is 4.64% less than alkali texturing. -
지난 수년 동안 Sn-3.0Ag-0.5Cu 합금은 전자산업의 표준 무연솔더 조성으로 전자제품의 제작에 사용되어져 왔으며, 그 신뢰성도 충분히 검증되어 대표적인 무연 솔더 조성으로의 입지를 굳혀왔다. 그러나 전자제품의 mobile화에 따른 내충격 신뢰성에 대한 요구와 최근의 급격한 Ag 가격의 상승은 Ag 함량의 축소에 의한 원가절감을 요청하게 되었으며, 이에 따라 소량의 Ag를 함유하는 솔더 조성 개발에 대한 연구가 산업 현장을 중심으로 절실히 요청되고 있다. Sn-Ag-Cu의 3원계 함긍에서 Ag는 합금의 융점을 낮추고, 강도와 같은 합금의 기계적 특성을 증가시키는 한편, 모재에 대한 합금의 젖음성을 향상시키는데 필수적인 원소로 인식되고 있다. 따라서 Sn-Ag-Cu의 3원계 함금에서 Ag의 함량을 감소시키게 되면, 합금액 액상선 온도와 고상선 온도가 벌어져 pasty range(또는 mush zone)가 증가하게 되고, wettability도 감소하게 되어 솔더 합금으로서의 요구 특성을 많이 상실하게 된다. 또한 Ag 함량을 감소시키게 되면 합금의 elongation이 향상되면서 내 impact 수명이 향상되는 효과를 볼 수 있으나, 합금의 creep 특성 및 기계적인 강도는 감소하면서 열싸이클링 수명은 감소하는 경향을 나타내게 된다. 따라서 솔더 합금의 내 impact 수명과 열싸이클링 수명을 동시에 만족시키지 위해서는 Ag 함량을 최적화하기 위한 고려가 필요하며, 합금원소에 대한 연구가 요청된다고 하겠다. 한편 Ag의 함량을 3wt.% 이상으로 첨가할 경우에도 비교적 느린 응고 속도에서는 조대한 판상의
$Ag_3Sn$ 상을 형성하는 경향이 있어 외관 물량을 야기 시킬 가능성이 매우 커지는 현상도 보고되고 있다. 따라서 Ag의 첨가량을 최적화 하면서 솔더 재료로서의 특성을 계속적으로 유지하기 위해서는 제 4 원소의 함유가 필수적이라고 할 수 있다. 본 연구에서는 Sn-Ag-Cu계에 첨부하는 제 4원소로서 In을 선택하였다. 비록 In은 Ag보다 고가이기 때문에 산업적인 적용을 위한 솔더 합금 원소로는 거의 각광받지 못했으나, 본 연구의 결과로는 In은 매우 소량 첨가할 경우에도 Sn-Ag-Cu계 합금, 특히 소량의 Ag를 함유하는 Sn-Ag-Cu계 합금의 wettabilty와 기계적 특성 향상에 매우 효과적임을 알 수 있었다. 결론적으로 본 연구를 통해 구현된 Sn-Ag-Cu-In계 최적 솔더 조성의 경우 Sn-3.0Ag-0.5Cu의 표준 조성에 비하여 약 18%의 원자재 가격 절감을 도모할 수 있을 것으로 예상되는 한편. Sn-3.0Ag-0.5Cu에 유사하거나 우수한 wettability 특성을 나타내었고. Sn-1.0Ag-0.5Cu 또는 Sn-l.2Ag-0.5Cu-0.05Ni 조성보다는 월등히 우수한 wettability 특성을 나타내었다. 더구나 Sn-Ag-Cu-In계 최적 솔더 조성은 합금의 강도 저하는 최소화 시키면서 합금의 elongation은 극적으로 향상시켜 합금의 toughness 값이 매우 우수한 특성을 가짐을 알 수 있었다. 이렇게 우수한 toughness 값은 솔더 조인트의 대표적 신뢰성 요구 특성인 열싸이클링 수명과 내 impact 수명을 동시에 향상시킬 수 있을 것으로 예상된다. 요컨대 본 연구를 통해 구현된 Sn-Ag-Cu-In계 솔더 조성은 최적 솔더 조성에서 요구되는 4가지 인자, 즉, 저렴한 원재료 가격, 우수한 wettability 특성, 합금 자체의 높은 toughness, 안정하고 낮은 성장 속도의 계면 반응층 생성을 모두 만족시키는 특징을 가짐으로서 기존 무연솔더 조성의 새로운 대안으로 자리 잡을 것으로 기대된다. -
Excimer laser annealing (ELA) 방법을 이용하여 결정화하고 게이트 절연체로써 high-k 물질을 가지는 다결정 실리콘박막 트랜지스터의 전기적 특성을 평가하였다. 다결정 실리콘 박막 트랜지스터는 비결정질 실리콘 박막 트랜지스터 보다 높은 전계 효과 이동도와 운전 용이한 장점을 가진다. 기존의 결정화 방법으로는 다결정 실리콘 박막 트랜지스터의 높은 열 공급을 피할 수 없기 때문에, 매몰 산화막 위의 비결정질 박막은 저온에서 다결정 실리콘 결정화를 위해 KrF excimer laser (248nm)를 이용하여 가열 냉각 공정을 했다. 게다가 케이트 절연체로써 atomic layer deposition (ALD) 방법에 의해 저온에서 20 nm의 고 유전율을 가지는
$HfO_2$ 박막을 증착하였다. 알루미늄은 n-MOS 박막 트랜지스터의 게이트 전극으로 사용되었다. 금속 케이트 전극을 사용하여 게이트 공핍 효과와 관계되는 케이트 절연막 두께의 증가를 예방할 수 있고, 게이트 저항의 감소에 의해 소자 속도를 증가 시킬 수 있다. 추가적으로, 비결정질 실리콘 박막의 결정화 기술로써 사용된 ELA 방법은 SPC (solid phase crystallization) 방법과 SLS (sequential lateral solidification) 방법에 의해 비교되었다. 결과적으로, ELA 방법에 의해 결정화된 다결정 실리콘 박막의 결정도와 표면 거칠기는 SPC와 SLS 방법에 비해 개선되었다. 또한, 우리는 ELA 결정화 방법에 의한 다결정 실리콘 박막 트랜지스터로부터 우수한 소자 특성을 얻었다. -
Kim, Sang-Sig;Yeom, Dong-Hyuk;Kang, Jeong-Min;Yoon, Chang-Joon;Park, Byoung-Jun;Keem, Ki-Hyun;Jeong, Dong-Yuong;Kim, Mi-Hyun;Koh, Eui-Kwan 20
Nanowire-based field-effect transistors (FETs) decorated with nanoparticles have been greatly paid attention as nonvolatile memory devices of next generation due to their excellent transportation ability of charge carriers in the channel and outstanding capability of charge trapping in the floating gate. In this work, top-gate single ZnO nanowire-based FETs with and without Au nanoparticles were fabricated and their memory effects were characterized. Using thermal evaporation and rapid thermal annealing processes, Au nanoparticles were formed on an$Al_2O_3$ layer which was semi cylindrically coated on a single ZnO nanowire. The family of$I_{DS}-V_{GS}$ curves for the double sweep of the gate voltage at$V_{DS}$ = 1 V was obtained. The device decorated with nanoparticles shows giant hysterisis loops with${\Delta}V_{th}$ = 2 V, indicating a significant charge storage effect. Note that the hysterisis loops are clockwise which result from the tunneling of the charge carriers from the nanowire into the nanoparticles. On the other hand, the device without nanoparticles shows a negligible countclockwise hysterisis loop which reveals that the influence of oxide trap charges or mobile ions is negligible. Therefore, the charge storage effect mainly comes from the nanoparticles decorated on the nanowire, which obviously demonstrates that the top-gate single ZnO nanowire-based FETs decorated with Au nanoparticles are the good candidate for the application in the nonvolatile memory devices of next generation. -
Strained silicon 기술은 MOSFET 채널 내 캐리어 이동도를 향상시켜 집적회로의 성능을 향상시키는 기술이다. 최근에는 strained 실리콘 기술과 SOI(silicon On Insulator) 기술을 접목시켜 집적회로 소자의 특성을 더욱 향상시킨 SSOI(Strained Silicon On Insulator) 기술이 연구되고 있다. 본 연구에서는 pseudo MOSFET 측정법을 이용하여 strained SOI 웨이퍼의 전기적 특성 분석을 행하였다. pseudo MOSFET 측정법은 SOI 웨이퍼의 전기적 특성분석을 위해 고안된 방법으로써 산화, 도핑 등의 소자 제조 공정 없이도 SOI 표면 실리콘층의 이동도와 매몰산화막과의 계면 특성 등을 분석해 낼 수 있는 기술이다. 표면 실리콘층의 두께와 매몰산화막의 두께가 각각 60nm, 150nm인 SOI 웨이퍼와 동일한 막 두께를 가지며 표면 실리콘층이 strained silicon인 SSOI 웨이퍼를 제작하여 그 특성을 비교 분석하였다. Pseudo MOSFET 측정 결과 Strained SOI 웨이퍼에서 표면 실리콘총 내의 전자 이동도가 일반적인 SOI 웨이퍼보다 약 25% 향상되었으며 정공 이동도나 매몰산화막의 계면 트랩밀도는 큰 차이를 보이지 않았다.
-
Application Possibility of Mono-Crystalline Silicon Solar Cell for Photovoltaic Concentrating SystemWe tried to find the possibility of mono-crystalline silicon solar cell for photovoltaic concentrating system which is major cost portion for PV system using fresnel lens. With solar simulator and I-V curve tracer, we analyzed maximum output characteristics and measured the temperature of concentrated area using infrared camera. Because of temperature increase, there was no merit when concentrating. But at low radiant power, it showed more efficient operation. The combination of heat-sink technology and tracking system to our concentrating PV system would give better performance results.
-
We have demonstrated new functionalities of Ag doped chalcogenide glasses based on their capabilities as solid electrolytes. Formation of such amorphous systems by the introduction of silver via photo-induced diffusion in thin chalcogenide films is considered. The influence of silver on the properties of the newly formed materials is regarded in terms of diffusion kinetics and Ag saturation is related to the composition of the hosting material. Silver saturated chalcogenide glasses have been used in the formation of solid electrolyte which is the active medium in programmable metallization cell (PMC) devices. In this paper, we investigated electrical and optical properties of Ag-doped chalcogenide thin film on changed thickness of Ag and chalcogenide thin films, which is concerned at Ag-doping effect of PMC cell. As a result, when thickness of Ag and chalcogenide thin film was 30nm and 50nm respectively, device have excellent characteristics.
-
In this paper, we studied the shadow effect which is one of environmental cause for hot-spot phenomenon on PV by considering electrical effects. We fabricated PV module in case of existence and nonexistence of bypass diode. And maximum output power and thermal distribution was analyzed by shadowing solar cell by increase of 5%. From the results, the PV module's(without bypass diode) maximum output power was reduced by hot-spot gradually. But the PV module's(with bypass diode) maximum output power had no reduction by operation of bypass diode, though solar cell is shadowed more than 60%. The solar cell temperature of PV module(without bypass diode) was
$10^{\circ}C$ higher compared to module's one. This is a reason for shortening of durability of PV module. -
Park, Kee-Young;Zhang, Ying-Ying;Jung, Soon-Yen;Li, Shi-Guang;Zhun, Zhong;Lee, Ga-Won;Wang, Jin-Suk;Lee, Hi-Deok 27
In this paper, Ni-Co alloy was used for improvement of thermal stability of Ni silicide. The proposed Ni/Ni-Co structure exhibited wide temperature window of rapid thermal process. Sheet resistance as well as cross-sectional profile showed stable characteristics in spite of high temperature annealing up to$700^{\circ}C$ for 30min. Therefore, the proposed Ni/Ni-Co structure is highly promising for highly thermal immune Ni silicide for nano-scale CMOSFET technology. -
Cho, Sung-Ho;Kang, Sang-Sik;Cha, Byung-Ryul;Kim, So-Yeong;Choi, Chi-Won;Yun, Min-Seok;Kwan, Chul;Nam, Sang-Hee 29
TFT, LCD, OLED, PDP and FED를 비롯한 많은 디스플레이 장치가 개발, 연구되고 있으며, 이러한 디스플레이 장치에 대한 수 많은 application 연구 또한 진행되고 있다. TFT-LCD는 이미 방사선 검출기로서 연구가 오랜전에 연구되어 상용화가 되었으며, LCD는 XLV로서 적용을 위한 연구가 진행되고 있으며, 그 외 수 많은 디스플레이 장치에 대한 활발한 연구가 진행되고 있는 실정이다. PDP는 대면적, 낮은 제작 비용, 높은 contrast의 이점으로 디스플레이 장치로서 활발한 연구가 진행되고 있다. 본 논문에서는 PDP를 처음으로 방사선 검출기로 적용하기 위한 연구를 수행하였다. 제작된 7 인치 AC-PDP는 다양한 가스종류 및 압력을 가진 300um의 pixel pitch룰 가진 3 전극 구조로서, coplanar readout과 대항형 readout을 통해 신호량을 분석하였다. 결과 50-100Kvp의 진단 영역의 X-ray energy에서 줄은 민감도와 훌륭한 선형성을 보였으며, 가스 종류 및 압력, 신호 검출방식에 따라 각각 다른 특성을 보였다. 이는 PDP 내 X선과의 Interaction, R/O method. Material에 강하게 의존한다. -
Youn, Je-Hyun;Park, Se-Hoon;Yoo, Chan-Sei;Lee, Woo-Sung;Kim, Jun-Chul;Kang, Nam-Kee;Yook, Jong-Gwan;Park, Jong-Chul 30
현재 다양한 종류의 RF 통신 제품이 시장에 등장하면서 제품의 경쟁력 확보에 있어 소형화 정도가 중요한 이슈가 되고 있다. Passive Device는 RF Circuit을 제작할 때 많은 면적을 차지하고 있으며 이를 감소시키기 위해 여러 연구가 진행되고 있다. 가장 효과적인 방법으로 반도체 집적기술로 크기를 줄이는 방법이 있으나, 공정이 비싸고 제작 시간이 오래 걸려 제품개발 시간과 개발비용이 상승하게 된다. 반면에 SoP-L 공정은 PCB 제작에 이용되는 일반적인 재료와 공정을 사용하므로 개발 비용과 시간을 줄일 수 있다. SoP-L의 또 하나 장점은 다종 재료를 다층으로 구성할 수 있다는 점이다. 최근 chip-type의 Device를 PCB 기판 안에 내장하는 방법의 RF Circuit 소형화 연구가 많이 진행되고 있다. 본 연구에서는 SoP-L 공정으로 chip-type 수동소자를 PCB 기판 내에 함몰하여 수동소자회로를 구현, 분석하여 보았다. 수동소자회로는 880 MHz~960 MHz(GSM) 영역과 1.71 GHz~1.88 GHz(DCS) 영역을 나누는 Diplexer를 구성하였다. 1005 size의 chip 6개로 구현한 Diplexer를 표면실장과 함몰공정으로 제작하고 Network Analyzer로 측정하여 비교하였다. chip 표면실장으로 구현된 Diplexer는 GSM에서 최대 0.86 dB의 loss, DCS에서 최대 0.68 dB의 loss가 나타났다. 표면실장과 비교하였을 때 함몰공정의 Diplexer는 GSM 대역에서 약 0.5 dB의 추가 loss가 나타났으며 목표대역에서 0.6 GHz정도 내려갔다. 이 결과를 바탕으로 두 공정 간 차이점을 확인하고, 함몰공정으로 chip-type 수동소자를 사용하였을 때 고려해야 할 점을 분석하였다. 이를 바탕으로 SoP-L 함몰공정의 안정성을 높여서 이것을 이용한 회로의 소형화에 적용이 가능할 것으로 기대한다. 특히 능동소자의 DC Power Control에서 고용량의 수동소자를 이용할 때 집적도를 높일 수 있을 것이다. -
Oil-based nanofluids are prepared by dispersing spherical and fiber-shaped
$Al_2O_3$ and AlN nanoparticles in transformer oil. Two hydrophobic surface modification processes are compared in this investigation. It is obvious that the combination of nanoparticle, surfactant and surface modification process is very important for the dispersity of nanofluids. For ($Al_2O_3$ +AIN) particles with 1% volume fraction, the enhancement of thermal conductivity and convective heat transfer coefficient is nearly 11% and 30%, respectively, compared to pure transformer oil. The cooling effect of ($Al_2O_3$ +AlN)-oil nanofluids on the heating element and oil itself is confirmed by a natural convection test using a prototype transformer. -
Lee, Gwang-Hoon;Park, Se-Hoon;Yoo, Chan-Sei;Lee, Woo-Sung;Kim, Jun-Chul;Kang, Nam-Kee;Yook, Jong-Gwan;Park, Jong-Chul 33
SoP-L 공정은 유전율이 상이한 재료를 이용하여 PCB 공정이 가능하고 다른 packaging 방법에 비해 공정 시간과 비용이 절약되는 잠정이 있다. 본 연구에서는 SoP-L 기술을 이용하여 Si 기판의 함몰에 판한 공정의 안정도와 함몰 시 제작된 때턴의 특성의 변화에 대해 관찰 하였다. Si 기판의 함몰에 Active device를 이용하여 특성의 변화를 살펴보고 공정의 안정도를 확립하려 했지만 Active device는 측정 시 bias의 확보와 특성의 민감한 변화로 인해 비교적 측정이 용이하고 공정의 test 지표를 삼기 위해 passive device 를 구현하여 함몰해 보았다. Passive device 의 제작 과정은 Si 기판 위에 spin coating을 통해 PI(Poly Imide)를 10um로 적층한 후에 Cr과 Au를 seed layer로 증착을 하였다. 그리고 photo lithography 공정을 통하여 photo resister patterning 후에 전해 Cu 도금을 거쳐 CPW 구조로$50{\Omega}$ line 과 inductor를 형성하였다. 제작 된 passive device의 함몰 전 특성 추출 data와 SoP-L공정을 통한 함몰 후 추출 data 비교를 통해 특성의 변화와 공정의 안정도를 확립하였다. 차후 안정된 SoP-L 공정을 이용하여 Active device를 함몰 한다면 특성의 변화 없이 size 룰 줄이는 효과와 외부 자극에 신뢰도가 강한 기판이 제작 될 것으로 예상된다. -
A high Tc superconducting with a nominal composition of BSSCCO was prepared by the citarte method. The solid precursor produced by the dehydration of the gel at
$120^{\circ}C$ for 12h is not in the amorphous state as expected but in a crystalline state. X-ray diffraction peaks of nearly the same angular position as the peaks of high Tc phase were observed in the precursor. After pyrolysis at$400^{\circ}C$ and calcination at$840^{\circ}C$ for 4h. the (001) peak of the high Tc phase was cleary observed. -
Lee, Jae-Yong;Moon, Byung-Moo;Park, Se-Hoon;Yoo, Chan-Sei;Lee, Woo-Sung;Kim, Jun-Chul;Kang, Nam-Kee;Park, Jong-Chul 36
본 논문에서는 SoP-L(System on Package-Laminates) 기술을 이용하여 이종의 유전율을 가진 유기물 적층 기반의 수동소자를 이용한 GSM/DCS 대역 분리용 diplexer를 설계, 제작하였고 그 특성을 고찰하였다. SoP-L 기술은 LTCC기술과 같은 타 SoP 기술과 비교해서 이종의 물질을 접합하는데 용이하고 공정비용이 저렴하다. 이러한 장점을 이용하여 캐때시터는 유전율 40의 고유전율 재료를 사이에 두고 구성하였고, 인덕터 부문에는 유전율 4률 적용, 정방혈 스파이럴 구조로 두 개 층으로 구성하여 소형화를 이룰 수 있었다. 제작 시에 구리와 유기물을 적층, patterning 하였고, 수직 via hole 을 형성하고 구리의 무전해, 전해 도금 과정을 거쳐 각 소자를 연결하였다. 이러한 과정을 거쳐 제작된 diplexer의 GSM 저역 통과 필터는 0.52 dB이하의 삽입손실과 20 dB 이상의 반사손실을 가지고 DCS 통과 대역 부근에 notch 가 존재하도록 설계함으로써 DCS 통과 대역에서 17 dB 이상의 저지특성을 나타내었다. DCS 고역 통과 필터는 1.2 dB 이하의 삽입손실과 16 dB 이상의 반사손실을 가지며 GSM 통과 대역 부근에 notch를 가지도록 설계하여 GSM 통과대역에서 32 dB 이상의 저지특성을 나타내었다. -
It needs several large reservoirs and long time to remove suspended solid like steel fines and iron oxide in hot rolling coolant. If removing rate of suspended solid in rolling coolant is improved by using high gradient magnetic separation (HGMS) system, the productivity of working process can be increased and the area of reservoir can be reduced. Pre-treatment process that react magnetic floc with inorganic and organic flocculant in coolant was studied. Horizontal type superconducting HGMS system was manufactured successfully, which was constituted with automatic filter transportation apparatus, 6 T He-free superconducting magnet system and water circulation system. The solid suspension removal ratio in hot rolling coolant was increased by flocculation with inorganic and organic flocculant.
-
The analysis of fault current limiting characteristics according to variation of fault current level in the integrated three-phase flux-lock type superconducting fault current limiter (SFCL), which consisted of three-phase flux-lock reactor wound on an iron core with the same turn's ratio between coil 1 and coil 2 for each single phase, was performed. To analyze the current limiting characteristics of this integrated three-phase flux-lock type SFCL, the short circuit experiments was carried out the various three-phase faults such as the single line-to-ground fault, the double line-to-ground fault, the triple line-to-ground fault. From the experimental results, the fault current limiting characteristic was improved according to increase of fault current level.
-
For use in spintronic materials, dilute magnetic semiconductors (DMS) are under consideration as spin injectors for spintronic devices[l].
$TiO_2$ -based DMS doped by a cobalt, iron, and manganese et al. was recently reported to show ferromagnetic properties, even at temperatures above 300K and the magnetic ordering was explained in terms of carrier-induced ferromagnetism, as observed for a III-V based DMS. An anomalous Hall effect (AHE) and co-occurance of superparamagnetism in reduced Co-doped rutile$TiO_{2-\delta}$ films have also been reported[2]. Metal segregation in the reduced metal-doped rutile$TiO_2-\delta$ films still remains as problems to solve the intrinsic DMS properties. Superlattice films have been proposed to get dilute magnetic semiconductor (DMS) with intrinsicroom-temperature ferromagnetism. For a$TiO_2$ -based DMS superlattice structure, each layer was alternately doped by two different transition metals (Fe and Mn) and deposited to a thickness of approximately$2.7\;{\AA}$ on r-$Al_2O_3$ (1102) substrates by pulsed laser deposition. The r-$Al_2O_3$ (1102) substrates with atomic steps and terrace surface were obtained by thermal annealing. Samples of$Ti_{0.94}Fe_{0.06}O_2$ (TiFeO),$Ti_{0.94}Mn_{0.06}O_2$ (TiMnO), and$Ti_{0.94}(Fe_{0.03}Mn_{0.03})O_2$ show a low remanent magnetization and coercive field, as well as superparamagnetic features at room temperature. On the other hand, superlattice films (TiFeO/TiMnO) show a high remanent magnetization and coercive field. An anomalous Hall effect in superlattice films exhibits hysisteresis loops with coercivities corresponding to those in the ferromagnetic Hysteresis loops. The superlattice films composed of alternating layers of$Ti_{0.94}Fe_{0.06}O_2$ and$Ti_{0.94}Mn_{0.06}O_2$ exhibit intrinsic ferromagnetic properties for dilute magnetic semiconductor applications. -
In the future, electronic components will be integrated on flexible polymer substrates and then miniaturized by thin films using suitable thin film technologies. In this article, the concept of a room temperature CVD is demonstrated using
$Bi_3NbO_7$ (BNO) films with a cubic fluorite structure and their structural and electrical properties were investigated in films deposited without substrate heating. Effects of substrate temperature on electrical properties of BNO films were also studied. Films deposited without substrate heating (real temperature of$50^{\circ}C$ ) show partially crystallized BNO single phases with grain size of approximately 6.5 nm. Their dielectric and leakage properties are comparable to those of films deposited by pulsed laser deposition at room temperature. The concept of room temperature CVD will become a new paradigm in the deposition of dielectric thin films for flexible electron device applications. -
LTCC는 최근의 이동 통신 환경의 급격한 발전 속에 그 응용 및 특성 요구가 증폭되고 있다. 이러한 LTCC 소재는 주로 테이프 캐스팅에 의한 후막 공정으로 제품이 만들어지게 되는데, 캐스팅을 위해 제조되는 슬러리는 일반적으로 유변학적 의사가소성 거동을 하는 것으로 알려져 있다. 그러나, 슬러리 제조 조건에 따라 유변학적 거동이 다르게 나타나는 것이 관찰되었다. 이에, 슬러리 제조 조건을 다양하게 변화시키며, 유변학적 거동을 살며 보고 이렇게 변화된 유변학적 거동과 캐스팅된 시트 특성과의 관계를 검토해 보려 한다. LTCC 재료의 주 구성 요소인 glass와 세라믹 분말의 초기 조건 및 각각의 rheology 특성과 혼합 슬러리에서의 관계성 등을 고찰하려 한다.
-
New inchworm type piezoelectric actuator design, which can reduce the number of the piezoelectric body for manufacturing inchworm type actuator, is suggested in this work. Inchworm type actuator consists of three or more piezoelectric bodies, on the other hand the new-designed inchworm type actuator has only one piezoelectric body. The one piezoelectric body that size is
$2\;{\times}\;2\;{\times}\;4\;[mm^2]$ (DWL) has 2 clamping part and 1 extending part. The size of the new-designed actuator with one piezoelectric body is$5\;{\times}\;6\;{\times}\;9\;[mm^2]$ (DWL). The new-designed inchworm type actuator performed the operation at a cycle (6 steps) of$0.3{\mu}m$ per$33.3{\mu}s$ and a generated force of 0.6N. -
Capacitors among the embedded passive components are most widely studied because they are the major components in terms of size and number and hard to embed compared with resistors and inductors due to the more complicated structure. To fabricate a capacitor-embedded PCB for in-line process, it is essential to adopt a low temperature process (<
$200^{\circ}C$ ). However, high dielectric materials such as ferroelectrics show a low permittivity and a high dielectric loss when they are processed at low temperatures. To solve these contradicting problems, we studied BMN materials as a candidate for dielectric capacitors. processed at PCB-compatible temperatures. The morphologies of BMN thin films were investigated by AFM and SEM equipment. The electric properties (C-F, I-V) of Pt/BMN/Cu/polymer were evaluated using an impedance analysis (HP 4194A) and semiconductor parameter analyzer (HP4156A).$Bi_2Mg_{2/3}Nb_{4/3}O_7$ (BMN) thin films deposited on copper clad laminate substrates by sputtering system as a function of Ar/$O_2$ flow rate at room temperature showed smooth surface morphologies having root mean square roughness of approximately 5.0 nm. 200-nm-thick films deposited at RT exhibit a dielectric constant of 40, a capacitance density of approximately$150\;nF/cm^2$ , and breakdown voltage above 6 V. The crystallinity of the BMN thin films was studied by TEM and XRD. BMN thin film capacitors are expected to be promising candidates as embedded capacitors for printed circuit board (PCB). -
There has been rapid progress in the portable electronics industry. which has led to a great increase for a demand of portable, lightweight power sources. Lithium 2'nd batteries have met these demand. and many studies on the cahtod materials for the lithium 2,nd batteries have been reported during the last decade. Possible candidates for the cathode materials for lithium 2,nd batteries are
$LiCoO_2$ ,$LiNiO_2$ , and$LiMn_2O_4$ . Currently$LiCoO_2$ is widely used. but$LiMn_2O_4$ is an excellent alternative material in view of its several advantages such a low cost as well as the wasy availability of raw materials and environmental benignity. In this study, find the most suitable synthesis method that satisfied high capacitor and stability cycle character, etc in Li-Mn oxide for 2'nd batteries. And also made an experiment on doping the$LiMn_2O_4$ spinel with a small amount of metal ions has a remarkable effect on the electrochemical properties and characterics of powder, BET, PSA, Porosity, etc. -
Indium oxide conducting films were dep9sited on Si(100) substrates at various temperatures by liquid delivery metal organic chemical vapor deposition using Indium (III) tris (2,2,6,6-tetramethyl-3.5-heptanedionato)
$(dpm)_3$ precursors. The films deposited at$200{\sim}400^{\circ}C$ were grown with a (111) preferred orientation and exhibit an increase of grain size from 21 to 33nm with increasing deposition temperature. In the range of deposition temperature, there is no metallic indium phase in deposited films. -
P-type transparent conducting
$CuGaO_2$ thin films have been prepared by DC/RF sputtering using Quartz(0001) and sapphire(0001) substrates. The target was fabricated by heating a stoichiometric mixture of CuO and$Ga_2O_3$ at 1373K for 12h under$N_2$ atmosphere. The film were deposited under mixture gas of Ar and$O_2(Ar:O_2=4:1)$ during 10~30min. and the as-deposited films were annealed at 1123K and$N_2$ atmosphere. Room temperature conductivity and the activation energy of the sintered body in the temperature range of 223K ~ 423K were 0 004S/cm, 1.9eV, respectively. XRD revealed that all of the as-deposited films were amorphous. Heating of the films deposited on Quartz substrates above 1123K resulted in crystallization with a second phase of$CuSiO_3$ , which was assumed owing to reaction with Quartz substrate. The single phase of$CuGaO_2$ was obtained at the film deposited on the sapphire substrates. The transmittance after annealing of DC- and RF-sputtered films were 55~75% at 550nm. From the transmittance and reflectance measurement. the direct band gap of the DC/RF-sputtered films were 3.63eV and 3.57eV. and there was little difference between DC and RF sputtered films. -
Glass infiltration 방법을 이용하여 '
$A_2O_3$ /Glass/$Al_2O_3$ ' 구조의 무수축 LTCC 기판을 제조하였다. Glass infiltration 법에 의한 무수축 기판 제조에 있어 가장 중요한 요소로는 Glass의 점성은 낮고, alumina에 대한 Glass의 젖음성 (wettability)이 좋으며. 낮은 반응성이 요구되기 때문에 Glass의 조성 선정이 무엇보다 중요하다. 본 연구에서는 Na, Pb, Mg 계열의 각기 다른 glass들의 alumina에 대한 젖음성을 평가하여 젖음성 및 치밀성이 우수한 Pb 계열의 Glass를 이용하여 LTCC 기판으로 적용 가능한 온도인$700{\sim}900^{\circ}C$ 에서 '$A_2O_3$ /Glass/$Al_2O_3$ '구조의 glass infiltration 특성을 고찰하였다. 소성 후 수축율은 x-y축 0.2%, Z축 40%, 밑도$3.8g/cm^3$ , 유전율 6.8, 품질계수 552로 무수축 기판으로서의 적용 가능성을 확인하였다. -
We report the effect of the film thickness on electrical properties of Ti(N) film resistors. The applications of titanium nitride thin film resistor in
$\Pi$ -type attenuators are also characterized. As film thickness decreases from 100 to 30 nm, temperature coefficient of resistance significantly decreases from -60 to -148 ppm/K, while sheet resistance increases from 37 to$270\;{\Omega}/{\square}$ . The characterizations of 20dB-attenuators using thin film resistors are improved in comparison with those using thick film resistors. The$\Pi$ -type attenuators using Ti(N) thin film resistors exhibit a attenuation of -19.94 dB and voltage standing wave ratio of 1.16 at a frequency of 2.7 GHz. -
Lee, Eun-Hye;Yoon, Hee-Myoung;Han, Wone-Keun;Kim, Tae-Wan;Ahn, Joon-Ho;Oh, Hyun-Seok;Jang, Kyung-Uk;Chung, Dong-Hoe 51
Built-in voltage in organic light-emitting diodes was studied using modulated photocurrent technique ambient conditions. From the bias voltage-dependent photocurrent, built-in voltage of the device is determined. The applied bias voltage when the magnitude of modulated photo current is zero corresponds to a built-in voltage. Built-in voltage in the device is generated due to a difference of work function of the anode and cathode. A device was made with a structure of anode/$Alq_3$ /cathode to study a built-in voltage. ITO and ITO/PEDOT:PSS were used as an anode, and Al and LiF/AI were used as a cathode. It was found that an incorporation of PEDOT:PSS layer between the ITO and$Alq_3$ increases a built-in voltage by about 0.4V. This is consistent to a difference of a highest occupied energy states of ITO and PEDOT:PSS. This implies that a use of PEDOT:PSS layer in anode improves the efficiency of the device because of a lowering of anode barrier height. With a use bilayer cathode system LiF/Al, it was found that the built-in voltage increases as the LiF layer thickness increases in the thickness range of 0~1nm. For 1nm thick LiF layer, there is a lowering of electron barrier by about 0.2eV with respect to an Al-only device. It indicates that a very thin alkaline metal compound LiF lowers an electron barrier height. -
Charged particle type display using particles which have opposite charge and color is based on effect of reversible optical property due to electric field. we designed mask pattern for fabrication of the charged particle type display based on glass substrate and investigated cell gap dependent of driving voltage and selectively driving method. the panel driven by our selectively driving method, we could obtain image which had vary little crosstalk caused by electrical interference and conform the last image maintained by memory effect without additional voltage.
-
The p-type ZnO thin film, fabricated by means of the ampoule-tube method, was used to make the ZnO p-n junction, and its characteristics was analyzed. The ampoule-tube method was used to make the p-type ZnO based on the As diffusion, and the hall measurement was used to confirm that the p-type is formed. the current-voltage characteristics of the ZnO p-n junction were measured to confirm the rectification characteristics of a typical p-n junction and the low leakage voltage characteristics. Using the ampoule-tube to fabricate the p-type ZnO will provide a very useful technology for producing the UV ZnO LED and ZnO-based devices.
-
Aspheric glass lenses have many optical advantages, for glass have superior optical performance and an aspheric form can reduce optical aberrations. Recently, the use of it is rapidly expanding as the mass production becomes possible by glass molding press and so this method is considered as the best method for fabricating an aspheric glass lens, but it is difficult to control many parameters for pressing and cooling process. Design of experiments (DOE) is a very useful tool to design and analyze complicated industrial design problems. This study investigated the pressing conditions to mold aspheric glass lenses for mega pixel phone camera module using DOE method. We have applied fractional factorial design and the response variable was set form accuracy (PV) of aspheric surface of molded lens. The results of analysis indicates that all factors expect for pressing force of each step are available for the form accuracy (PV). It was the optimum condition of the designed pressing conditions for lowering the form accuracy(PV) value of molded lens that all factors were at the low level. The form accuracy (PV) of mold and molded lens under the optimum condition are
$0.85\;{\mu}m$ and$0.922\;{\mu}m$ respectively. -
We have fabricated top-emission. organic ligth-emitting diodes in a structure of Glass/Al/2-TNATA/TPD/
$Alq_3$ /LiF/Al/Ag. By varying a film thickness of 2-TNATA and TPD, current efficiency, luminance efficiency, and viewing angle dependence of the device were measured. The top device using$Alq_3$ showed electroluminescent peak wavelengths of 522nm and 505nm at$0^{\circ}$ and$60^{\circ}$ viewing angles, respectively. It is thought that a microcavity effect affects on peak wavelength position for different viewing angles. -
IAZO (indium aluminium zinc oxide) anode films were co-sputtered on glass substrate using a dual target DC magnetron sputtering system. For preparation of IATO films, at constant DC power of IZO (indium zinc oxide) target of 100 W, the DC power of AZO (Aluminum zinc oxide) target was varied from 0 to 100 W. To analyze electrical and optical properties of IAZO anode, Hall measurement examination and UV/V is spectrometer were performed, respectively. In addition, structure of IAZO anode film was examined by X-ray diffraction (XRD) method. Surface smoothness was investigated by Scanning Electron Microscopy (SEM) and Atomic Force Microscopy (AFM). From co-sputtered IAZO anode, good conductivity(
$2.32{\times}10^{-4}{\Omega}.cm$ ) and high transparency(approximately 80%) in the visible range were obtained even at low temperature deposition. Finally, J-V-L characteristics of phosphorescent OLED with IAZO anode were studied by Keithley 2400 and compared with phosphorescent OLED with conventional ITO anode. -
It is analyzed with regard to LCD in Korea that national patent application, applicant patent application, IPC patent application. The Trends of patent application concentrated LCD manufacturing process and device, case and a cell of liquid crystal. Therefore, the future research and developments must be centralized these ranges. It is needed for competitive power and original technology that the search and analysis of the previous patent.
-
Carboxylated multi-walled carbon nanotubes (MWNTs) were in detail characterized by XRD, XPS, FTIR, and thermogravimetric measurements. Carboxylic acid groups were functionalized to MWNTs using aqueous acid solutions. The change. of sonication and reflux conditions rarely influenced the degree of carboxylation on MWNTs, but reduced the thermal stability of the resulting carboxylated MWNTs. The characteristic Bragg peaks of pristine and carboxylated MWNTs were analyzed by XRD measurements. After acid treatment the diffraction peaks (100), (101), and (102) of pristine MWNTs disappeared, but the diffraction peak (002) was preserved in the carboxylated MWNTs. The introduction of carboxylic acid groups on MWNTs caused to improve the dispersibility of the resulting carboxylated MWNTs in water.
-
Park, Jung-Hyun;Choi, Hak-Bum;Kim, Gu-Young;Lee, Seok-Jae;Seo, Ji-Hyun;Seo, Ji-Hoon;Kim, Young-Kwan 64
High-efficiency white organic light-emitting diodes (WOLEDs) were fabricated with two emissive layers and exciton blocking layer was sandwiched between two phosphorescent dyes which were, bis(3,5-Difluoro-2-(2-pyridyl)phenyl-(2-carboxypyridyl) iridium III (Flrpic) as blue emission and a newly synthesized red phosphorescent material guest, Bis(5-benzoyl-2-phenylpyridinato-C,N)iridium(III) (acetylacetonate) ((Bzppy)2Ir(III)acac). This exciton blocking layer prevents a triple-triple energy transfer between the two phosphorescent emissive layers with balanced emission of blue and red. The white device showed the Commission Internationale d'Eclairage (CIEx,y) coordinates of (0.34, 0.40) at the maximum luminance of$24100\;cd/m^2$ and maximum luminous efficiency of 22.4 cd/A, respectively. -
We have developed reflective information display using opposite-charged two particles. An appropriate amount of both the yellow and the black powers are putted between the ITO patterned glass substrate separated with cell gap. The rib maintains the cell gap and prevents the interference between the pixels. When a negative voltage is applied to the upper ITO electrode, the positively charged black powder moves to the upper electrode viewing a black appearance. In case of positive voltage is applied to this electrode white particle is observed. So we analyzed the electrical and optical properties of our charged particle type display panel.
-
We have prepared p-type ZnO:Al films in pure oxygen ambient on n-type Si (100) and homo buffer layers by RF magnetron sputtering system. Hall effect measurement shows that the film annealed at
$600^{\circ}C$ possesses p-type conductivity and the film annealed$800^{\circ}C$ does not. PL spectra show different properties of p- and n-type ZnO film. The corresponding peaks of PL spectra of p- and n-type show at about same positions. The intensities of high photon energy of n-type film on buffer shows decreasing tendency. -
Analyzing pentacene field effect transistors (FETs) with Au source and drain electrodes as Maxwell-Wagner effect elements, electron and hole injection from the Au electrodes into the FET channel were examined using current-voltage (I-V), capacitance-voltage (C-V) and optical second harmonic generation (SHG) measurements. Based on these results, a mechanism of the hole and electron injection into pentacene from the Au electrodes and subsequently recombination mechanism with light-emitting in the pentacene layer are discussed, with taking into account the presence of trapped charges.
-
The charged particle have characteristics of high-contrast ratio and wide-view angle, quick-response time. When positive voltage is applied to the upper electrode, the yellow particles with negative charge move toward the upper substrate and the black particles with positive charge move toward opposite direction. We have developed the putting method that can fill particles in cell of panel and control the amount of charged particles. We investigated putting method, fabrication process, aging and driving for charged particle type display.
-
We report on the characteristics of organic light-emitting diodes with Al cathode deposited by specially designed twin target sputter(TTS) system. It was found that the Al cathode films grown by TTS system were amorphous structure with nanocrystallines due to low substrate temperature during sputtering process. Effective confinement of high-density plasma between two Al targets lead to low temperature sputtering process on organic layer. Moreover, organic light-emitting diodes with Al cathode deposited by TTS system exhibited low leakage current density of
$4{\times}10^{-6}\;mA/cm2$ at -6 V indicating plasma damage due to bombardment of energetic particles such as ions and$\gamma$ -electrons was effectively restricted in the ITS system. Sputtering method using ITS system is expected to be applied in organic electronics and flexible displays due to its low temperature and plasma damage free deposition process. -
Several kinds of photo-chromic polymers containing push-pull structure were synthesized and investigated on optical patterning by photo-induced surface relief gratings (SRG) technique. The azobenzene segment was introduced as a functional group for a photo-triggered tran-cis isomerization. Consequently, we have fabricated micro-size regular pattern by one-step process without photo-mask.
-
The development of next generation displays such as flexible display is a major challenge. Most materials and processes in current flat panel display industry cannot be transferred to flexible substrates. Typically, indium tin oxide (ITO) thin films are brittle and need to be deposited at high temperature to achieve an optimal opto-electrical property, therefore ITO films cannot be used as a flexible electrode. Up to date, many alternative materials to ITO have been proposed such as conductive polymers, nanometals, solution deposited transparent conductive oxide(TCO) and carbon nanotubes(CNTs). CNT based transparent conductive films are fabricated on glass and polymer substrates. CNT thin films exhibit a sheet resistance (
$R_s$ ) of nearby$10^3\;{\Omega}/sq$ with a transmittance of around 80% on the visible light range, which is attributed by excellent dispersion and interaction among CNTs, solvents and polymeric binders. This talk will present the current studies, opto-electrical properties, design criteria and its applications for CNT-based transparent conductive films. -
In semiconductor devices, Cu has been used for the formation of multilevel metal interconnects by the damascene technique. Also lower dielectric constant materials is needed for the below 65 nm technology node. However, the low-k materials has porous structure and they can be easily damaged by high down pressure during conventional CMP. Also, Cu surface are vulnerable to have surface scratches by abrasive particles in CMP slurry. In order to overcome these technical difficulties in CMP, electro-chemical mechanical planarization (ECMP) has been introduced. ECMP uses abrasive free electrolyte, soft pad and low down-force. Especially, electrolyte is an important process factor in ECMP. The purpose of this study was to characterize KOH and
$KNO_3$ based electrolytes on electro-chemical mechanical. planarization. Also, the effect of additives such as an organic acid and oxidizer on ECMP behavior was investigated. The removal rate and static etch rate were measured to evaluate the effect of electro chemical reaction. -
Jeong, Suk-Hoon;Seo, Heon-Deok;Park, Boum-Young;Park, Jae-Hong;Lee, Ho-Jun;Oh, Ji-Heon;Jeong, Hae-Do 79
Copper has been used as an interconnect material in the fabrication of semiconductor devices, because of its higher electrical conductivity and superior electro-migration resistance. Chemical mechanical polishing (CMP) technique is required to planarize the overburden Cu film in an interconnect process. Various problems such as dishing, erosion, and delamination are caused by the high pressure and chemical effects in the Cu CMP process. But these problems have to be solved for the fabrication of the next generation semiconductor devices. Therefore, new process which is electro-chemical mechanical planarization/polishing (ECMP) or electro-chemical mechanical planarization was introduced to solve the. technical difficulties and problems in CMP process. In the ECMP process, Cu ions are dissolved electrochemically by the applying an anodic potential energy on the Cu surface in an electrolyte. And then, Cu complex layer are mechanically removed by the mechanical effects between pad and abrasive. This paper focuses on the manufacturing of ECMP system and its process. ECMP equipment which has better performance and stability was manufactured for the planarization process. -
Han, Sang-Jun;Park, Sung-Woo;Lee, Sung-Il;Lee, Young-Kyun;Choi, Gwon-Woo;Lee, Woo-Sun;Seo, Yong-Jin 81
Chemical mechanical polishing (CMP) 공정은 deep 서브마이크론 집적회로의 다층배선구조률 실현하기 위해 inter-metal dielectric (IMD), inter-layer dielectric layers (ILD), pre-metal dielectric (PMD) 층과 같은 절연막 외에도 W, Al, Cu와 같은 금속층을 평탄화 하는데 효과적으로 사용되고 있으며, 다양한 소자 제작 및 새로운 물질 등에도 광범위하게 응용되고 있다. 하지만 Cu damascene 구조 제작으로 인한 CMP 응용 과정에서, 기계적으로 깨지기 쉬운 65 nm의 소자 이하의 구조에서 새로운 저유전상수인 low-k 물질의 도입으로 인해 낮은 하력의 기계적 연마가 필요하게 되었다. 본 논문에서는 전기화학적 기계적 연마 적용을 위해, I-V 특성 곡선을 이용하여 active, passive, transient, trans-passive 영역의 전기화학적 특성을 알아보았으며, Cu 막의 표면 형상을 알아보기 위해 scanning electron microscopy (SEM) 측정과 energy dispersive spectroscopy (EDS) 분석을 통해 금속 화학적 조성을 조사하였다. -
The chemical mechanical polishing (CMP) process has been widely used to obtain global planarization of multilevel interconnection process for ultra large scale. integrated circuit applications. Especially, the application of copper CMP has become an integral part of several semiconductor device and materials manufacturers. However, the low-k materials at 65nm and below device structures because of fragile property, requires low down-pressure mechanical polishing for maintaining the structural integrity of under layer during their fabrication. In this paper, we studied electrochemical mechanical polishing (ECMP) as a new planarization technology that uses electrolyte chemistry instead of abrasive slurry for copper CMP process. The current-voltage (I-V) curves were employed we investigated that how this chemical affect the process of voltage induced material removal in ECMP of Copper. This work was supported by grant No. (R01-2006-000-11275-0) from the Basic Research Program of the Korea Science.
-
$BCl_3$ /Ar ICP 플라즈마를 이용한$ZrO_2$ 박막의 식각 메카니즘이 실험 결과와 모델링을 통해 연구되었다. Ar 가스의 증가에 따라,$ZrO_2$ 의 식각 속도는 선형 변화의 경향을 보이지 않았고, Ar의 약 30% - 35%에서 41.4nm/min의 최대의 속도를 나타내었다. Langmuir probe 측정과 plasma 모델링 결과로부터,$BCl_3$ /Ar 가스 혼합비가 플라즈마 파라미터와 active species의 형성에 큰 영향을 미침을 확인하였다. 한편 surface kinetics 모델링 결과로부터,$ZrO_2$ 의 식각 속도는 ion-assisted chemical reaction mechanism 에 의해 결정됨을 확인하였다. -
최근 귀금속중의 하나인 Ruthenium(Ru)은 높은 일함수, 누설전류에 대한 높은 저항성등의 톡성으로 인해 캐패시터의 하부전극으로 각광받고 있다. 하부전극으로 증착된 Ru은 일반적으로 각 캐패시터의 분리와 평탄화를 위해 건식식각이 이루어진다. 하지만, 건식식각 공정중 유독한
$RUO_4$ 가스가 발생할 수 있으며, 불균일한 캐패시터 표면을 유발할 수 있다. 이러한 문제점들을 해결하기 위해 CMP 공정이 필요하게 되었다. 하지만, Ru은 화학적으로 매우 안정하기 때문에 Ru CMP 슬러리에 대한 연구가 필요하게 되었으며, 이에 대한 연구가 활발히 진행되고 있다. 본 연구에서는 Ru CMP 공정에서 Chemical A가 에칭제 및 산화제로 사용된 슬러리의 pH 변화와 pH 적정제에 따른 영향을 살펴보았다. Ru wafer를 이용하여 static etch rate, passivation film thickness와 wettability를 pH와 pH 적정제에 따라 비교해 보았다. 또한, pH 적정제로$NH_4OH$ 와 TMAH를 이용하여 pH별 슬러리를 제작하고 CMP 공정을 실시하여 Ru의 removal rate을 측정하였다.$NH_4OH$ 와 TMAH의 경우 각각 130. 100 nm/min의 연마율이 측정된 pH 6에서 가장 높은 연마률을 보였으며, TMAH의 경우가 pH 전 구간에서$NH_4OH$ 에 비해 낮은 연마율이 측정되었다. TEOS 에 대한 Ru의 선택비를 측정해 본 결과,$NH_4OH$ 의 경우 pH 8~9. TMAH의 경우 pH 6~7에서 높은 selectivity를 얻을 수 있었다. -
The dispersive method of white-light interferometry is proper for in-line 3-D inspection of dielectric thin-film thickness to be used in the semiconductor and flat-panel display industry. This research is the measurement application of CMP patterned wafer. The results describe 3-D and 2-D profile of the step height during polishing time.
-
Lee, Young-Kyun;Park, Sung-Woo;Han, Sang-Jun;Lee, Sung-Il;Choi, Gwon-Woo;Lee, Woo-Sun;Seo, Yong-Jin 88
Chemical mechanical polishing (CMP) 공정은 그 어원에서 알 수 있듯이 슬러리의 화학적인 요소와 웨이퍼에 가해지는 기계적 압력에 의해 결정되는 평탄화 기술이다. 최근, 금속배선공정에서 높은 전도율과 재료의 값이 싸다는 이유로 Cu률 사용하였으나, 디바이스의 구조적 특성을 유지하기 위해 높은 압력으로 인한 새로운 다공성 막(low-k)의 파괴와, 디싱과 에로젼 현상으로 인한 문제점이 발생하게 되었다. 이러한 문제점을 해결하고자, 본 논문에서는 Cu 표면에 Passivation layer를 형성 및 제거하는 개념으로 공정시 연마제를 사용하지 않으며, 낮은 압력조건에서 공정을 수행하기 위해, 전해질의 농도 변화에 따른 선형추의전압전류법과 순환전압전류법을 사용하여 전압활성화에 의한 전기화학적 반응이 어떤 영향을 미치는지 연구하였다. -
이 논문에서는 다양한 채널길이에 따른 n-채널 다결정 실리콘 TFT의 특징을 보고한다. Excimer laser annealing (ELA)를 이용한 다결정 실리콘은 디스플레이의 재료로써 줄은 특성을 갖는다. 유리기판 위에 buffered oxide 층을 올리고 ELA 처리를 하여 다결정 실리콘을 제작 하였다. 그 위에
$SiO_2$ ,$SiN_x$ 를 증착시켜 n-채널 다결정 실리콘 TFT를 만들었다. 다양한 채널의 길이에 따른 n-채널 TFT의 문턱전압 ($V_{TH}$ ), ON/OFF 전류비($I_{ON}/I_{OFF}$ ), 포화 전륙(IDSAT)를 조사하였다. 그 결과 채널의 길이가 짧은 소자에서 더 줄은 TFT의 특징이 나타난다. -
다양한 LDD(lightly doped drain)에 따른 n-channel poly-Si TFT (thin film transistor)에 대하여 보고한다. 유리 기판 위에 ELA를 이용하여 만들어진 Polycrystalline silicon (poly-Si)은 TFT-LCD의 응용을 위한 재료로써 우수한 특성을 갖는다. 제작된 n-channel TFT는 절연층으로
$SiN_x$ ,$SiO_2$ 의 이중 구조를 갖는다. 다양한 LDD에 따른 n-channel poly-Si TFT의 문턱전압($V_{TH}$ ), ON/OFF 전류비 ($I_{ON}/I_{OFF}$ ), 포화전류($I_{DSAT}$ )는 TFT의 보다 좋은 성능을 위해 연구된다. 짧은 LLD 길이를 가진 n-channel poly-Si TFT의 문턱전압은 작고, 포화전류의 값은 크다. 또한 긴 LLD 길이를 가진 n-channel poly-Si TFT는 작은 kink effect를 가진다. -
유리 기판 상부에 버퍼 층을 형성 한 후 플라즈마 화학 기상 증착 법을 이용하여 비정질 실리콘을 증착하고 Ni-solution을 이용하여 앓게 Ni 코팅한다. 그 시료를 약
$600^{\circ}C$ 의 RTA 열처리 공정을 이용하여 비정질 실리콘을 다결정 실리콘으로 결정화 시키는 연구를 진행하였다. Ni 코팅 과정에서 온도를 달리하며 실험한 결과 약 70 에서$80^{\circ}C$ 의 온도에서 진행을 하여야 가장 결정화가 잘 일어나는 것을 알 수 있다. Ni 코팅은 15 초, RTA 공정은 40분간의 진행 시간을 거쳐야 최적의 결정화 정도를 만들어 낸다. -
A stoichiometric. mixture of evaporating materials for
$CuGaSe_2$ single crystal thin films was prepared from horizontal electric furnace. Using extrapolation method of X-ray diffraction patterns for the polycrystal$CuGaSe_2$ , it was found tetragonal structure whose lattice constant$a_0$ and$c_0$ were$5.615\;{\AA}$ and$11.025\;{\AA}$ , respectively. To obtain the single crystal thin films,$CuGaSe_2$ mixed crystal was deposited on thoroughly etched semi-insulating GaAs(100) substrate by the hot wall epitaxy (HWE) system. The source and substrate temperatures were$610^{\circ}C$ and$450^{\circ}C$ , respectively. The crystalline structure of the single crystal thin films was investigated by the photoluminescence and double crystal X-ray diffraction (DCXD). The carrier density and mobility of$CuGaSe_2$ single crystal thin films measured with Hall effect by van der Pauw method are$4.87{\times}10^{17}\;cm^{-3}$ and$129\;cm^2/V{\cdot}s$ at 293 K, respectively. The temperature dependence of the energy band gap of the$CuGaSe_2$ obtained from the absorption spectra was well described by the Varshni's relation,$E_g(T)\;=\;1.7998\;eV\;-\;(8.7489\;{\times}\;10^{-4}\;eV/K)T^2/(T\;+\;335\;K)$ . -
ZnO films on
$Al_2O_3$ substrates were grown using a pulsed laser deposition method. Through photoluminescence (PL) and X-ray diffraction (XRD) measurements, the optimum growth conditions for the ZnO growth were established. The results of the XRD measurements indicate that ZnO films were strongly oriented to the c-axis of the hexagonal structure and epitaxially crystallized under constraints created by the substrate. The full width half maximum for a theta curve of the (0002) peak was$0.201^{\circ}$ . Also, from the PL measurements, the grown ZnO films were observed to give free exciton behaviour, which indicates a high quality of the epilayer. The Hall mobility and carrier density of the ZnO films at 293 K were estimated to be$299\;cm^2/V\;s$ and$8.27\;{\times}\;10^{16}\;cm^{-3}$ , respectively. The absorption spectra revealed that the temperature dependance of the optical band gap on the ZnO films was$E_g(T)\;=\;3.439\;eV\;-\;(5.30\;{\times}\;10^{-4}\;ev/K)T^2(367\;+\;T)$ . -
We fabricated floating gate non-volatile memory devices with Si nanocrystals embedded in
$SiN_x$ layer to achieve higher trap density. The average size of Si nanocrystals embedded in$SiN_x$ layer was ranging from 3 nm to 5 nm. The MOS capacitor and MOSFET devices with Si nanocrystals embedded in$SiN_x$ layer were analyzed the charging effects as a function of Si nanocrystals size. -
The electrical characteristics of high power LIGBT implemented by CMOS process are described and compared with those of high voltage LDMOSFET with the same device dimensions. LIGBT has exhibited approximately 8 times superior current drive capability than LDMOSFET. The proposed p+/n+ anode structure resulted in the significant increase of on-state breakdown voltage of LIGBT. Therefore, LIGBT suggested in this paper is one of the promising candidate for smart power IC applications.
-
Kim, Kyung-Soo;Bae, Geun-Hag;Noh, Hyung-Wook;Park, So-Yeon;Kim, Ho-Sik;Park, Sung-Ho;Jung, Ju-Hee;Jung, Il-Hyun 104
3,3-Dimethyl-1-butene($C_6H_{12}$ ) monomer를 이용하여 RF power와 압력에 따라 증착된 막의 refractive index와 extinction coefficient를 알아보았다. 증착된 막의 n & k는 power/pressure가 증가할수록 증가하였으며, Ar으로 증착된 막이 더 낮은 값을 갖는 것으로 나타났다. -
We fabricated anodic aluminium oxides (AAO) on Si and sapphire substrates from the electrochemical reactions of thin AI films in an aqueous solution of oxalic acid. The thin AI films have deposited on Si and Sapphire substructure by using E-beam evaporation and thermal evaporation, respectively. The formation of AAO structures has investigated from FE-SEM measurement image and showed randomly distributed phase of nanoholes instead of the periodic lattice of photonic crystals. The AAO structure on sapphire shows the double layers of nanoholes.
-
Seo, Shang-Hoon;Lee, Ro-Woon;Kim, Yong-Sik;Kim, Tae-Gu;Park, Sung-Jun;Yun, Kwan-Soo;Park, Jae-Chan;Jeong, Kyoung-Jin;Joung, Jae-Woo 108
Inkjet printing is a non-contact and direct writing associated with a computer. In the industrial field, there have been many efforts to utilize the inkjet printing as a new way of manufacturing, especially for electronic devices. The etching resist used in this process is an organic polymer which becomes solidified when exposed to ultraviolet lights and has high viscosity of 300 cPs at ambient temperature. A piezoelectric-driven ink jet printhead is used to dispense$20-40\;{\mu}m$ diameter droplets onto the copper substrate to prevent subsequent etching. In this study, factors affecting the pattern formation such as printing resolution, jetting property, adhesion strength, etching and strip mechanism, UV pinning energy have been investigated. As a result, microscale Etch resist patterning of printed circuit board with tens of${\mu}m$ high have been fabricated. -
Plasma etching process에서 magnetic field 영향에 관한 연구이다. High level dry etch process를 위해서는 high density plasma(HDP)가 요구된다. HDP를 위해서 MERIE(Magnetical enhancement reactive ion etcher) type의 설비가 사용되며 process chamber side에 4개의 magnetic coil을 사용한다. 이런 magnetic factor가 특히 wafer edge부문에 plasma charging에 의한 damage를 유발시키고 이로 인해 device Vth(Threshold voltage)가 shift 되면서 제품의 program 동작 문제의 원인이 되는 것을 발견하였다. 이번 연구에서 magnetic field와 관련된 plasma charge damage를 확인하고 damage free한 공정조건을 확보하게 되었다.
-
Lee, S.W.;Jeong, J.Y.;Park, C.S.;Hwang, I.W.;Kim, J.H.;Ji, J.Y.;Choi, J.Y.;Lee, Y.J.;Han, S.H.;Kim, K.M.;Lee, W.J.;Rha, S.K. 111
Further scaling the semiconductor devices down to low dozens of nanometer needs the extremely shallow depth in junction and the intentional counter-doping in the silicon gate. Conventional ion beam ion implantation has some disadvantages and limitations for the future applications. In order to solve them, therefore, plasma source ion implantation technique has been considered as a promising new method for the high throughputs at low energy and the fabrication of the ultra-shallow junctions. In this paper, we study about the effects of DC bias and base pressure as a process parameter. The diluted mixture gas (5%$PH_3/H_2$ ) was used as a precursor source and chamber is used for vacuum pressure conditions. After ion doping into the Si wafer(100), the samples were annealed via rapid thermal annealing, of which annealed temperature ranges above the$950^{\circ}C$ . The junction depth, calculated at dose level of$1{\times}10^{18}/cm^3$ , was measured by secondary ion mass spectroscopy(SIMS) and sheet resistance by contact and non-contact mode. Surface morphology of samples was analyzed by scanning electron microscopy. As a result, we could accomplish the process conditions better than in advance. -
$SiO_2$ 절연 박막위에 희석된 PMMA 유기물을 처리하였다. 유기물 처리량에 따른$SiO_2$ 박막의$620{\sim}1100\;cm^{-1}$ 영역의 FTIR 스펙트라를 분석한 결과 0.3~0.7%로 PMMA 처리된 박막에서 친핵성 반응이 밀어나는 것을 확인하였으며, 친핵성 반응이 일어나는 박막들에서 누설전류가 적었으며, 절연특성이 우수한 것을 확인하였다. -
In this paper, it was demonstrated that organic thin-film transistors (OTFTs) were fabricated with the organic passivation layer by vapor deposition polymerization (VDP) processing, In order to form polymeric film as an passivation layer, VDP process was also introduced instead of spin-coating process, where polymeric film was co-deposited by high-vacuum thermal evaporation from 6FDA and ODA followed by curing, Field effect mobility, threshold voltage, and on-off current ratio with 450-nm-thick organic passivation layer were about
$0.21\;cm^2/Vs$ , IV, and$1\;{\times}\;10^5$ , respectively. -
21 세기에 접어들면서 인터넷을 통한 정보 통신의 발달과 개인 휴대용 이동 통신기기의 활발한 보급에 따라 휴대형 전자기기들의 소형화와 고성능화로 나아가고 있다. 이러한 전자기기에 사용될 IC의 내장 메모리 또한 집적화 및 고속화, 저 전력화가 이루어져야 한다. 이러한 전자기기들에 필수적인 압전 세라믹스 부품 중 압전 부저 및 기타 음향 부품등을 각종 전자기기와 무선 전화기에 채택함으로써 압전 부품에 대한 수요와 생산이 계속 증가할 것으로 전망된다. 이처럼 압전 세라믹스를 이용한 그 응용 범위는 대단히 방대하며, 현재 모든 압전 부품들은 PZT 계열 재료로 만들어지고 있고, 차후 모두 비납계열 재료로 대체될 것이 확실시된다. Pb의 환경오염은 이미 오래전부터 큰 문제점으로 인식되고 있었으며 그 일례로 미국의 캘리포니아 주에서는 1986년부터 약 800종의 유해물질, 그 중에서도 Pb 사용을 300ppm 이하로 규제하는 Proposition 65를 제정하여 실행하고 있다. 그리고 2003년 2월에 EU (European Union) 에서 발표한 전자산업에 관한 규제 사항중 하나인 위험물질 사용에 관한 지칭 (Restriction of Hazardous Substance, RoHS) 에 의하면, 2006 년 7월부터 전기 전자 제품에 있어서 위험 물질인 Pb을 포함한 중금속 물질(카드늄, 수은, 6가 크롬, 브롬계 난연재)의 사용을 금지한다고 발표하였다. 비록 전자세라믹 부품에 함유된 Pb는 예외 사항으로 두었지만 대체 가능한 물질이 개발되면 전자세라믹 부품에서도 Pb의 사용을 금지한다고 규정하였다. 더욱이 일본은 2005 년부터 Pb 사용을 금지시켰다. 이와 같이 Pb가 환경에 미치는 영향 때문에 비납계 강유전 물질 및 압전 세라믹스 재료에 대한 연구가 전 세계적으로 활발히 진행되고 있다. 본 연구에서는 비납계 강유전체의 patterning을 위해서, NKN 박막을 고밀도 플라즈마원인 ICP를 이용하여 식각 mechanism을 연구하고, 식각변수에 따른 식각 공정을 최적화에 대하여 연구하였다. 가스 혼합비에 따라 식각 할때 700 W의 RF 전력과 - 150 V의 직류 바이어스 전압을 인가하였고, 공정 압력은 2 Pa, 기판 온도는
$23^{\circ}C$ 로 고정하였다. 식각 속도는 Tencor사의 Alpha-step 500을 이용하여 측정되었으며 식각 시 NKN 박막 표면과 라디칼과의 화학적인 반응을 분석하고 식각 메커니즘을 규명하기 위하여 XPS(x-ray photoelectron spectroscopy)를 사용하였다. -
Park, Jung-Cheul;Chu, Soon-Nam;Lee, Hyeon-Seok;Kwon, Jung-Youl;Lee, Heon-Yong;Ahn, Sang-Yong 117
In this paper, we examined an effect by the binder in titanium dioxide film for dye sensitized solar cell. Binder(PEG and PEO) was added the quantity of 10- 40wt% to commercial$TiO_2$ (P25), Respectively. All of$TiO_2$ film was showed porous structure. but difference of film's porosity could not be confirm. Transmittances of$TiO_2$ film was decreased with the increase of binder's quantity, generally. In case of 20wt%, however, Transmittances of$TiO_2$ film was recoded the highest value. and it measured 1.77 of energy-conversion efficiency. -
반도체 공정에서 사용되는 ILD막질 중 oxy-nitrde(SiON) film은 contact etch stopper, photo공정을 위한 ARL(anti-reflection lay떠 그리고, 후속공정의 plasma damage에 대한 blocking layer로서의 역할을 담당하며 많은 공정에 널리 사용되고 있다. 그러나 막질 자체의 불완전성 (trap site, dangling bond)에 의해 cell current instability(CCI) 특성을 악화 시킬 수 있어 이에 대한 원인규명 및 대책이 요구되었다. 본 연구는 미국 S사(社) super flash memory에서 oxy-nitride 막질 증착 시의 gas flow량에 따른 CCI 특성변화를 연구하고 최적의 공정조건을 제시하고자 한다.
-
Single crystal
$CuAlSe_2$ layers were grown on thoroughly etched sem-insulating GaAs(l00) substrate at$410^{\circ}C$ with hot wall epitaxy (HWE) system by evaporating$CuAlSe_2$ source at$680^{\circ}C$ . The crystalline structure of the single crystal thin films was investigated by the photoluminescence and double crystal X-ray diffraction (DCXD). The carrier density and mobility of single crystal$CuAlSe_2$ thin films measured with Hall effect by van der Pauw method are$9.24{\times}l0^{16}\;cm^{-3}$ and$295\;cm^2/V{\cdot}s$ at 293K, respectively. The temperature dependence of the energy band gap of the$CuAlSe_2$ obtained from the absorption spectra was well described by the Varshni's relation,$E_g(T)\;=\;2.8382\;eV\;-\;(8.68\;{\times}\;10^{-4}\;eV/K)T^2/(T\;+\;155\;K)$ . -
A silver indium sulfide (
$AgInS_2$ ) epilayer was grown by the hot wall epitaxy method, which has not been reported in the liteniture. The grown$AgInS_2$ epilayer has found to be a chalcopyrite structure and evaluated to be high quality crystal. From the photocurrent measurement in the temperature range from 30 K to 300 K, the two peaks of A and B were only observed, whereas the three peaks of A, B, and C were seen in the PC spectrum of 10 K. These peaks are ascribed to the band-to-band transition. The valence band splitting of$AgInS_2$ was investigated by means of the photocurrent measurement. The temperature dependence of the energy band gap of the$AgInS_2$ obtained from the photocurrent spectrum was well described by the Varshni's relation,$E_g(T)=\;E_g(0)\;eV-(7.78\;{\times}\;10^{-4}\;eV/K)T^2/(T\;+\;116\;K\;K)$ . Also, Eg(0) is the energy band gap at 0 K, which is estimated to be 2.036 eV at the valence band state A and 2.186 eV at the valence band state B. -
Polycrystalline silicon thin-film-transistors (Poly-Si TFT's) with a amorphous-
$Si_xGe_y$ seed layer have been fabricated to improve the performance of TFT. The dependence of crystal structure and electrical characteristics on the the Ge fractions in$Si_xGe_y$ seed layer were investigated. As a result, the increase of grain size and enhancement of electrical characteristics were obtained from the poly-Si TFT's with amorphous-SixGey seed layer. -
Kim, Sang-Gi;Lee, Ju-Wook;Kim, Gwan-Ha;Park, Hoon-Soo;Kim, Bo-Woo;Koo, Jin-Gun;Kang, Jin-Young 127
고밀도 트렌치 게이트 전력소자 제조를 위해 HBr. He-$O_2$ ,$SiF_4$ ,$CF_4$ 등의 식각 가스를 이용하여 형성한, 트렌치 표면 거칠기 및 손상을 최소화 하여 고밀도 트렌치 게이트 전력소자를 제조하였다. 트렌치 형상 각도가 약 900일 경우 항복전압은 약 29 V인 반면, 트렌치 각도가$88^{\circ}$ 일 경우 항복전압이 37V로 트렌치 형상에 따라 약 25%의 항복전압이 높아졌음을 알 수 있었다. n-채널 트렌치 게이트 전력소자의 전압-전류 측정 결과 트렌치 게이트 수가 45.000개일 때 게이트에 10 V를 인가했을 때 전류는 약 46 A로 측정되어 고밀도 트렌치 게이트 전력소자의 특성이 좋음을 알 수 있었다. -
Park, So-Yeon;Bae, Geun-Hag;Kim, Kyung-Soo;Noh, Hyung-Wook;Kim, Ho-Sik;Park, Sung-Ho;Jung, Ju-Hee;Jung, Il-Hyun 129
3,3-Dimethyl-1-butene ($C_6H_{12}$ ) monomer를 이용하여 RF power와 압력에 따라 막을 증착하였다. Power/pressure (W/Torr)가 증가할수록 증착된 비정질 탄소막은 FT-IR 스펙트럼에서$CH_x$ (at around$2900cm^{-1}$ )는 감소하였지 만 elastic modulus는 증가하는 것으로 나타났다. -
An AlGaN/GaN high electron mobility transistor(HEMT) was fabricated and the effect of photoelectrochemical oxidation of AlGaN/GaN surface was investigated. The oxidation of AlGaN surface was done in water at the bias of 10 V under the deep UV light illumination. The sheet resistance of the AlGaN/GaN structure was increased and gate leakage current of the HEMT was decreased by the oxidation. However, the transconductance of the HEMT was not degraded by the oxidation.
-
We have studied the structural and electrical, optical properties of Al doped ZnO(AW) thin films which were fabricated by If reactive magnetron sputtering method with various heat treatment conditions. The heat temperatures of specimen fabrication were comning 7059 glass is
$200{\sim}500^{\circ}C$ and Polyimide films are$200{\sim}350^{\circ}C$ respectively. The variations of the electrical and optical properties with heat treatment temperature and ambient were studied. -
AgInSbTe물질은 compact disc rewritable(CD-RW)와 rewritable digital versatile disc (DVD+RW)과 같은 상변화 기록매체에 널리 쓰여지고 있다. 본 논문에서는 기존 AgInSbTe조성에 Te가 증가되었을때 변화하는 상변화 특성에 대한 연구를 수행하기 위하여 (
$Ag_{3.4}In_{3.7}Sb_{76.4}Te_{16.5})x(Te)1-x$ 의 조성 (x=1,0.9,0.8,0.7)의 벌크 및 박막시료를 제작하였고 열증착방식을 이용하여 200nm 두께의 박막을 형성하였다. 각 박막은 질소분위기에서 100-300도 범위에 1 시간동안 열처리 하였고 XRD와 UV-ViS-NIR Spectrophotometer룰 통해 각 조성의 구조 및 광학적 특성 분석을 살시하였다. 또한 as-deposited 박막에 대하여 4-point probe를 사용하여 면저항을 측정하였고 AFM (atom force microscopy)을 통해 표면분석을 실시하였다. -
LCD 패널의 전기전도에 대한 물량여부를 측정하기 위한 프르브 유니트의 핵심소자 중 하나인 블레이드 타입의 핀을 제작하는데 있어서 주 소재인 베릴륨니켈 박판의 식각 조건에 대하여 연구하였다. 사용된 베릴륨니켈 기판의 두께는
$20{\mu}m$ 이며, DFR을 이용하여 패터닝하였고 염화제이철 및 황산을 첨가한 용액을 이용하여 침전법으로 단면 식각을 실시하였다. 베릴륨니켈 박판은 2mol의 염화제이철에 1mol의 황산을 첨가한 용액으로$40^{\circ}C$ 의 온도에서 식각하였을때 가장 빠른 식각을 보였으며, 그에 따라 식각된 면의 상태도 매우 깨끗하고 수직 가까운 식각면이 나타남을 알 수 있었다. -
탄화규소반도체소자는 wide band-gap 반도체 재료로 고전압, 고속스위칭 특성이 우수하여 차세대 전력반도체소자로 매우 유망한 소자이다. 이러한 물리적 특성으로 전력변환소자인 고전압 MOSFET 소자를 개발하기 위한 연구가 활발히 진행되고 있다. 그러나 MOS 소자에서 가장 중요한 게이트 산화막의 특성이 소자에 적용하기에는 그 특성이 많이 취약한 상태이다. 따라서 이러한 단점을 해결하여 고전압 전력변환소자로 적용하기 위하여 게이트 산화막이 필요없는 JFET 소자가 많이 연구되고 있다. 본 논문에서는 JFET 소자를 normally-off type으로 동작시키기 위하여 게이트의 구조, 도핑농도 및 게이트 폭을 조절하여 simulation를 수행하였다. 케이트의 농도 및 접합깊이에 따라 normally-on 또는 off 특성에 큰 영향을 미치고 있으며 게이트 트렌치구조의 깊이에 따라서도 영향을 받는다. 본 simulation 결과 최적의 트렌치 길이, 폭 및 농도로 소자를 구성하여
$1.3m{\Omega}cm^2$ 의 온-저항 특성을 얻을 수 있었다. -
To fabricate the metal-ferroelectric-insulator-semiconductor (MFIS) structure for the ferroelectric random access memory (FRAM) application, we prepared the ferroelectric
$Sr_{0.9}Bi_{2.1}Ta_2O_9$ (SBT) and the insulator LaZrOx (LZO) thin films on the silicon substrate using a sol-gel method. In this study, we will investigate the feasibility of the SBT/LZO/Si structure as one of the promising gate configuration for the 1-transistor (1-T) type FRAM, by measurements of the electrical properties and the physical properties. -
현재 양산용 태앙전지 제조에 가장 널리 쓰이는 전극형성 기술인 Screen printing 기법은 진공 증착법과 무전해 도금에 의한 방법과, 비교할 때 공정장비가 간단하고 자동화에 적합하여 70 년대 이후로 널리 사용되어 왔다. 본 실험에서는 Screen printing기법과 Porous Si을 이용한 양산형 실리콘 태양전지를 제작하여 그 특성을 평가하였으며 13.2%의 변환효율을 나타내었다.
-
Phase change random access memory is one of the most promising candidates for next generation non-volatile memories. However, the high reset current is one major obstacle to develop a high density PRAM. One way of the reset current reduction is to develop the new phase change material. In this paper, to reduce the reset current for phase transition, we have investigated the effect of phase change material parameters using finite element analysis.
-
A universal four-point contact measurement method, has an advantage of non-existence of contact resistance, is demonstrated by the experiments with carbon nanotubes and ZnO nanowire. Ti/Au and Pt are tried to compare the influence of contact resistance between two different metals. These metals are selected to make Ohmic contact and Schottky contact originated from their different work functions. For precise experiments, Ti/Au and Pt are separately evaporated to form double 'four-point contact electrodes' on CNTs or ZnO, and the voltage-current characteristics are measured. This method can be applied to universal resistivity measurement for nanotubes and nanowires.
-
현재 전자부품 시장은 RoHS 규정으로 인하여 lead free화가 진행되고 있으며 많은 주목을 받고 있다. 본 논문에서는 반도체 패키지 및 부품표면일장에서 사용 되는 무전해 주석 도금과정 중 산 탈지 후 막의 표면 거칠기 정도가 도금 후의 표면 거칠기 정도에 미치는 영향을 평가 한다. 실험의 효율성을 높이기 위해 통계적인 실험계획법을 사용하였으며 실험의 횟수를 줄이고 표면 거칠기 정도는 이미지 프로세싱을 통하여 분석하였으며 통계적인 모델링을 통해 micro etch가 도금 표면의 거칠기에 주는 영향을 분석하였다.
-
Kong, Bo-Hyun;Kim, Dong-Chan;Han, Won-Suk;Kim, Young-Yi;Ahn, Cheol-Hyoun;Kang, Si-Woo;Yi, Yu-Jin;Cho, Hyung-Koun 150
ZnO는 3.37eV의 넓은 에너지 밴드갭을 가지고 있으며, 60meV의 큰 엑시톤(exciton) 결합에너지의 특성을 가지고 있어 UV 영역의 소스로서 가장 활용도가 클 것으로 예상된다. 특히 ZnO 박막은 청색과 자외선 발광소자 및 광전자 소자, 화학적 센서로 활용이 가능하다. 최근 ZnO 박막을 이용한 LED 및 LD 소자 제작에 대한 연구가 국내외적으로 매우 활발하게 이루어지고 있다. 이런 소자를 제작할 때 가장 우선시 되는 것이 ZnO 박막의 전기적은 특성(캐리어 밀도, 전도도, 이동도, 비저항)이다. ZnO 박막을 성장하는 방법으로는 sputtering, PLD, MOCVD, sol-gel 법 등 여러방법이 있지만, MOCVD 법은 소스인 DEZn 와 산소의 유량이 조절이 가능하여 박막의 특성 다양하게 변화시킬 수 있는 장정이 있다. 본 연구에서는 MOCVD 법을 이용하여 사파이어 기판위에 ZnO 박막을 성장 시켰다. 성장 시 VI족 소스인 산소가스와 II족 소스인 DEZn 양을 조절함으로써 이때 변화되는 박막의 전기적, 광학적, 구조적 특성에 대해 연구하였다. -
최근에 에피 성장된 ZnO는 UV-LED, 화학적-바이오센서와 투명전도 전극에 많은 관심을 받고 있다. 고 품질의 ZnO는 Metal-organic chemical vapor deposition(MOCVD), Pulsed laser deposition(PLD), molecular beam epitaxy(MBE), 그리고 마그네트론 스퍼터링법에 의해 성장이 이루어지고 있다. 대부분의 ZnO는 사파이어, 싫리콘과 같은 이종 기판 위에 성장되고 있으며, Heteroepitaxy로 성장된 ZnO 박막은 기판과 박막사이의 격자상수, 열팽창계수 차이로 인해 높은 결함 밀도를 보이고 있다. 이러한 문제점은 광전자 소자 응용에 있어 여러 가지 문제점을 야기 시킨다. 이와 같은 문제점을 해결하기 위해 박막과 기판사이에 저온 버퍼층을 사용하거나 같은 물질의 버퍼층을 사용하여 결할 밀도를 감소시키고, 높은 결정성을 가진 ZnO 박막을 성장시킨 결과들이 많이 보고되어지고 있다. 본 연구에서는 마그네트론 스퍼터링 법으로 저온 버퍼층 성장 없이 성장온도 만을 달리 하여 고품질의 ZnO 박막을 성장시켰다. ZnO 박막은 c-sapphire 기판위에 ZnO(99.9999%)의 타겟을 사용하여
$600{\sim}800^{\circ}C$ 온도에서 성장시켰고, 스퍼터링 가스로는 아르곤과 산소를 2:1 비율로 혼합하여 15mtorr의 압력에서 성장하였다. 이렇게 성장시킨 ZnO 박막은 Transmission Electron Microscopy (TEM), High-Resolution X-ray Diffraction (HRXRD), Low-temperature PL, 그리고 Atomic Force Microscopy (AFM)로 특성을 분석 하였다. ZnO 박막은 HRXRD (002) 면의$\omega$ -rocking curve운석 결과,$0.083^{\circ}$ 의 작은 FEHM을 얻었고, (102) 면의$\varphi$ -sacn을 통해 온도가 증가함에 따라 향상된 6-fold을 확인함으로새 에피성장됨을 알 수 있었다. 또한 TEM분석을 통해$800^{\circ}C$ 에서 성장된 박막은$6.7{\times}10^9/cm^2$ 의 전위밀도를 얻을 수 있었다. -
$CuInSe_2$ single crystal thin film was deposited on thoroughly etched semi-insulating GaAs(100) substrate by the hot wall epitaxy (HWE) system. After the as-grown$CuInSe_2$ single crystal thin films was annealed in Cu-, Se-, and In-atmospheres, the origin of point defects of$CuInSe_2$ single crystal thin films has been investigated by the photoluminescence(PL) at 10 K. The native defects of$V_{Cu}$ ,$V_{Se}$ ,$Cu_{lnt}$ , and$Se_{lnt}$ obtained by PL measurements were classified as a donors or acceptors type. And we concluded that the heat-treatment in the Cu-atmosphere converted$CuInSe_2$ single crystal thin films to an optical n-type. Also, we confirmed that In in$CuInSe_2$ /GaAs did not form the native defects because In in$CuInSe_2$ single crystal thin films existed in the form of stable bonds. -
PRAM(Phase-Change RAM) is a promising memory that can solve the problem of conventional memory and has the nearly ideal memory characteristics. We reviewed the issues for high density PRAM integration. Writing current reduction is the most urgent problem for high density PRAM realization. So, we studied new constitution of
$Ge_1Se_1Te_2$ chalcogenide material and presented the method of reducing the contact size between$Ge_1Se_1Te_2$ and electrode. A small-contact-area electrode is used primarily to supply current into and minimize heat loss from the chalcogenide. In this letter, we expect the method of reducing the contact size between$Ge_1Se_1Te_2$ and electrode to decrease writing current. -
For tens of years many advantages of Phase-Change Random Access Memory(PRAM) were introduced. Although the performance improved gradually, there are some portions which must be improved. So, we studied new constitution of
$Ge_1Se_1Te_2$ chalcogenide material to improve phase transition characteristic. Actually, the performance properties have been improved surprisingly. However, crystallization time was as long as ever for amorphization time. We conducted this experiment in order to solve that problem by doping-Sb. -
Kim, Gwan-Ha;Kim, Chang-Il;Jang, Myoung-Soo;Lee, Ju-Wook;Kim, Sang-Gi;Koo, Jin-Gun;Kang, Jin-Young 158
반도체 소자의 공정에 있어서 device scaling으로 인한 게이트 산화막 대체 유전체 (high-k)의 공정 개발 확보 방안 필요하다. 본 논문에서는$Cl_2$ /Ar 유도 결합 플라즈마를 이용하여$HfO_2$ 박막을 식각하였다.$Cl_2$ (80 %)/Ar(20 %)의 가스비, 600 W의 RF 전력, -150 V의 직류 바이어스 전압, 20 sccm의 총 가스유랑, 15 mTorr의 압력에서 15.4 nm/min의 최대 식각률을 얻을 수 있었다. 식각 된$HfO_2$ 박막 표면을 XPS 분석한 결과 Hf와 O는 Cl 라디칼과 반응을 하여 높은 휘발성을 보이지만 Hf-O의 안정된 결합으로 인하여 이온에 의한 스퍼터링 효과에 의해서 식각된다. -
Noh, Hyung-Wook;Bae, Geun-Hag;Kim, Kyung-Soo;Park, So-Yeon;Kim, Ho-Sik;Park, Sung-Ho;Jung, Ju-Hee;Jung, Il-Hyun 160
3,3-Dimethyl-1-butene ($C_6H_{12}$ ) monomer를 이용하여 RF power와 압력에 따라 막을 증착하였다. 증착된 막은 power/pressure (W/Torr)가 증가할수록 비정질 탄소막은 Raman 스펙트럼에서 D peak가 증가하였고, ring 구조의 막을 형성하였다. 또한 ring 구조의 막이 형성됨으로써 hardness와 modulus는 각각 12 GPa과 85 GPa로 선형적으로 증가하는 것으로 나타났다. -
The dry etching of Si was investigated using direct dc biasing to the Si substrate. The TCP type etching system with a feed-through for applying a dc bias was used in the etching. The applied dc bias and ICP power was varied to examine the effect on the etching at the fixed chamber pressure and
$SF_6$ flow rate of 10 mTorr and 10 sccm during. When the plasma was generated at ICP power of 100 W, the etch rate of Si was increased with the bias for the biased samples. However, the etching of Si for the non-biased sample was enhanced for the increased ICP power. -
The effect of hydrogen and oxygen plasma treatments on the structural properties of carbon nanotubes (CNTs) has been systematically investigated. The plasma treatment resulted in the removal of the amorphous carbon particles. As the plasma treatment time was longer, the CNT diameter was reduced, regardless of gas types. Especially, for the sample treated in hydrogen plasma, the catalyst metal on the tip of CNTs was eliminated.
-
PRAM (phase-change random access memory)은 전류 펄스 인가에 따른 기록매질의 비정질-결정질 간 상변화와 그에 동반되는 저항변화를 이용하는 차세대 비휘발성 메모리 소자로서 연구되어지고 있다. 본 논문에서는
$(Ge_2Sb_2Te_5)_{1-x}Se_x$ (x=0,0.05,0.1,0.15) 조성에 대한 벌크 및 박막시료를 제작하고 각 조성에 대한 상변화 특성을 분석하였다. XRD를 통해 열처리 온도에 따른 구조적 분석을 실시하였고 UV-Vis-IR spectrophotometer를 사용하여 박막의 광학적 특성을 분석하였다. 또한 각 조성의 결정화 속도를 비교하기 위해 static tester를 사용하여 레이저 펄스 시간에 대한 반사도 변화를 측정하였고 DSC를 통해 결정화 온도를 측정하였다. -
Lee, Sung-Ho;Kang, Sung-Kwan;Choi, Jay-Bok;Yoo, Yong-Ho;Song, Bo-Young;Ahn, Ju-Hyeon;Roh, Yong-Han 167
Design Rule이 작아짐에 따라 Transistor performance 향상을 위한 여러 방안중 SEG 공정이 적용되고 있으며 이에 따른 Transistor 특성 연구 결과이다. SEG공정 적용시 SEG Profile에 따라 Transistor의 Short Channel Effect 열화가 발생하였고 그 원인은 Sidewall Facet발생으로 추정되며 이를 개선시 Tr 특성이 개선됨을 확인하였다. -
기존 사용되어온 절연막인
$SiO_2$ 의 절연특성이 신호의 간섭 등의 문제가 있어서 절연특성을 좋게 하기 위해 낮은 유전상수와 비결정질의 절연막을 요구하고 있다. 본 연구에서는 혼합된 OTS solution으로 처리된$SiO_2$ 절연막이 OTS 함유량 증가에 따른 전기적인 특성을 조사하였다. 전압-전류 특성 곡선에 의한 누설전류 증가랑이 OTS 함유량 증가에 따라 비례적으로 증가하지 않았으며 0.7% 처리 농도에서 누설전류가 가장 적게 나타났다. -
Dysprosium-doped bismuth titanate (BDT) thin films were successfully deposited on Pt(111)/Ti/
$SiO_2$ /Si(100) substrates by liquid delivery MOCVD process and their structural and ferroelectric properties were characterized. Fabricated BDT thin films were found to be random orientations, which were confirmed by X-ray diffraction experiment and scanning electron microscope analysis. The crystallinity of the BDT films was improved and the average grain size increased as the crystallization temperature increased from 600 to$720^{\circ}C$ at an interval of$40^{\circ}C$ . The BDT thin film annealed at$720^{\circ}C$ showed a large remanent polarization (2Pr) of$52.27\;{\mu}C/cm^2$ at an applied voltage of 5V. The BDT thin film exhibits a good fatigue resistance up to$1.0{\times}10^{11}$ switching cycles at a frequency of 1 MHz with applied pulse of${\pm}5\;V$ . These results indicate that the randomly oriented BDT thin film is a promising candidate among ferroelectric materials useti비 in lead-free nonvolatile ferroelectric random access memory applications. -
As the power density and switching frequency increase, thermal analysis of power electronics system becomes imperative. The thermal analysis provides valuable information on the semiconductor rating, long-term reliability. In this paper, thermal distribution of the Non Punchthrough(NPT) Insulated Gate Bipolar Transistor has been studied. For analysis of thermal distribution, we obtained experimental and simulation results by using finite element simulator, Ansys and by using photographic infrared thermometer, we compared experimental date with simulation result. and got good agreement. Also this paper provided thermal distribution of IGBT connected to heat sinks. and this results will be good information to design optimal heat sink for IGBT.
-
A programmable metallization cell (PMC) memory structure with copper-saturated GeTe solid electrolyte films doped by nitrogen was prepared on a TiW bottom electrode by a co-sputtering technique at room temperature. The
$Ge_{45}Te_{55}$ solid electrolyte films deposited with various$N_2$ /Ar flow ratios showed an increase of crystallization temperature and especially, the electrolyte films deposited at$N_2$ /Ar ratios above 30% showed a crystallization temperature above$400^{\circ}C$ , resulting in surviving in a back-end process in semiconductor memory devices. The device with a 200 nm thick$Cu_{1-x}(Ge_{45}Te_{55})_x$ electrolyte switches at 1 V from an "off " state resistance,$R_{off}$ , close to$10^5$ to an "on" resistance state, Ron, more than 20rders of magnitude lower for this programming current. -
Flat-panel direct conversion detectors used in compound substance of semiconductor are being studied for digital x-ray imaging. Recently, such detectors are deposited by physical vapor deposition(PVD) generally. But, most of materials (HgI2, PbI2, TlBr, PbO) deposited by PVD have shown difficult fabrication and instability for large area x-ray imaging. Consequently, in this paper, we propose applicable potentialities for screen printing method that is coated on a substrate easily. It is compared to electrical properties among semiconductors such as
$HgI_2$ ,$PbI_2$ , PbO, HgBrI, InI, and$TlPbI_3$ under investigation for direct conversion detectors. Each film detector consists of an ~25 to$35\;{\mu}m$ thick layer of semiconductor and was coated onto the substrate. Substrates of$2cm{\times}2cm$ have been used to evaluate performance of semiconductor radiation detectors. Dark current, sensitivity and physics properties were measured. Leakage current of$HgI_2$ as low as$9pA/mm^2$ at the operation bias voltage of${\sim}1V/{\mu}m$ was observed. Such a value is not better than PVD process, but it is easy to be fabricated in high quality for large area x-ray Imaging. Our future efforts will concentrate on optimization of growth of film thickness that is coated onto a-Si TFT array. -
ZnO는 3.36eV의 넓은 밴드캡을 가지는 II-IV족 반도체로써 태양전지, LED와 같은 광학적 소자로 이용이 기대가 되는 물질이다. 더욱이, 상온에서의 60meV에 해당하는 큰 엑시톤 에너지와 밴드캡 에지니어링이 가능하다는 장점 때문에 광학적 소자로 널리 이용되고 있는 GaN을 대체할 수 있는 물질로 주목을 받고 있다. 하지만, p-type ZnO는 형성이 어렵고 낮은 이동도와 케리어 농도의 특성을 보이고, 대기 중에 장시간 노출할 경우 n-type ZnO의 특성으로 돌아가는 불안정성을 보이고 있다. 최근에 몇몇의 연구자들에 의해 V족의 원소인 P(phosphorous), N(nitrogen), As(arsenic))를 도핑하여 p-type ZnO의 형성에 대한 논문이 발표되고 있다. 또한, V족 원소 중에 P는 p-type ZnO 형성에 효과적인 도핑 물질로 보고되 고 있다. 본 연구는 마그네트론 스퍼터링을 이용하여 다양한 온도에서 성장된 P도핑 ZnO 박막의 특성에 대해 연구하였다. P도핑된 ZnO 박막은 사파이어 기판에 buffer층을 사용한 Insulator 특성의 ZnO박막위에 400, 500, 600,
$700^{\circ}C$ 에서 성장되 었다. 박막의 특성 분석에는 325nm의 파장을 가지는 He-Cd의 레이져 광원을 사용하여 10K의 저온 PL과 0.5T의 자기장을 사용한 van der Pauw configuration에 의한 Hall effect측정, 그리고 결정성 분석에는 XRD와 TEM을 이용하였다. 상온 Hall-effect 측정 결과,$400{\sim}600^{\circ}C$ 에서 성장된 박막은 n-type의 특성을 보였고,$700^{\circ}C$ 에서 성장된 Phosphorous 도핑 ZnO박막은$1.19{\times}10^{17}$ 의 캐리어 농도를 가지는 p-type의 특성을 보였다. 그리고 XRD분석과 TEM분석을 통하여 박막의 성장온도가 증가 할수록 P도핑된 ZnO박막의 결정성이 향상되는 것을 알 수 있었다. 또한 10K의 저온 PL분석을 통해 p도핑에 의한 액셉터에 관련된 피크들을 관찰할 수 있었다. -
II-VI의 넓은 밴드갭 (3.37 eV)을 가지는 ZnO는 solar cells, transparent conductive electrodes, ultraviolet light emitters, and chemical sensors 등에 응용되고 있다. 특히 고효율 ZnO계 발광 소자 구현을 위하여 MgO (7.7eV), CdO (2.0eV) 등의 고용을 통한 밴드갭을 엔지니어링 하며, 단파장 영역의 광원을 확보하기 위하여 MgO 첨가를 통한 밴드갭 에너지를 증가시키는 방향으로의 연구가 활발하다. 그러나 ZnO의 wurtzite 구조와 MgO의 rocksalt 구조의 상이한 결정구조로 인하여 Mg의 고용한계는 4 at. %, 4.1 eV 알려져 있다. 본 실험에서는 p-type Si (100), c-sapphire (0002)과 GaN 기판 위에 MgO (99.999 %)와 ZnO (99.999 %) 두가지 타겟을 사용하여 RF co-스퍼터링법으로 ZnMgO 박막을 증착 하였다. 이때 ZnO 타겟의 power 밀도는 고정 시키고 MgO 타겟의 power 밀도를 변화 시키며 Mg의 함량을 조절하여 그에 따른 광학적 구조적 특성의 변화를 연구 하였다. 성장된 ZnMgO 박막은 MgO 타겟의 power 밀도가 증가할 때 Mg의 함량이 10 at. %까지 증가 하며, 그에 따른 표면의 거칠기 및 입계 크기가 감소하며, 박막의 성장속도 또한 감소함을 SEM과 AFM을 통하여 알 수 있었다. XRD를 동하여 ZnMgO 박막의 (0002) peak의 위치는
$34.50^{\circ}{\sim}34.7^{\circ}$ 로 오른쪽으로 이동하며, c-축으로 성장하였음을 알 수 있다. PL과 UV룰 동하여, Mg의 함량이 증가 할수록 박막의 밴드갭 에너지는 3.2 eV에서 4.1 eV 로 증가하였다. -
Single crystal
$AgGaSe_2$ layers were grown on thoroughly etched semi-insulating GaAs(100) substrate at$420^{\circ}C$ with hot wall epitaxy (HWE) system by evaporating$AgGaSe_2$ source at$630^{\circ}C$ . The crystalline structure of the single crystal thin films was investigated by the photoluminescence and double crystal X-ray diffraction (DCXD). The carrier density and mobility of single crystal$AgGaSe_2$ thin films measured with Hall effect by van der Pauw method are$4.05{\times}\;10^{16}/cm^3$ ,$139\;cm^2/V{\cdot}s$ at 293 K. respectively. The temperature dependence of the energy band gap of the$AgGaSe_2$ obtained from the absorption spectra was well described by the Varshni's relation,$E_g(T)=1.9501\;eV\;-\;(8.79{\times}10^{-4}\;eV/K)T^2$ /(T + 250 K). The crystal field and the spin-orbit splitting energies for the valence band of the$AgGaSe_2$ have been estimated to be 0.3132 eV and 0.3725 eV at 10 K, respectively, by means of the phcitocurrent spectra and the Hopfield quasicubic model. These results indicate that the splitting of the${\Delta}So$ definitely exists in the$\Gamma_5$ states of the valence band of the$AgGaSe_2$ . The three photocurrent peaks observed at 10 K are ascribed to the$A_1$ -,$B_1$ -, and$C_1$ -exciton peaks for n = 1. -
In this paper, we investigated the diffraction grating efficiency on
$Ge_{75}Se_{25}$ and Ag-doped amorphous chalcogenide$Ag/Ge_{75}Se_{25}$ thin film for used to volume hologram. The film thickness was 2 um and diffraction efficiency was obtained from He-Ne (632.8nm) and DPSS(532nm) (P:P) polarized laser beam on$Ge_{75}Se_{25}$ and Ag/$Ge_{75}Se_{25}$ thin films. As a result. for the films, the diffraction efficiency on Ag/$Ge_{75}Se_{25}$ double layer, was better than single$Ge_{75}Se_{25}$ thin films. The recording speed of DPSS laser is higher than that of He-Ne laser. -
A 40 Gb/s transimpedance amplifier IC was designed and fabricated with a InP/InGaAs HBTs technology. In this study, we interconnect 40Gbps trans impedance amplifier IC to a duroid substrate by a flip chip bonding instead of conventional wire bonding for interconnection. For flip chip bonding, we developed fine pitch bump with the
$70{\mu}m$ diameter and$150{\mu}m$ pitch using WLP process. To study the effect of WLP, electrical performance was measured and analyzed in wafer and package module using WLP. The Small signal gains in wafer and package module were 7.24 dB and 6.93dB respectively. The difference of small signal gain in wafer and package module was 0.3dB. This small difference of gain is due to the short interconnection length by bump. The characteristics of return loss was under -10dB in both wafer and module. So, WLP process can be used for millimeter wave GaAs MMIC with the fine pitch pad and duroid substrate can be used in flip chip bonding process. -
Bae, Geun-Hak;Kim, Kyung-Soo;Noh, Hyung-Wook;Park, So-Yeon;Kim, Ho-Sik;Park, Sung-Ho;Jung, Ju-Hee;Jung, Il-Hyun 185
3,3-Dimethyl-1-butene ($C_6H_{12}$ ) monomer를 이용하여 RF power와 압력에 따라 막을 증착하였다. 증착된 막은 power/pressure (W/Torr)가 증가할수록 비정질 탄소막은 FT-IR 스펙트럼에서$CH_x$ (at around$2900cm^{-1}$ )는 감소하였고, n과 k값은 증가하는 것으로 나타났다. -
This paper presents the electrical properties of SiGe HBTs designed with bottom collector and single metal layer structure for RF power amplifier. Base layer was formed with graded-SiGe/Si structures and the collector place to the bottom of the device. Bottom collector and single metal layer structures could significantly simplify the fabrication process. We studied about the influence of SiGe base thickness, number of emitter fingers and temperature dependence (<
$200^{\circ}C$ ) on electrical properties. The feasible application in 1~2GHz frequency from measured data$BV_{CEO}$ ~10V,$f_r$ ~14 GHz,${\beta\simeq}110$ , NF~1 dB using packaged SiGe HBTs. We will discuss the temperature dependent current flow through the e-b, b-c junctions to understand stability and performance of the device. -
ZnO 박막은 넓은 밴드갭과 가시광 영역에서의 높은 투과 및 제조조건에 따른 비저항의 범위가 크게 달라짐으로 태앙전지, 디스플레이등의 투명 전극등에 널리 응용되어지고 있다. 본 살험에서는 이러한 장점을 갖는 ZnO 박막을 먼저 r. f.-sputter 법으로 제조하여 습식으로 식각하였다. 식각된 ZnO 필름은 OLED 및 디스플레이의 적용에 필요한 식각율과 표면구조 전기적 특성을 조사하였다.
-
본 논문에서는 칼코게나이드 박막의 Ag 포토 도핑시 기판의 변화에 따른 Ag 이온의 도핑 특성을 예측하고자 하였다. 도핑 특성은 Ag 이온의 도핑으로 인한 굴절률 변화를 이용하여 진폭혈 회절격자 효율을 측정하여 확인하였다. 시료는 5N의 순도를 갖는 Ge, Se, Ag 물질을 준비하였고, 이중 GeSe를 조성의 비에 맞추어서 석영관에 진공 봉입후 용융 혼합하고 급냉하여 비정질 빌크를 제작한다. 만들어진 비정질 벌크와 Ag를 열 증착법을 이용하여 기판에 올리는 방법으로 샘플을 제작한다. 제작된 샘플에 레이저와 몇몇 광학 소자로 구성된 흩로-리소그라피 장치를 이용하여 격자구조로 442nm 의 빛을 조사 시킨다. 결론으로는 기판은 칼코게나이드 박막에의 Ag 도핑에 영향을 미친다는 것을 확인하였다.
-
유효 산화막 두께가 약 2.0nm 정도의
$ZrO_2$ 절연막 위에 Ta-Mo 금속 합금과 Ru-Zr 금속 합금을 Co-sputtering 방법을 이용하여 여러 가지 일함수를 갖는 MOS capacitor를 제작하여 전기적 재료적 특성에 관하여 연구를 하였다. 그 결과 각각의 금속 합금 게이트는 4.1eV 에서 5.1eV 사이의 다양한 일함수를 나타냈으며,$400^{\circ}C$ ,$500^{\circ}C$ ,$600^{\circ}C$ ,$700^{\circ}C$ ,$800^{\circ}C$ RTA 후의 C-V특성 곡선 및 I-V 측정을 통하여 누설전류를 확인하였다. 그 결과 Ta-Mo 금속 합금의 경우 스퍼터링 파워가 100W/70W에서 NMOS에 적합한 일함수를 가졌으며, Ru-Zr 금속 합금의 경우 스퍼터링 파워가 50W/100W에서 NMOS에 적합한 일함수를 가졌다. 열처리 후의 C-V특성 곡선에서도 정전용랑 값이 거의 변하지 않았으며 평탄 전압의 변화도 거의 없었다. 누설전류 특성에서는 물리적 두께가 비슷한 기존의$SiO_2$ 절연막에서 실험결과와 비교하여 약 100배 정도 감소되었음을 알 수 있었다. 또한 기존의 실험들에서 나타난 열처리 후의$ZrO_2$ 절연막과 Si 기판 사이의 Interfacial layer 의 동반 두께 증가로 인한 전기적 특성 저하가 나타나지 않는 줄은 특성을 보여준다. -
An evaporated Ti/Pd/Ag contact system is most widely used to make high-efficiency silicon solar cells, however, the system is not cost effective due to expensive materials and vacuum techniques. Commercial solar cells with screen-printed contacts formed by using Ag paste suffer from a low fill factor and a high shading loss because of high contact resistance and low aspect ratio. Low-cost Ni and Cu metal contacts have been formed by using electro less plating and electroplating techniques to replace the Ti/Pd/Ag and screen-printed Ag contacts. Ni/Cu alloy is plated on a silicon substrate by electro-deposition of the alloy from an acetate electrolyte solution, and nickel-silicide formation at the interface between the silicon and the nickel enhances stability and reduces the contact resistance. It was, therefore, found that nickel-silicide was suitable for high-efficiency solar cell applications. Cu was electroplated on the Ni layer by using a light induced plating method. The Cu electroplating solution was made up of a commercially available acid sulfate bath and additives to reduce the stress of the copper layer. In this paper, we investigated low-cost Ni/Cu contact formation by electro less and electroplating for crystalline silicon solar cells.
-
This paper presents the experimental operation of invertactive 50kW photovoltaic system for monitoring periods. Form these performance monitoring results, the PV system performance has been evaluated and analyzed for component perspective. It has produced a regression equation. Using the equation, it is possible to estimate the annual electricity generation. The result of this study will be used to determine the appropriate capacity of PV system in different systems.
-
본 논문에서는 가전 및 사무용 전원장치가 대기모드 상태에 있는 경우의 전력소모를 줄일 수 있는 PWM(Pulse Width Modulation) IC를 설계하였다. 설계된 PWM IC는 전원장치가 정상상태에서 동작하는 경우 전원장치 출력단에서 피드백 받은 신호의 크기에 따라 40 ~ 60kHz의 구동 주파수를 가지는 스위칭 소자 구동 신호를 내보내고, 대기모드 상태에서 동작하는 경우에는 최소 33KHz의 주파수를 가지는 신호를 내보내도록 설계되었다. 각각의 경우에 스위칭 소자 구동 신호의 듀티비는 정상상태인 경우에는 20 ~ 88%, 대기모드 상태인 경우에는 1%이내가 되도록 설계하였다. 시뮬레이션을 통해 검증한 결과 대기모드 상태에서 전원장치의 전력소모량은 0.2W 정도로 작게 나타남을 확인하였다.
-
Bi-Te계 화합물은 상온영역 (
$250^{\circ}C$ 이하)에서 열전 특성이 우수하여, 냉각용 및 발전용 열전 소자 재료로 사용되고 있다. 앞선 연구에서 La이 치환된 Bi-Te 진공가압 소결체의 열전특성이$Bi_2Te_3$ 와 비교하여 향상된 값을 나타내었다. 본 연구에서는 Bi와 Te을 각각 0.01wt% Ce으로 미량 치환하여 기계적 합금화법으로 제조한 분말을$420^{\circ}C$ , 200MPa로 진공가압 소결하였다. 진공가압 소결체의 열전특성은 Seebeck계수, 전기전도도. 열전도도를 측정하여 성능지수를 계산하였고 Bi-Te, (Bi-Ce)-Te, Bi-(Te-Ce)의 열전특성을 비교 분석하였다. -
The SBT(
$SrBi_2Ta_2O_9$ ) thin films with$Bi_2O_3$ buffer layer were deposited on Pt/Ti/$SiO_2$ /Si substrate by R.F. magnetron sputtering method in order to improve the ferroelectric characteristics. In SBT thin films, the deficiency of bismuth during the process due to its volatility results in an obvious non stoichiometry of the films and the presence of secondary phases.$Bi_2O_3$ buffer layer was found to be effective to achieve the low temperature crystallization and improve the ferroelectric properties of SBT thin films. Ferroelectric properties and crystallinities of SBT thin films with various post annealing of$Bi_2O_3$ buffer layer were observed as various annealing temperature, using X-Ray Diffraction (XRD), scanning electron microscopy (SEM), Keithley 237 and HP 4192A Impedance Analyzer. -
Ferroelectric SBT(
$SrBi_2Ta_2O_9$ ) thin films were deposited on Pt/Ti/$SiO_2$ /Si substrate using R.F. magnetron sputtering method. The ferroelectric and electric characteristics were investigated with various post-annealing of Pt at$200{\sim}600^{\circ}C$ . Compared with SBT thin film which had not post-annealed, the electrical properties and crystallizations of the SBT thin films were relatively improved by the post-annealing of Pt bottom electrode. The crystallization were characterized by X-ray diffraction (XRD). The electrical properties characteristics were observed by HP 4192A and precision LC. -
Self-seed 층을 이용한 PLZT(9/65/35), 강유전체 박막을 Sol-Gel 법을 이용해 Pt/Ti/
$SiO_2$ /Si 기판 위에 증착한 후, Self-seed 층에 의한 PLZT(9/65/35) 박막의 구조적, 전기적 특성을 고찰하였다. Seed 층을 도입하지 않은 PLZT 박막의 경우 다결정 상으로 형성되는 것을 알 수 있었으며, seed 층을 도입한 PLZT 박막은 (110) 방향으로 우선 배향됨을 알 수 있었다. 증착된 PLZT(9/65/35) 박막의 유전율 및 유전손살은 10kHz에서 유전율 205, 유전손살 0.029 이었으며, Self-seed layer를 도입한 PLZT 박막의 경우 seed layer를 도입하지 않은 PLZT 박막보다 낮은 온도에서 결정화 되는 것을 관찰 할 수 있었다. Self-seed layer가 도입된 PLZT(9/65/35) 박막의 경우 잔류분극 ($P_r$ ) 값은$9.1{\mu}C/cm^2$ , 항전계($E_c$ )는 47 kV/cm을 나타내었다. -
PCB기판 제작에 있어서 미세패턴을 형성하기 위한 차세대 공법으로 imprint공법을 이용하여 PCB기판제작에 대한 내용입니다. imprinting을 하기 위해서 미세때턴이 형성된 Tool-foil을 이용하여 imprinting시 Via hole을 동시 가공을 함으로서, 공정 비용 절감과 공정 프로세스 단축의 효과를 볼수 있다. 하지만 대면적(405*510size) imprint용 N-stamp제작이 쉽지 않으며, Ni-stamp가격 또한 만만치 않으며, 대면적 size일수록 이형처리 또한 쉽지 않다. 이형문제와 Stamp제작 비용을 줄이기 Cu-stamp를 제작 하여, Imprint후 이형처리 하지 않으며, Stamp제작 또한 쉬우며, 가격도 싸기 때문에 그에 따른 기대효과를 간략하게 소개 하고자 한다.
-
Recently, high performance microelectronic devices are designed in multi-layer structure in order to make dense wiring of metal conductors in compact size. Imprint lithography have received significant attention due to an alternative technology for photolithography on such devices. In this work, we synthesized dielectric composite materials based on epoxy resin, and investigated their thermal stabilities and dynamic mechanical properties for thermal imprint lithography. In order to enhance the mechanical properties and toughness of dielectric material, various modified polyetherimide(PEI) was applied in the resin system. Curing behaviours, thermal stabilities, and dynamic mechanical properties of the dielectric materials cured with various conditions were studied using dynamic differential scanning calorimetry (DSC), thermo gravimetric analysis (TGA), and Universal Test Method (INSTRON).
-
Youn, Je-Hyun;Yoo, Chan-Sei;Park, Se-Hoon;Lee, Woo-Sung;Kim, Jun-Chul;Kang, Nam-Kee;Yook, Jong-Gwan;Park, Jong-Chul 209
Passive Device는 RF Circuit을 제작할 때 많은 면적을 차지하고 있으며 이를 감소시키기 위해 여러 연구가 진행되고 있다. 최근 SoP-L 공정을 이용한 많은 연구가 진행되고 있는데 PCB 제작에 이용되는 일반적인 재료와 공정을 그대로 이용함으로써 개발 비용과 시간 면에서 많은 장점을 가지기 때문이다. SoP-L의 또 하나 장점은 다층구조를 만들기가 용이하다는 점이다. 각 층 간에는 Via를 사용하여 연결하게 되는데, RF Circuit은 회로의 구조와 물성에 따라 특성이 결정되며, 그만큼 Via를 썼을 때 그 영향을 생각해야 한다. 본 연구에서는 multi-layer LCP substrate에 다수의 Via를 chain 구조로 형성하여 전기적 특성을 확인하였다. Via가 70um 두께의 substrate를 관통하면서 상층과 하층의 Conductor을 연속적으로 연결하게 된다. 이 구조의 Resistance와 Insertion Loss를 측정하여, Via의 크기 별 수율과 평균적인 Resistance, RF 계측기로 재현성을 확인하였다. 이를 바탕으로 공정에서의 안정성을 확보하고 Via의 크기와 도금방법에 의한 RF Circuit에서의 영향을 파악하여, 앞으로의 RF Device 개발에 도움이 될 것으로 기대한다. 특히 유기물을 이용한 다층구조의 고주파 RF Circuit에 Via를 적용할 때의 영향을 설계에서부터 고려할 수 있는 자료가 될 것이다. -
Study on The Electrical Characteristic Extraction of PI(Poly Imide) Substrate using Capacitor MethodLee, Gwang-Hoon;Yoo, Chan-Sei;Lee, Woo-Sung;Yang, Ho-Min;Jung, Han-Ju;Kim, Hong-Sam;Lee, Bong-Joon 210
RF circuit을 구현하는데 있어서 기판의 전기적 특성을 정확하게 아는 것은 매우 중요하다. 왜냐하면 초고주파로 갈수록 기판의 전기적인 특성이 circuit에 많은 영향을 미치고 이러한 영향을 고려한 circuit를 설계해야 원하는 결과를 얻을 수 있기 때문이다. 본 연구에서는 현재 사용되고 있는 PI 기판의 전기적인 특성인 유효 유전율과 loss tangent 값을 캐패시터를 이용해 정확하게 측정하고자 했다. 캐패시터의 conductor material은 Cu를 사용하였고 PI 기판의 투께는 25um 를 이용하였다. PI 기판의 유효 유전율은 캐패시터 측정에 의한 data률 EM simulation tool 을 통해 분석한 후 간단한 수식에 의해 구했다. 또한 PI 기판의 loss tangent 값을 구하기 위해 캐패시터의 dissipation factor를 분석하였다. 캐패시터의 dissipation factor는 dielectric loss, AC 저항에 의한 loss, DC 저항에 의한 loss를 포함한다, DC 저항에 의한 loss는 dissipation factor에 차지하는 비율이 낮기 때문에 생략이 가능하다. 하지만 AC 저항에 의한 loss는 주파수에 비례하여 값이 커지게 된다. 따라서 주파수가 올라 갈수록 dissipation factor도 상승하게 되는데 주파수의 전 대역에서 AC 저항에 의한 loss를 보정해주면 dielectric loss를 얻을 수 있다. 추출된 dielectric loss를 통해 PI 기판의 loss tangent 값을 구하였다. 캐패시터를 이용한 PI 기판의 전기적 특성 추출은 간단한 구조를 통해 얻을 수 있기 때문에 다른 재료의 기판의 전기적 특성을 추출하는데도 이용이 용이하다. -
Lwin, Kyaw Soe;Shin, Dong-Hoon;Lim, Kwang-Jin;Yang, Hoon;Kong, Tae-Sik;Kim, Hee-Dong;Park, Noh-Joon;Park, Dae-Hee 211
There have three kinds of partial discharge diagnosis testing: online, offline and dismantled testing on high voltage rotating machine. Our lab testing is dismantled testing, taking off pieces into individual parts of stator coil of high voltage rotating machine in laboratory. We investigate internal discharge, slot discharge, corona discharge and normal state on pre-made stator winding by using spiral patch antenna sensor. In this lab test we compare the experimental results of our spiral patch antenna sensor and reference commercial HFCT sensor. -
에폭시/마이카는 높은 절연성과 강도, 열 안정성 등으로 인하여 고전압 회전기 고정자 권선의 절연재료로 사용되고 있다. 그러나 최근 청단기기의 등장과 냉난방 부하의 증가로 첨두 부하가 크게 증가하여 발전기 부하변동과 자동 정지횟수가 빈번해지고 있다. 이에 따라 기존에 사용되고 있는 절연재료를 획기적으로 발전시킨 새로운 소재의 개발이 필요하게 되었다. 최근 나노기술은 이러한 기술적 한계를 극복할 수 있는 좋은 대안으로 떠오르고 있다. 그리고 나노 크기의 층상화합물은 기존의 재료에 비해 월등한 전기적, 기계적, 열적 특성을 지닌 것으로 알려져 있다. 본 논문에서는 에폭시 기지에 층상 마이카와 점토를 혼합한 나노복합재료를 제조하여 주파수별, 온도별 유전특성을 살펴보았다.
-
Semiconducting layers are thin rubber film between electrical cable wire and insulating polymer layers having a volume resistivity of
${\sim}10^2{\Omega}cm$ . A new semiconducting material was suggested in this study based on the carbon nanotube(CNT)-reinforced polymer nanocomposites. CNT-reinforced polymer nanocomposites were prepared by solution mixing with various polymer type and dual filler system. The mechanical, thermal and electrical properties were investigated as a function of polymer type and dual filler system based on CNT and carbon black. The volume resistivity of composites was strongly related with the crystallinity of polymer matrix. With decreased crystallinity, the volume resistivity decreased linearly until a critical point, and it remained constant with further decreasing the crystallinity. Dual filler system also affected the volume resistivity. The CNT-reinforced nanocomposite showed the lowest volume resistivity. When a small amount of carbon black(CB) was replaced the CNT, the crystallinity increased considerably leading to a higher volume resistivity. -
Aluminum 분말과 고분자를 혼합하여 고분자-금속 복합재료(polymer-metal composite)를 만들어 copper foil과 기판의 접착력을 평가하였다. Tape casting 방법을 이용하여 sheet 만들고 vacuum lamination으로 PCB(Printed Circuit Board)기판을 제조한 후 포토공정으로 peel strength pattern을 형성하였으며, 본 연구에서는 최적의 aluminum 조건을 찾기 위하여 압력, 온도, copper foil의 표면 상태와 silane 표면 코팅에 따른 aluminum-polymer복합재료의 peel strength의 변화를 확인하였다. 최적의 조건은 silane 표면 코팅 처리를 한 aluminum 분말로
$210^{\circ}C$ 에서$9.7kg/cm^2$ 압력으로 matte면의 돌기 크기가 크며, 응집이 잘 되어있는 copper foil을 사용하여 13.89N의 우수한 peel strength를 구현 할 수 있었다. -
Ni stamper위에 100nm의 Si 코팅후 자기조립 단문자막(SAM)을 액상 코팅방식으로 형성 하였고, 내구성 및 열적 안정성을 검증하기 위해 반복적인 이형 및 압력인가test가 실시하였다. 20 회 이상의 이형실험을 통해 열적, 기계적 안정성을 확인하고, 접촉각 측정을 통해 이형특성의 안정성도 고찰하였다. 이를 Imprint공법을 적용 fine pattern의 구조물을 얻을수 있었다. SAM코팅은 TRICHLOROSILANE을 사용하였으며 Hexane과 1000:1의 비율로 섞어서 stirrer에서 mixing하는 방식을 사용했으며, UV-ozone처리를 통한 이형성 제거 효과도 관찰하였다.
-
Recently, imprint lithography have received significant attention due to an alternative technology for photolithography on high performance microelectronic devices. In this work, we investigated thermal stabilities and dynamic mechanical properties of dielectric materials for thermal imprint lithography. Curing behaviours, thermal stabilities, and dynamic mechanical properties of the dielectric materials cured with various curing agent and spherical filler were studied using dynamic differential scanning calorimetry (DSC), thermogravimetric analysis (TGA), rheometer and universal test machine(UTM).
-
Lee, Gwang-Hoon;Yoo, Chan-Sei;Lee, Woo-Sung;Yang, Ho-Min;Jung, Han-Ju;Kim, Hong-Sam;Lee, Bong-Joon 222
RF circuit을 구현하는데 있어서 기판의 전기적 특성을 정확하게 아는 것은 원하는 결과를 추출하기 위해 매우 중요하다. 본 연구에서는 현재 사용되고 있는 PI 기판의 전기적인 특성인 유효 유전율과 loss tangent 값을 T-resonator률 이용해 정확하게 측정하고자 했다. T-resonator는 microstrip 구조로 구현 되었으며 conductor material은 Cu를 사용하였다. PI 기판의 두께는 25um, Cu의 두께는 PI 기판의 종류에 따라 12um 와 18um, T-resonator line width는 50um로 구현하였다. 또한 공진 주파수에 따라 stub 길이가 다른 10개의 T-resonator를 제작하였다. PI 기판의 유효 유전율을 구하기 위해 stub 길이의 open-end effect와 T-junction effect를 고려하였으며 수식을 통해 정확한 유효 유전률을 추출하였다. 또한 PI 기판의 loss tangent 추출에 필요한 dielectric loss를 추출하기 위해 unload quality factor를 분석하였다. Unload quality factor는 dielectric loss, conductor loss, radiation loss를 구성되며 conductor loss와 radiation loss를 수식에 의해 구하고 dielectric loss를 추출 하였다. 추출 된 dielectric loss를 통해 각각의 T-resonator의 loss tangent 값을 구하였다. T-resonator를 이용한 PI 기판의 측정은 비교적 복잡한 수식에 의해 이루어지지만 정확한 data를 얻을 수 있고 다른 재료의 전기적 특성을 추출하는데 응용이 가능하다. -
In this paper, we investigated resistant immunity of semiconductive shield materials in power cables' ordinary operation temperature. It used EEA(Ethylene Ethyl Acrylate) in base polymer and measured TGA(Thermal Gravimetric Analysis) in controlling contents. It increased pyrolysis temperature in content increasement of CNT(Carbon Nanotubes). As a result, we knew superiority that CNT:CB is 10:0.
-
나노 기술은 21 세기에 들어와 모든 산업에 고루 이용되며 미래를 이끌어 나갈 기숱로 평가되고 있다. 고압 회전기용 주절연재료의 개발에서도 에폭시에 나노충진제를 혼합하여 복합재료로 만드는 나노기술이 활용되고 있으며, 이러한 나노기술을 바탕으로 절연성능을 높이고, 열적, 기계적 안정성을 높이는 기술 개발에 관심을 기울이고 있다. 하지만 이러한 성능향상을 위해서는 반드시 에폭시 기지 내에 충진제가 골고루 분산되어야 하며. 에폭시의 점도로 인해 제조 과정에서 발생하는 기포의 생성은 시료의 제조에 큰 영향을 끼치게 된다. 본 연구에서는 이러한 점에 착인하여 무충진 시료와 제조과정에서 혼입될 수 있는 불순물, 족 분산을 위한 유기 용매를 제조과정에서 고의로 혼합하여 이에 따른 기계적/유전적 특성과 엉항를 살펴보았다.
-
Although many studies have been carried out about binary gas mixtures with
$SF_6$ , few studies were presented about breakdown characteristics of$SF_6/CF_4$ mixtures. At present study the breakdown characteristics of SFJCF4 mixtures in uniform field was performed. The experiments were carried out under AC and standard lightning impulse (SLI) voltages. The sphere-sphere electrode whose gap distance was 1 mm was used in a test chamber.$SF_6/CF_4$ mixtures contained from 0 to 100%$SF_6$ and the experimental gas pressure ranged from 0.1 to 0.4 MPa. The results show that addition of$SF_6$ to$CF_4$ increase AC and SLI breakdown voltages. Under AC voltages the breakdown voltages of each mixture were linearly increased according to the quantity of$SF_6$ . However under SLI voltages the breakdown voltages of each mixture were similar. -
In this study, HEMA-based hydrophilic copolymers were synthesized and dielectric constant (K) of the polymer thin films were investigated by change hydroxyl group (-OH) ratio in the polymer chain. The different hydroxyl group ratios were characterized by FT-IR and its thin films were obtained by spin coating. As a result, due to the moisture absorption of the hydrophilic thin film, the dielectric constant has been increased as was expected. The highest dielectric constant (K=4.19, @1MHz) was observed at 40% hydroxyl group ratio among the several polymers.
-
Hyung, Gun-Woo;So, Byung-Soo;Lee, Jun-Young;Park, Il-Houng;Choe, Hak-Beom;Hwang, Jin-Ha;Kim, Young-Kwan 230
we fabricated a pentacene thin-film transistor with an$Al_2O_3$ layer of ALD as a gate insulator and obtained a device with better electrical characteristics at low operating voltages (below 16V). This device was found to have a field-effect mobility of$0.03cm^2/Vs$ , a threshold voltage of -6V, an subthreshold slope of 1 V/decade, and an on/off current ratio of$10^6$ . -
We manufactured Liquid Crystal Polymer (LCP) and (1-x)CaTiO3-xLaAlO3 (CT-LA) ceramic composites and investigated dielectric properties to use as embedded capacitor in printed circuit boards and replace LTCC substrate. The dielectric properties of these composites are varied with volume fraction of CT-LA and ratios of CT/LA. Dielectric constants are in the range of 3~15. In addition, we could get low TCC and High Q value that could not achieve in other ceramic-polymer composites. Especially, in composite with x=0.01 and 30 vol% CT-LA, the dieletric constant and Q-value are 10 and 200, respectively. And more TCC is
$-28{\sim}300ppm/^{\circ}C$ in the temperature range of$-55{\sim}125^{\circ}C$ . We think that this composites can be used high-Q substrate material like LTCC and embedded temperature compensation capacitor in printed circuit boards. -
Transparent nanosized
$TiO_2$ sol has been made by sol-gel method, using Titanium(IV) isopropoxide precursor. To promote hydrolysis for titania is needed excess water, Oil bath and temperature about$80^{\circ}C$ .$TiO_2$ sol is peptized ranging from pH 1 to 1.5 using hydrochloric acid for the stability of sol during a condensation reaction. The average particle size of$TiO_2$ sol was approximately 20nm.$TiO_2$ -sillane sol was synthesized by surface treatment using MTMS to the$TiO_2$ sol. TEM analysis has been used to check the degree of dispersion and FT-IR analysis has been used to see if the sillane has been chemically bonded on the surface of$TiO_2$ . -
Ha, Dong-Woo;Kim, Tae-Hyung;Oh, Jae-Gn;Kim, Ho-Sup;Ha, Hong-Soo;Goh, Rak-Kil;Song, Gyung-Jung;Lee, Nam-Jin;Yang, Joo-Saeng;Oh, Sang-Soo 236
Lamination of coated conductor is important to commercialize for electrical stabilizer and mechanical support. It should be known the properties of soldering interface and the variation of superconductivity on coated conductor with various kinds of solders.$SmBa_2Cu_O_x$ thin films were deposited by co-evaporation method (EDDC, Evaporation using Drum in Dual Chambers). 4 kinds of solders were used to investigate interface properties of SmBCO conductors. In-Bi solder could maintain good connection. -
Ha, Dong-Woo;Kim, Sang-Chul;Han, Il-Yong;Oh, Sang-Soo;Oh, Jae-Gn;Lee, Jeong-Hun;Song, Gyung-Jung;Lee, Nam-Jin 238
The important merit of Bi-2212/Ag wire is to apply cable as round wire state. Bi-2212 high Tc superconducting wires were fabricated in order to apply Rutherford cable near the future. Various Ag ratio from 0.22 to 0.42 of Ag tubes for PID (powder-In-Tube) process were used to investigate the workability and to prevent breakage of filaments during drawing. KERI and Nexans Korea manufactured Rutherford cabling machine by ourselves. Rutherford cables with 20 - 30 strands could be fabricated by this machine. The shape of Rutherford cables were satisfied. The critical current of Bi2212/Ag round wire with 847 filaments showed 400 A at 4.2K and self field. -
In general, high temperature superconducting coated conductors have intermediary buffers layer consisting of seed, diffusion barrier and cap layers. Simplification of the oxide materials buffer architecture in the fabrication of high temperature superconducting coated conductors is required because the deposition of multi-layers buffer architecture leads to a longer manufacturing time and a higher cost process of coated conductors. Thus, single buffer layer deposition seems to be important for practical coated conductor manufacturing process. In this study, a single gradient layered buffer deposition process of YZO for low cost coated conductors has been tried using DC reactive sputtering technique. About several thick YZO gradient single buffer layers deposited by DC co-sputtering process were found to act as a diffusion layer.
-
무방향성 규소강판을 lamination core 형태로 제작하여 자기적 특성을 조사 하였다. 그 결과 1차, 2차 권선수가 400turns 시료에서 보자력(Hc)과 포화자속밀도(Bs)는 최대값을 나타내었고, 보자력은 0.05Oe, 포화자속밀도는 1.8T 이었다. 현재 국내에서 생산되고 있는 무방향성 규소강판의 자속밀도값 보다 더 우수한 강을 나타내었으며, 열화특성이 자기적 특성에 미치는 영향을 통해 고효율 무방항성 규소강판 개발의 가능성을 확인하였다.
-
Characterization and Electrical Properties in (YNS)BCO Oxides by Rod-type Seeded Melt Growth ProcessWe have studied the electrical properties and microstructure of
$(Y_{0.5}Nd_{0.25}Sm_{0.25})Ba_2Cu_3O_y[(YNS)-123]$ Oxides by rod-type seeded melt growth process(RSMG) in air atmosphere. Rod-type$NdBa_2Cu_3O_y$ {Nd-123) seed crystals made a extrusion process method, were used for achieving the ab-plane alignment having large grains perpendicular to the center of (YNS)-123 samples. The observations using SEM and TEM micrographs of the melt-textured (YNS)-123 samples revealed that the nonsuperconducting$(Y_{0.5}Nd_{0.25}Sm_{0.25})Ba_2Cu_3O_y[(YNS)-211]$ inclusions are uniformly distributed in the superconducting matrix. The microstructure and electrical properties were investigated by XRD, SEM, TEM and DC SQUID magnetometer. The sample showed a sharp superconducting transition at 90 K. The magnetization values of the (YNS)-123 sample exhibited the enhanced electrical properties, compared with$YBa_2Cu_3O_y$ (Y-123) sample. -
Specially, High Temperature Superconducting power-transmission cable(HTS cable), 3-phase 100m long, 22.9kV class HTS power transmission cable system have been developed by Korea Electrotechnology Research Institute (KERI) and LS cable Ltd. that is one of 21st century frontier project in Korea. This cable was installed in KEPCO(Go-chang) testing site. In case of manufacturing HTS cable, superconducting joint is very important because they need very long tapes. Therefore, this paper gives some investigation of AC Loss in joined HTS tape by using several joint methods. Finally, this paper was shown background data for the form of HTS cable joint.
-
최근 KEPRI-LSIS가 공동 개발한 하이브리드형 초전도 한류기 동작 시, 사고 검출을 담당하는 초전도체의 최적 설계에 적용하기 위하여 Au/YBCO 박막의 ��치 회복 특성을 조사하였다.
$600\;V_{rms}$ , 3 ms의 사고가 초전도 박막에 인가되었을 때, ��치가 종료된 이후 초전도성을 회복하기 위해 142 ms의 시간이 소요되었다. 또한 인가 시간이 증가함에 따라 소요 시간도 비례하여 증가하여 4 ms 동안 인가되었을 때, ��치 회복 시간은 272 ms로 측정되었다. -
Sohn, Song-Ho;Lim, Ji-Hyun;Sung, Tae-Hyun;Ryoo, Hee-Suk;Yang, Hyung-Suk;Kim, Dong-Lak;Hwang, Si-Dole 248
Demands for electricity are growing, whereas the rate of electricity infrastructure's construction declines gradually. To keep the balance of the demand and supply, the share of underground transmission line will be increased from 8.3% to 10.5% in 2020 but it will be accompanied with enormous public expenses. A great concern in high capacity transmission is on the increase so as to maximize the spacial efficiency. High Temperature Superconducting (HTS) cable is in the lime light which has the merits of environment-friendly, low transmission loss and high transmission with low voltage, but the reliability verification as a power system is yet to be solved. KEPCO completed the installation and acceptance of$3{\phi}$ , 22.9kV, 1250A class HTS cable system in 2006 and the long term test is in progress. The test results focusing on long term reliability are presented in this paper. -
Applying the AC over-currents over the critical current to Bi-2223/Ag tape having 56 A Ie, resistance increase with the duration of current application was measured and analyzed. In addition, the influence of the tape's electrical insulation on the over-current characteristics was investigated and compared with each other. From the results, we will establish the safe operating condition against the AC over-currents and the protection of the tape for the practical power application such as cable and transformer.
-
Paik, Jong-Hoo;Park, Yong-Jun;Kim, Chang-Il;Lim, Eun-Kyung;Lee, Mi-Jae;Lee, Young-Jin;Kim, Dae-Joon;Lee, Woo-Young 251
A new type of a lead-free positive temperature coefficient of resistivity(PTCR) material. based on$(Bi_{1/2}Na_{1/2})TiO_3\;-\;BaTiO_3$ solid solution ceramics has been developed. The effect of$Nb_O_5$ and$Y_2O_3$ content on the electrical properties and the microstructure of (1-x)$(Bi_{1/2}Na_{1/2})TiO_3\;-\;x\;BaTiO_3$ (BNBT) ceramics made using a conventional mixed oxide process has been studied. The Curie Temperature was obviously increased with the increasing of$(B_{0.5}Na_{0.5})TiO_3$ content. The Y-doped BNBT ceramics(x=0.02) display low resistivity values of$10^2-10^3$ ohm*cm at room temperature and the Curie Temperature of$Tc=155^{\circ}C$ . -
$Al_2O_3-TiO_2$ (AT)ceramics shows great promise as a dielectric material for millimeter-wave use. The sintering temperature of AT ceramics was approximately$1450^{\circ}C$ and decreased to$900^{\circ}C$ with the addition of BaCu(B2O5) (BCB) ceramic powder. The presence, of the liquid phase was responsible for the decrease of the sintering temperature. The liquid phase is considered to have a composition similar to the BaO-deficient BCB. The Q-value initially increased with the addition of BCB, but decreased considerably when a large amount of BCB was added, because of the presence of the liquid phase. Good microwave dielectric properties of$Q{\times}f\;=\;16,200\;GHz$ ,${\varepsilon}_r\;=\;9$ and${\tau}_f\;=\;-4\;ppm/^{\circ}C$ were obtained for the 20.0 mol% BCB-added AT ceramics sintered at$900^{\circ}C$ for 2 h. -
압전 액츄에이터 및 초음파진동자의 응용범위가 넒어짐에 따라 변위량, 응력 등을 개선시키기 위해 전기기계결합계수 kp 및 압전 d상수가 종전보다 큰 재료가 요구되고 있으며, 초음파진동자나 압전 모터와 같이 마찰에 의한 열손실이 많이 발생하는 액츄에이터에 적용할 큰 기계적품질계수롤 가지는 저손실 압전 액츄에이터 및 초음파진동자용 재료가 필요한 실정이다. PZT계 세라믹스는 높은 유전상수와 압전특성으로 전자세라믹스분야에서 가장 널리 사용되어지고 있지만,
$1200^{\circ}C$ 이상의 높은 소결온도 때문에$1000^{\circ}C$ 부근에서 급격히 휘발되는 PbO로 인한 환경오염과 기본조성의 변화로 인한 압전특성의 저하가 문제시 되고 있다.$Pb(Ni_{1/3}Nb_{2/3})O_3$ 는 약$-120^{\circ}C$ 정도의 큐리온도룰 가지는 강유전체로 Pb(Zr, Ti)$O_3$ 계 세라믹스에 치환 시 유전상수와 전기기계결합계수를 개선시키는 대표적인 성분이다. 따라서 본 연구에서는 저온소결 저손실의 적층형 압전 액츄에이터를 개발하기 위해 PMS-PMN-PZT계 세라믹스에$Pb(Ni_{1/3}Nb_{2/3})O_3$ 세라믹스를 치환하고$Li_2CO_3$ 와$Na_2CO_3$ ZnO를 소결조재로 사용하여 저온소결 하였으며 PNN 치환량에 따른 결과를 관찰 하였다. -
In this study, in order to develop low temperature sintering ceramic ultrasonic nozzle, single-layer and multilayer ring-type piezoelectric vibrators were manufactured using PMN-PNN-PZT ceramics, And then the electrical properties were investigated. The piezoelectric vibrator were sintered at low temperature of
$940^{\circ}C$ . The resonant resistance decreased with the increases of the number of layer. And also, the capacitance increased with the increases of the number of layer. The mechanical quality factor (Qm) decreased with the increases of the number of layer. -
As a candidate for lead-free piezoelectric materials, dense (
$(Na_{0.47}K_{0.47}Li_{0.06})NbO_3$ (LNKN6) ceramics were developed by conventional sintering process. Sintering temperature was lowered by adding$Li_2O$ as a sintering aid. Abnormal grain growth in the LNKN6 ceramics was observed with varying$Li_2O$ content. The electrical properties of LNKN6 ceramics were investigated as a function of$Li_2O$ concentration. When the sample sintered at$1000^{\circ}C$ for 4h with the addition of 1 mol%$Li_2O$ , electromechanical coupling factor ($k_p$ ) and piezoelectric coefficient ($d_{33}$ ) of LNKN6 ceramics were found to reach the highest values of 0.40 and 184 pC/N, respectively. -
Kim, Young-Min;Jang, Gun-Eik;Kim, Nam-Kyeong;Yeom, Seung-Jin;Hong, Suk-Kyoung;Kweon, Soon-Yong 257
고밀도 FeRAM (Ferroe!ectric Random Access Memory) 소자를 개발하기 위해서는 강유전체 물질을 이용한 안정적인 스텍형의 커패시터 개발이 필수적이다. 특히$(Bi,La)_4Ti_3O_{12}$ (BLT) 강유전체 물질을 이용하는 경우에는 낮은 열처리 온도에서도 균질하고 높은 값의 잔류 분극 값을 확보하는 것이 가장 중요한 과제 중의 하나이다. 불행히도, BLT 물질은 a-축으로는 약$50\;{\mu}C/cm^2$ 정도의 높은 잔류 분극 값을 갖지만, c-축 방향으로는$4\;{\mu}C/cm^2$ 정도의 낮은 잔류 분극 값을 나타내는 동의 강한 비등방성 특성을 보인다. 따라서 BLT 박막에서 각각 입자들의 크기 및 결정 방향성을 세밀하게 제어하는 것은 무엇보다 중요하다. 본 연구에서는 16 Mb의 1T/1C (1-transistor/1-capacitor) 형의 FeRAM 소자를 BLT 박막을 적용하여 제작하였다. 솔-젤 (sol-gel) 용액을 이용하여 스핀코팅법으로 BLT 박막을 증착하고, 후속 열처리 공정을 RTP (rapid thermal process) 공정을 이용하여 수행하였다. 커패시터의 하부 전극 및 상부 전극은 각각 Pt/IrOx/lr 및 Pt을 적용하였다. 반응성 이온 에칭 (RIE: reactive ion etching) 공정을 이용하여 커패시터를 형성시킨 후, 32k-array (unit capacitor:$0.68\;{\mu}m$ ) 패턴에서 측정한 스위칭 분극 (dP=P*-P^) 값은 약$16\;{\mu}C/cm^2$ 정도이고, 웨이퍼 내에서의 균일도도 2.8% 정도로 매우 우수한 특성을 보였다. 그러나 단위 셀들의 특성을 평가하기 위하여 bit-line의 전압을 측정한 결과, 약 10% 정도의 커패시터에서 불량이 발생하였다. 그리고 이러한 불량 젤들은 매우 불규칙적으로 분포함을 확인할 수 있었다. 이러한 불량 원인을 파악하기 위하여 양호한 젤과 불량이 발생한 셀에서의 BLT 박막의 미세구조를 분석하였다. 양호한 셀의 BLT 박막 입자들은 불량한 셀에 비하여 작고 비교적 균일한 크기를 갖고 있었다. 이에 비하여 불량한 셀에서의 BLT 박막에는 과대 성장한 입자들이 존재하고 이에 따라서 입자 크기가 매우 불균질한 것으로 확인되었다. 또 이러한 과대 성장한 입자들은 거의 모두 c-축 배향성을 나타내었다. 이상의 실험 결과들로부터, BLT 박막을 이용하여 제작한 FeRAM 소자에서 발생하는 불규칙한 셀 불량의 주된 원인은 c-축 배향성을 갖는 과대 성장한 입자의 생성임을 알 수 있었다. 즉 BLT 박막을 이용하여 FeRAM 소자를 제작하는 경우, 균일한 크기의 입자 및 c-축 배향성의 입자 억제가 매우 중요한 기술적 요소임을 알 수 있었다. -
Park, Je-Yung;Cha, Doo-Yeol;Kim, Sung-Tae;Kang, Min-Suk;Yeo, Dong-Hun;Kim, Jong-Hei;Chang, Sung-Pil 258
일반적인 CMOS공정으로는 높은 주파수 대역에서 높은 Q factor를 갖는 인덕터를 구현하는데 어렵고 이에 반해 RF ICs는 갈수록 high Q를 가지는 인덕터가 요구되고 있다. 이를 LTCC 기판 위에 인덕터를 구현했을 때 놓은 주파수 대역에서 성능을 알아보기 위해 모의 실험하였고, 실제로 구현을 하여 측정결과를 비교해 보았다. LTCC 기판위에 인덕터를 구현 하였을 때 실리콘, 유리 기판위에 인덕터를 구현하였을 때보다 더 높은 Q 값을 측정할 수 있었다. 5GHz 대역에서 실리콘, 유리, LTCC 기판에서 각각 12, 33, 51에 값을 확인할 수 있었다. -
The low temperature sintering of dolomite type borates,
$CaZr(BO_3)_2$ [CZB] ceramics and their microwave dielectric properties were investigated The sintering temperature of CZB ceramics could be reduced from$1150^{\circ}C$ to$925^{\circ}C$ by the addition of sintering additive.$CaZrO_3$ ,$ZrO_2$ and$CaB_2O_4$ second phases were found in the CZB ceramics. The syntheses, sintering properties, microstructures, and dielectricnproperties of dolomite-type borates were examined by XRD, thermal analysis, electron microscopy, network analyzer, and the results are discussed intensively. The compatibility with silver electrode was also explored. -
Low-temperature sintering and dielectric properties of the
$1-xBiNbO_4-xZnNb_2O_6$ ceramics (x=0.3, 0.5, and 0.7) with 10 wt% zinc borosilicate (ZBS) glass was investigated as a function of the substitution of$ZnNb_2O_6$ with a view to applying this system to LTCC technology. The all composition addition of 10 wt% ZBS glass ensured a successful sintering below$900^{\circ}C$ . The the amount of$ZnNb_2O_6$ on$ZnNb_2O_6$ ceramics increased the$Q{\times}f$ values, but it decreased the sinterability and dielectric constant due to the higher$Q{\times}f$ value and sintering temperature of$ZnNb_2O_6$ than that of$ZnNb_2O_6$ ceramics. The increase of$ZnNb_2O_6$ content from 0.3 to 0.7 in the$1-xBiNbO_4-xZnNb_2O_6$ ceramics with 10 wt% ZBS glass sintered at$900^{\circ}C$ demonstrated 30~20 in the dielectric constant (${\varepsilon}_r$ ), 3,500~4,500 GHz in the$Q{\times}f$ value. -
In this study we present the effect of annealing temperatures on the structural, electrical and optical characteristics of Ga-doped ZnO(GZO) films. GZO target have been deposited on corning 7059 glass substrates by DC sputtering. GZO films were annealed at temperatures of 400, 500,
$600^{\circ}C$ in air ambient for 20 min. Experimental resulted in as-grown film shows the resistivity of$6{\times}10^{-1}\;{\Omega}{\cdot}cm$ and transmittance under 85%, whereas the electrical and optical properties of film annealed at$500^{\circ}C$ are enhanced up to$1.9{\times}10^{-3}\;{\Omega}{\cdot}cm$ and 90%, respectively. -
An ultrasonic linear motor using lambda shape vibrators has been designed and fabricated. The multiway ultrasonic motors mainly consist of an lambda shape ultrasonic vibrator which generates elliptical motions in beat. The lambda shape ultrasonic linear motor use longitudinal and bending vibration mode. In order to high precision motion control and multiway moving, piezoceramics were adhered to lambda shape brass elastic material. The finite element method was used to optimize dimension of ultrasonic vibrator and direction of vibratory displacement. As a result of estimating the characteristics of the ultrasonic linear motor, The results have shown that the lambda shape ultrasonic linear motor can be moved multiwav by using the phase control. Close agreement between the FEM results and experimental results obtained for the lambda shape ultrasonic linear motor.
-
전자재료 산업에서 전극재료용으로 많이 사용되어지는 은 분말은 주로 액상환원법을 이용하여 만들어지고 있으며, 그 적용 분야에 따라 요구되는 분체 특성(모양, 크기, 밀도, 비표면적 등)은 매우 다양하다. 각각의 특성에 부합되는 은 분말은 주로 제조공정 및 공정변수(농도, pH, 교반방법, 반응첨가제 등)등의 인자에 영향을 받으며, 이런 변수들의 조절을 통하여 합성이 가능하다. 본 실험에서는 다양한 변수들에 따라 제조된 은 분말의 분체 특성을 확인하고, 대표적 전극재료 분야에 적용하여 그 특성을 평가하고자 한다.
-
기존의 Free Sintering 방법을 사용하는 LTCC(Low Temperature Cofiring Ceramics)는 수축률이 일정하지 않아서 설계 치수와 동일하게 제작하기 어려운 단점을 가지고 있다. 이에 따라서 정밀한 전자부품을 제작하기 위한 방안으로 X-Y면 방향에서의 변형을 거의 zero로 제어하는 Constrained Sintering(CS) 기술이 요구되고 있다. 본 연구에서는 LTCC 기판이 소성되는 동안에 변형을 억제하기 위하여 소성온도가 LTCC 기판 보다 높은
$Al_2O_3$ 분말과 유기물을 혼합하여 페이스트를 제작한 후에 스크린 프린팅 방법을 이용하여 도포 후에 Z축 방향으로 일축가압을 하면서 소성하여 수축률을 제어 하였다. 또한 바인더와$Al_2O_3$ 분말의 함량에 대한 최적 조성의$Al_2O_3$ 페이스트를 제작하여 0.5%로 수축률을 가지는 균일한 LTCC 기판을 구현 할 수 있었다. -
최근 평판디스플레이 산업이 성장함에 따라 품질향상을 위한 연구가 활발히 진행중이며 또한, 부품 소재 개발에 박차를 가하고 있다. 대형 평판디스플레이 중 낮은 전력소모와 광시야각이 우수한 TFT-LCD가 각광받고 있다. TFT-LCD 소자의 투명전극으로 사용되기 위해서는 면저항 10~1k Ohm/sq., 광투과율 85% 이상의 특성이 요구되며 ITO(Indium Tin Oxide의 약자) 타겟을 스퍼터링한 박막이 일반적으로 사용되고 있다. 본 연구에서는
$In_2O_3$ 나노 분말 제조 공법으로 제작된 ITO 타겟을 사용하여 양산성 및 대형화에 적합한 DC 마그네트론 스퍼터 방식으로 투명전극을 제조하였다. 일반적으로 사용되는 고정식 DC 마그네트론 스퍼터 방식은 타겟표면에 재증착(back deposition)되는 저급산화물로 인해 이물 또는 노즐(Nodule) 이 형성되고 이로 인해 비이상적이고 불안정한 방전 플라즈마가 박막의 특성을 저하시킨다. 이러한 문제점을 해결하기 위해 이동식 DC 마그네트론 스퍼터 방식을 채택하였으며 대형 타겟을 이용한 대형화 기판 제작과 안정적인 sputter yield로 인해 uniformity가 우수한 ITO 박막을 제조하였다. ITO 박막의 저면저항 고투과율 특성을 구현하기 위해 공정변수인 산소분압, 전류밀도(DC power) 그리고 증착온도에 따른 ITO 박막의 미세조직과 결정성을 관찰하였으며 전기적 특성을 분석하였다. -
전자 산업의 발달로 인해 전자기기에 소형화, 경량화 및 다기능화가 요구되면서 민쇄회로기판(PCB)에도 고밀도화, 고집적회가 필요하게 되었다. 이에 따라 embedded passive 기술을 이용하여 기판 내부에 가능한 많은 수동소자들을 실장시키려는 노력이 진행되어지고 있다. 가장 수요가 많은 capacitor의 경우 부피와 전기적 특성 측면에서 내장 효과가 가장 큰 passive 소자에 해당한다. 본 연구에서는 내장형 capacitor의 유전재료로서 중요한
$BaTiO_3$ powder를 filler로 사용하여 epoxy/BT 복합체에서 filler의 분율에 따른 유전상수률 측정하고, filler의 열처리에 따른 유전상수의 변화를 관찰하였다. 그러고 이들 복합체의 mixing rule과 미세구조 관찰을 통하여 기판용 RCC 소재로서의 적용성을 평가하고자 하였다. -
LTCC 소재는 glass/ceramic composite로 구성된다. LTCC 소재에 embedding 되는 고유전율 소재 또한 이와 같은 소재설계를 통하여 무수축 접합이 가능할 것으로 판단된다. 그러나 이에 대한 연구결과가 보고된바 없고 몇몇
$Al_2O_3$ 의 infiltration에 대한 무수축 소성 관련 선행 연구를 바탕으로 고유전율 소재인$BaTiO_3$ 의 무수축 소성이 연구되는 것이 필요한 시점이다. 따라서 본 연구는 저온에서의 glass infiltration에 의한 무수축$BaTiO_3$ layer의 저온소성특성 및 유전특성을 평가하였다. 실험결과$785^{\circ}C$ 에서 glass의 충분한 침투가 확인되며 결정구조에서는 glass/$BaTiO_3$ composite이 형성되었다. 무수축 접합 layer의 소성조건과 glass 두께 변화에 따른 유전특성 및 layer의 결정구조를 비교평가 하였다. -
In this paper, ultrasonic nozzle and driving circuit were manufactured, respectively. And then, their electrical properties. were investigated. Ultrasonic nozzle was fabricated using PSN-PMN-PZT ceramics showing excellent piezoelectric characteristics. In order to drive ultrasonic nozzle, PWM controller(KA3525A) was used. The purpose of this study is to find the optimal driving condition of ultrasonic. nozzle. Accordingly electrical and temperature characteristic of ultrasonic driving system were investigated as a function of the input voltage.
-
In this study, in order to develop low temperature sintering ceramics for multilayer piezoelectric actuator application. At the PMN-PZN-PZT ceramics, the influence of the ball-mill time on piezoelectric characteristics was investigated. All the specimens improved according to the increase of the ball-mill time increase. The specimen showed the optimum value when ball-mill time is 60 hour. Their optimum values were density=
$7.93g/m^3$ ,${\varepsilon}_r=1371$ , kp=0.551, Qm=1609,$d_{33}=321pC/N$ , respectively. -
As a candidate for lead-free piezoelectric materials, dense
$(Na_xK_{0.94-x}Li_{0.06})NbO_3$ ceramics were developed by conventional sintering process. Sintering temperature was lowered by adding 1 mol%$Li_2O$ as a sintering aid. The electrical properties of$(Na_xK_{0.94-x}Li_{0.06})NbO_3$ ceramics were investigated as a function of Na/K ratio. When the sample sintered at$950^{\circ}C$ for 4 h with the compositions of morphotropic phase boundary, 0.47 < x < 0.51, electro-mechanical coupling factor ($k_p$ ) and piezoelectric coefficient ($d_{33}$ ) were found to reach the highest values of 0.42 and 190 pC/N, respectively. These excellent piezoelectric and electro-mechanical properties indicate that this system is potentially good candidate for lead-free material for a wide range of electro-mechanical transducer applications. -
$(Bi,La)_4Ti_3O_{12}$ (BLT) 물질은 결정 방향에 따른 강한 이방성의 강유전 특성을 나타낸다. 따라서 BLT 박막을 이용하여 FeRAM 소자 등을 제작하기 위해서는 결정의 방향성을 세심하게 제어하는 것이 매우 중요하다. 현재까지 연구된 BLT 박막의 방향성 조절 결과를 보면, BLT 박막을 스핀 코팅 법 (spin coating method)으로 중착하고, 핵생성 열처리 단계를 조절하여 무작위 방향성 (random orientation)을 갖는 박막을 제조하는 방법이 일반적이었다. 그런데 이러한 스핀 코팅법에서의 핵생성 단계의 제어는 공정 조건 확보가 너무 어려운 단점이 있다. 이러한 어려움을 극복할 수 있는 대안은 스퍼터링 증착법 (sputtering deposition method), PLD법 (pulsed laser deposition method) 등과 같은 PVD (physical vapor deposition) 법의 증착방법을 적용하는 것이다. PVD 법으로 증착하는 경우에는 이미 박막 내에 무수한 결정핵이 존재하기 때문에 핵생성 단계가 필요 없게 된다. PVD 증착법의 적용을 위해서는 타겟 (target)의 제조 및 평가 실험이 선행되어야 한다. 그런데 벌크 BLT 재료의 소결공정 조건과 전기적 특성에 관한 연구 결과는 거의 발표 되지 않고 있다. 본 실험에서는$Bi_2O_3$ ,$TiO_2$ and$La_2O_3$ 분말을 이용하여 최적의 조성을 구하기 위하여 Bi양을 변화시키며 타겟을 제조 하였다. 혼합된 분말을 하소 후 pallet 형태로 성형하여 소결을 실시하였다. 시편을 1mm 두께로 연마하고, 표면에 silver 전극을 인쇄하여 전기적 특성을 측정하였다. Bi양이 3.28몰 첨가된 조성에서 최대의 잔류분극 (2Pr) 값을 얻었고, 이때의 값은 약$18{\mu}C/cm^2$ 정도였다. 최적화된 조성 ($Bi_{3.28}La_{0.75}Ti_3O_{12}$ )으로 BLT 타겟을 제조하여 PLD법으로 박막을 제조하였다. 박막 제조 시 압력은$1{\times}10^{-1}\;{\sim}\;1{\times}10^{-4}\;Torr$ 범위에서 변화시켰다.$1{\times}10^{-1}\;Torr$ 압력을 제외하고는 모든 압력에서 BLT 박막이 증착되었다. 중착된 박막을$650\;{\sim}\;800^{\circ}C$ 에서 30분간 열처리를 실시하고 전기적 특성을 평가한 결과,$1{\times}10^{-2}\;Torr$ 에서 증착한 박막에서 양호한 P-V (polarization-voltage) 이력곡선을 얻을 수 있었고, 이때의 잔류분극 (2Pr) 값은 약$6\;{\mu}C/cm^2$ 이었다. 주사전자현미경 (SEM)을 이용하여 BLT 박막 표면의 미세구조도 관찰하였는데, 스핀코팅 법으로 증착한 경우에 관찰되었던 조대화된 입자들은 관찰되지 않았고, 상당히 양호한 입자 크기 균일도를 나타내었다. -
Ag conductive thick film has been used in bus and address electrodes of PDP (Plasma display panel). In PDP fabrication, the firing temperature of electrode is normally
$550{\sim}580^{\circ}C$ . For the application of PDP industry, we investigated an Ag conductive thick film with firing temperature. Low melting glass frit was used in the conductive thick film. The thermal properties of Ag and frit were determined by a hot stage microscopy. Based on the our results, we suggest that the Ag conductive thick film should be considered of the firing temperature which is correlated to the shrinkage, conductivity, and shape of thick film. -
Synthesis and dielectric properties of glass-ceramic composites with zinc borosilicate glass(here after ZBS glass) were investigated as functions of
$ZnAl_2O_4$ phase synthesis method, glass addition (50~60 vol%) and sintering temperature ($600{\sim}950^{\circ}C$ for 2 hrs). The 50 vol% ZBS glass-$Al_2O_3$ and 60 vol% ZBS glass-$ZnAl_2O_4$ ensured successful sintering below$900^{\circ}C$ . But the composition of 100-x-y vol% ZBS glass-x vol%$Al_2O_3-y$ vol% ZnO exhibited poor sinterability below$900^{\circ}C$ and the swelling phenomenon occurred in this composite with the large amount of ZBS glass. The sintering behavior of Glass-ceramic composites was affected by the crystallization of$ZnAl_2O_4$ which was formed by the reaction between ZBS glass and$Al_2O_3$ . Dielectric constant (${\varepsilon}_r$ ),$Q{\times}f$ value and temperature coefficient of resonant frequency (${\tau}_f$ ) of the composite with 50 and 60 vol% ZBS glass contents demonstrated$ZBS-Al_2O_3({\varepsilon}_r=5.7)$ ,$ZBS-ZnAl_2O_4({\varepsilon}_r=5.8)$ which is applicable to substrate requiring an low dielectric properties. -
$Pb(Zr,Ti)O_3$ (PZT) 강유전체 박막은 높은 잔류 분극 (remanent polarization) 특성 때문에 현재 강유전체 메모리 (FeRAM) 소자에 적용하기 위하여 가장 활발히 연구되고 있다. 그런데 PZT 물질은 피로 (fatigue) 및 임프린트 (imprint) 등의 장시간 신뢰성 (long-term reliability) 특성이 취약한 단점을 가지고 있다. 이러한 신뢰성 문제를 해결할 수 있는 효과적인 방법 중의 하나는$IrO_2$ ,$SrRuO_3$ (SRO) 등의 산화물 전극을 사용하는 것이다. 많은 산화물 전극 중에서 SRO는 PZT와 비슷한 pseudo-perovskite 결정구조를 갖고 격자 상수도 비슷하여, PZT 커패시터의 강유전 특성 및 신뢰성을 향상시키는데 매우 효과적인 것으로 알려져 있다. 따라서 본 연구는 PZT 커패시터에 적용하기 위하여 SRO 박막을 증착하고 이의 전기적 특성 및 미세구조를 분석하고자 하였다. 또 실제로 SRO 박막을 상부전극과 PZT 사이의 버퍼 층 (buffer layer)으로 적용한 경우의 커패시터 특성도 평가하였다. 먼저 다결정 SRO 박막을$SiO_2$ /Si 기판 위에 DC 마그네트론 스퍼터링 법 (DC magnetron sputtering method)으로 증착하였다. 그 다음 이러한 SRO 박막의 미세구조, 결정성 및 전기적 특성이 증착 조건들의 변화에 따라서 어떤 경향성을 보이는지를 평가하였다. 기판 온도는$350\;{\sim}\;650^{\circ}C$ 범위에서 변화시켰고, 증착 파워는 500 ~ 800 W 범위에서 변화시켰다. 또 Ar+$O_2$ 혼합 가스에서 산소의 혼합 비율을 20 ~ 50% 범위에서 변화시켰다. 이러한 실험 결과 SRO 박막의 전기적 특성 및 미세 구조는 기판의 증착 온도에 따라서 가장 민감하게 변함을 관찰할 수 있었다. 다른 증착 조건과 무관하게$450^{\circ}C$ 이상의 온도에서 증착된 SRO 박막은 모두 주상정 구조 (columnar structure)를 형성하며 (110) 방향성을 강하게 나타내었다. 가장 낮은 전기 저항은$550 ^{\circ}C$ 증착 온도에서 얻을 수 있었는데, 그 값은 약$440\;{\mu}{\Omega}{\cdot}cm$ 이었다. SRO 버퍼 충을 적용하여 제작한 PZT 커패시터의 잔류 분극 (Pr) 값은 약$30\;{\mu}C/cm^2$ 정도로 매우 높은 값을 나타내었고, 피로 손실 (fatigue loss)도$1{\times}10^{11}$ 스위칭 사이클 후에 약 11% 정도로 매우 양호한 값을 나타내었다. -
$Mg_4Nb_2O_9$ (MN) ceramics have been prepared by a sol-gel method. The powder characteristics, phase evolution, and microwave dielectric properties of the MN were investigated in various processing conditions such as sol-gel compositions, calcination, and sintering temperatures. A Qxfo value of 111,717 GHz with a${\varepsilon}_r$ of 10.59 and a${\tau}_f$ of$+1.736\;ppm/^{\circ}C$ was obtained after sintering at$1300^{\circ}C$ for 5 h. -
Titanium nitride thin films were deposited on
$SiO_2$ /Si substrate by rf-reactive magnetron sputtering. The structural and electrical properties of the films were investigated with various$N_2/(Ar+N_2)$ flow ratios (nitrogen/argon flow ratio). The resistivity as well as temperature coefficient of resistance (TCR) of the films strongly depends on phase structure. For the films deposited at nitrogen/argon flow ratio of below 5%, the resistivity increased with increasing nitrogen/argon flow ratios. However, the resistivity of the film deposited at nitrogen/argon flow ratio of 7% decreased drastically; it is even smaller than that of metal titanium nitride. A near-zero TCR value of approximately 9 ppm/K was observed for films deposited at nitrogen/argon flow ratio of 3%. -
본 논문에서는 저소음 및 저 신호 왜곡 특성을 가지는 내환원성 유전체 원료를 개발하기 위하여
$(Ca_{0.7}Sr_{0.3})(Zr_{0.97}Ti_{0.03})O_3$ 에$CaTiO_3$ ,$SrTO_3$ ,$BaTiO_3$ 를 첨가하여 이에 따른 유전 특성을 조사하였다. 첨가량의 조절 및 glass frit 첨가를 통하여 환원성 분위기에서도 유전율 80 ~ 100, 절연저항 (R*C) 500[ohm-F] 이상의 유전특성을 얻었다. 본 연구결과로 얻어진 유전재료를 적용하면 무소음 및 저 신호 왜곡 특성을 가지면서도 고 신뢰성의 MLCC를 제작할 수 있을 것으로 예상된다. -
This paper a new method which applies a genetic algorithm for determining which sectionalizing switch to operate in order to solve the distribution system loss minimization re-configuration problem. In addition, the proposed method introduces a ultra efficient MPP tracking in a solar power generation system.
-
Lee, Jeong-Cheol;Myoung, Seong-Jae;Chun, Myoung-Pyo;Cho, Jeong-Ho;Kim, Byung-Ik;Sin, Dong-Wook 288
본 연구에서 내환원성$BaTiO_3$ 의 PTCR(Positive Temperation Coefficient Resistance) 특성 및 미세구조에 대한 분쇄 및 출발 원료들의 하소 조건의 영향을 연구하였다.$BaCO_3$ ,$TiO_2$ ,$CeO_2$ 를 Attrition milling 하여 1차 혼합 및 분쇄한 후 건조하여 혼합분말을 얻었으며,$(Ba_{1-x}Ce_x)TiO_3$ 를 합성하기 위하여$1000^{\circ}C{\sim}1200^{\circ}C$ 공기중에서 하소하였다. 각 하소온도에서 제조한$(Ba_{1-x}Ce_x)TiO_3$ 에 첨가제를 2차 혼합하고 초미분쇄하여 분말을 제조하였다. 직경 5mm 의 시편을 제조하여 환원 및 재산화 분위기에서 소결을 한 후 상온저항값 및 R-T특성을 측정하였고 SEM 을 통해 미세구조를 관찰하였다. 또한 하소 후 온도에 따른 상분석을 XRD를 통하여 분석하였다. 그 결과 하소온도가 증가함에 따라 상온저항값은 감소하는 경황을 보였으며 PTC특성은 감소하다가 증가하는 경향을 보였다. 초미분쇄에 따른 입자크기는$1{\mu}m$ 이하로 작아졌으며 미립화가 됨에 따라 하소/소결온도에 앙향을 줄 것으로 사료된다. -
Spinel structured
$LiMn_2O_4$ is more economic and environmental friendly to be used as commercial active material for secondary battery compared to Co-oxide material active material, but spinel structure of$LiMn_2O_4$ is unstable and its capacitance decreases with increase of cycle. Therefore, the purpose of our sturdy is to improve the stability of$LiMn_2O_4$ spinel structure and increase its capacitance by using substituents or dopants.$LiMn_2O_4$ powder was synthesized by charging substituents or dopants mole fractions, and temperatures. Crystal state, structure and specific surface area of the synthesized powder were measured and also characteried electrochemically by measuring its impedance, charge-discharge capacitance and etc. -
In this study, in order to develop the low temperature sintering multilayer piezoelectric actuator, PZW-PMN-PZT system ceramics were manufactured according to variation of sintering aids. At the sintering temperature of
$900^{\circ}C$ ,$0.3wt%Li_2CO_3$ ,$0.2wt%Bi_2O_3$ and 0.2wt%CuO added specimen showed a maximum value of kp = 0.552,$d_{33}\;=\;344pC/N$ and Qm = 1320, respectively. -
In the study, in order to develop low temperature sintering ceramics for multilayer piezoelectric transformer,
$(Pb,Ca,Sr)Ti(Mn,Sb)O_3$ ceramics were fabricated using$Na_2CO_3$ ,$Li_2CO_3$ ,$MnO_2$ and$Bi_2O_3$ as sintering aids and their dielectric and piezoelectric properties were investigated according to the amount of$Bi_2O_3$ addition. At the sintering temperature of$900^{\circ}C$ , density, thickness vibration mode electromechanical coupling factor ($k_t$ ), thickness vibration mode mechanical quality factor ($Q_{mt}$ ) and dielecteic constant (${\varepsilon}_r$ ) showed the optimum value of$6.94[g/cm^3]$ , 0.497, 3,162 and 209, respectively, for multilayer piezoelectric transformer application. -
$LiNi_{1/3}Mn_{1/3}Co_{1/3}O_2$ material was surface modified with Zr-phosphate. Scanning electron microscope, energy dispersive spectroscopy and electrochemical studies indicate that surface modification improve the rate capability. Electrochemical studies were performed by assembling 2032 coin cells with lithium metal as an anode. -
Ferroelectric neodymium-substituted
$Bi_4Ti_3O_{12}$ (BTO) thin films have been successfully deposited on Pt/Ti/$SiO_2$ /Si substrate by a sol-gel spin-coating process and the effect of crystallization temperature on their microstructure and ferroelectric properties were studied systematically.$Bi(TMHD)_3$ ,$Nd(TMHD)_3$ ,$Ti(O^iPr)_4$ were used as the precursors, which were dissolved in 2-methoxyethanol. The thin films were annealed at various temperatures from 600 to$720^{\circ}C$ in oxygen ambient for 1 hr, which was followed by post-annealed for 1 hr after depositing a Pt electrode to enhance the electrical properties. X-ray diffraction (XRD) and scanning electron microscopy (SEM) were used to analyze the crystallinity and surface morphology of layered perovskite phase, respectively. The crystallinity of the BNT films was improved and the average grain size increased as the crystallization temperature increased from 600 to$720^{\circ}C$ at an interval of$40^{\circ}C$ . The polarization values of the films were a monotonous function of the crystallization temperature. The remanent polarization value of the BNT thin films annealed at$720^{\circ}C$ was$24.82\;{\mu}C/cm^2$ at an applied voltage of 5 V. -
The
$(B_{0.5},Sr_{0.5})TiO_3$ ceramics, which added with low sintering materials$Li_2CO_3$ and ZnBO, was investigated for LTCC(low temperature co-fired ceramic) applications. To compare sintering temperature of$(B_{0.5},Sr_{0.5})TiO_3$ respectively, we added 1, 2, 3, 4, and 5wt% of$Li_2CO_3$ and ZnBO to$(B_{0.5},Sr_{0.5})TiO_3$ . For confirming the sintering temperature, the respective specimens were sintered from$750^{\circ}C$ to$1200^{\circ}C$ by$50^{\circ}C$ . The case of$Li_2CO_3$ greatly lowered the sintering temperature of$(B_{0.5},Sr_{0.5})TiO_3$ ($1350^{\circ}C$ ) below$900^{\circ}C$ . The addition of ZnBO improved the loss tangent of$(B_{0.5},Sr_{0.5})TiO_3$ . The crystalline structure of$LiCO_3$ doped$(B_{0.5},Sr_{0.5})TiO_3$ and ZnBO doped$(B_{0.5},Sr_{0.5})TiO_3$ was analyzed with the X-ray diffraction (XRD) analysis. The dielectric permittivity and loss tangent of$Li_2CO_3$ doped BST and ZnBO doped BST were measured with the HP 4284A precision. From the electrical characterization, we respectively obtained the dielectric permittivity 1361, loss tangent$6.94{\times}10^{-3}$ at$Li_2CO_3$ doped$(B_{0.5},Sr_{0.5})TiO_3$ (3wt%) and the dielectric constant 1180, loss tangent$3.70{\times}10^{-3}$ at ZnBO doped$(B_{0.5},Sr_{0.5})TiO_3$ (5wt%). -
Kim, Dae-Min;Yoon, Sang-Ok;Kim, Kwan-Soo;Kim, Shin;Kim, Jae-Chan;Kim, Kyung-Joo;Park, Jong-Guk 298
Influence of$TiO_2$ on the dielectric properties of the$Bi(Nb_{0.7}Ta_{0.3})O_4$ ceramic with 7 wt% zinc borosilicate(ZBS) glass was investigated as a function of the$TiO_2$ contents with a view to applying this system to LTCC technology. The$Bi(Nb_{0.7}Ta_{0.3})O_4$ ceramic addition of 7 wt% ZBS glass ensured successful sintering below$900^{\circ}C$ . But, TCF of$Bi(Nb_{0.7}Ta_{0.3})O_4$ ceramic is large negative values, respectively, it is necessary to adjust to zero TCF for practical applications Therefore, the addition of materials having positive TCF, such as$TiO_2$ , might be an effective method for the improvement. In general, increasing addition of$TiO_2$ increased dielectric constant and TCF but it decreased the sinterability and$Q{\tiems}f$ value significantly due to the dielectric property and high sintering temperature of$TiO_2$ .$Bi(Nb_{0.7}Ta_{0.3})O_4$ ceramic with 7 wt% ZBS glass and then addition 0.5 wt%$TiO_2$ sintered at$900^{\circ}C$ demonstrated 42 in the dielectric constant(${\varepsilon}_r$ ), 1,000 GHz in the$Q{\times}f$ value, and$10{\pm}5\;ppm/^{\circ}C$ in the temperature coefficient of resonant frequency(${\tau}_f$ ). -
Son, Yong-Ho;Jeong, Joon-Seok;Jo, Chan-Woo;Woo, Duck-Hyun;Kim, Young-Min;Kim, Sung-Jin;Yoon, Man-Soon;Ryu, Sung-Lim;Kweon, Soon-Yong 299
후막형 무기 EL (electro-luminescence) 소자는 제조공정이 간단하고, 얇고, 가볍고, 유연한 동의 많은 장점들 때문에 휴대폰의 키패드 (key-pad) 및 광고용 back-light용으로 사용되고 있다. 이 무기 EL 소자는 비교적 손쉬운 스크린 프린팅 (screen-printing) 법으로 대면적을 제작할 수 있지만, LED (light emitting diode) 등과 비교하여 밝기가 낮아서 그 응용 분야가 제한되고 있다. EL 소자의 형광층은 전면 전극과 후면 전극 사이에 위치한다. EL은 이 형광층에 고 전기장이 걸릴 때, 전기장에 의해 가속된 전자가 형광층 내부에 첨가된 발광 중심의 전자를 여기시키고, 여기된 전자가 다시 바닥상태로 완화될 때 빛이 방출되는 현상이다. 즉, EL 소자는 이러한 전자 발광 현상을 이용한 소자로서, 전압 인가 시 발광 면 전체가 균일하게 발팡하는 평면 광원이다. 이러한 EL 소자에서 휘도의 증가는 후면 전극과 형광층 사이에 삽입되는 유전체 층의 특성과 밀접한 연관성이 있다. 본 연구에서는 고휘도 무기 EL 소자를 제작하기 위하여 이 유전체 층의 특성과 소자의 성능 사이의 관계를 알아보고자 하였다. 유전체 층에 사용하기 위해서$BaTiO_3$ -PVDF (polyvinylidene fluoride)의 복합체 필름을 제조하였다. 먼저 이 복합체 필름을 스크린 프린팅 (screen printing) 법으로 코팅하기 위한 페이스트 제작을 위해서, PVDF 수지를 용제에 녹였다. 그 다음, 일반 혼합기 및 삼단 롤밀 혼합기 (3-roll milling mixer) 등을 이용하여$BaTiO_3$ 분말과 PVDF 용액을 다양한 비율로 혼합하여 페이스트를 제조하였다. ITO가 증착된 PET Film에 스크린 프린팅 법을 사용하여 형광층, 유전층, 배면 전극 등을 차례로 코팅하였다.$BaTiO_3$ (BT) 분말과 복합체 필름의 XRD 분석 결과, 분말 시료와 복합체 시료 모두 페로브스카이트 구조의 BT 회절선만 관찰되었다. 복합체의 단면 SEM 관찰에서는, BT 분말의 무게비가 증가할수록 더 치밀한 구조를 보여줌을 확인하였다. 또 EL소자의 유전상수와 휘도도 BT 분말의 혼합비가 증가할수록 증가하였다. 본 연구에서 제작한 무기 EL 소자의 최대 휘도는 약$130\;cd/m^2$ 정도로 측정되었는데, 이는 휴대폰 키패드의 back-light용 광원으로 사용하기 충분하다고 판단되어진다. -
Microwave Dielectric Properties of
$Mg_4(Nb_{2-x}V_x)O_9$ Ceramics Produced by a Hydrothermal Method$Mg_4(Nb_{2-x}V_x)O_9$ (MNV) ceramics have been prepared by a hydrothermal method. Low-temperature sintering of$Mg_4(Nb_{2-x}V_x)O_9$ (MNV) by V substitution for Nb was discussed in this study. A$Q{\cdot}f_0$ value of 103,297 GHz with a${\varepsilon}_r$ of 12.56 and a${\tau}_f$ of$-10.53\;ppm/^{\circ}C$ was obtained when x=0.0625 after sintering at$1100^{\circ}C$ for 5 h. -
The
$SrRuO_3$ /Si thin film electrodes are grown with (00l) preferred orientations on SrO buffered-Si (001) substrates by pulsed laser deposition. The optimum conditions of SrO buffer layers for$SrRuO_3$ preferred orientations are the deposition temperature of$700^{\circ}C$ , deposition pressure of$1\;{\times}\;10^{-6}\;Torr$ , and the thickness of 6 nm. The 100nm thick-$SrRuO_3$ bottom electrodes deposited above$650^{\circ}C$ on SrO buffered-Si (001) substrates have a rms roughness of approximately$5.0\;{\AA}$ and a resistivity of 1700 -cm, exhibiting a (00l) relationship. The 100nm thick-$Pb(Zr_{0.2}Ti_{0.8})O_3$ thin films deposited at$575^{\circ}C$ have a (00l) preferred orientation and exhibit$2P_r$ of$40\;C/cm^2$ ,$E_c$ of 100 kV/cm, and leakage current of about$1\;{\times}\;10^{-7}\;A/cm^2$ at 1V. -
Photo-imageable thick-film lithography technology was developed for the fabrication of embedded passives such as inductors and capacitors. In this study, photo-imageable dielectric and conductor pastes have apoted a negative type. Sodalime glass wafer, alumina substrate and zero-shrinkage LTCC green tapes were used as substrates. In result, The lithographic patterns were designed as lines and spaces for conductor material, or via-holes for ceramic, LTCC, materials. The scattering and reflection of UV-beam on the substrate had negative effects on fine patterning. The patterning performance was varied with the exposing and developing process conditions, and also varied with the substrate materials. Fine resolution of less then
$50/50{\mu}m$ in line and space was obtained, which is difficult in screen printing method. -
고주파 모률에서 사용되는 기판소재는 저유전율과 낮은 loss 특성을 요구함으로 지속적인 연구를 필요로 한다. 지금까지의 ceramic/glass composite 에서 주로 사용된 ceramic filler는 Al2O3로 낮은 유전률을 구현에 한계가 있었다. Cordierite는 낮은 유전율 (
${\varepsilon}_r$ < 4)을 나타내는 filler로서 그 가능성이 높지만 아직까지 보고된 결과들이 미흡한 실정이다. 따라서 본 연구에서는 cordierite filler와 SiO2-B2O3-Al2O3-RO (R : Zn, Sr, Ba, Ca)계의 glass를 혼합하여 LTCC용 기판소재로서의 가능성을 확인하고자 저온 동시소성이 가능한 소결온도인$850^{\circ}C{\sim}1.000^{\circ}C$ 사이에서 소재의 소결실험을 진행하였다. 소결온도에 따른 상변화, 유전특성을 확인한 결과 filler로 사용된 cordierite상만이 관찰 되었으며 소결조건에 따라 5.0~5.5의 낮은 유전율과 1.000~1,500의 Q를 나타내는 것을 확인 하였다. -
고경도 및 내침식재료가 새로운 기계구조 및 기계 절삭공구재료로서 각광을 받고 있으며 질화붕소(BN)는 고융점, 고경도의 물리적 특성으로 다이아몬드 대체 물질로 주목되고 있다. 원하는 질화붕소의 합성을 위해서는 출발 원료와 합성법에 의존하는 것으로 보고되고 있다. 본 연구에서는 붕소 산화물과 환원제로써 활성 탄소를 출발 원료로 하였으며 치환제로써 질소를 사용하여 기상 반응로에서 질화붕소를 합성하였다. 합성된 시료는 XRD, SEM, PSA 등으로 물성을 측정하였고 합성 변수에 따라 순도의 차이를 보였으며, 본 연구를 통하여 최적의 합성 조건을 제시할 수 있었다.
-
최근, LED 구동 인버터, DC-DC 컨버터, AC-DC 컨버터 및 형광등 ballaster 등의 고전압전원장치등에 압전변압기를 적용하고자 하는 연구가 활발히 진행되고 있다. 순수한
$PbTiO_3$ 는 큐리온도($490^{\circ}C$ )가 높고, 기계적강도가 크며, 비유전율(약 200 정도)이 작다. 또한, 두께방향 진동의 전기기계 결합계수 ($K_t$ )가 윤곽진동의 전기기계 결합계수($K_p$ )보다 크므로 두께방향의 진동모드를 이용한 벌크파 진동자의 경우 윤곽진동방향으로 불요신호(spurious signal)가 적고, 작은 grain size($1\;{\mu}m$ 정도)로 미세가공이 가능하여 고주파 재료로 이용되고 있다. 압전변압기의 출력 전력을 향상시키기 위해서는 적층으로 제작하여야 하는데 적층 압전변압기 제작시 층간의 내부 전극이 도포된 상태에서 소결하여야 한다. 이때 소걸 온도가 높으면 Pd 함랑이 높은 전극을 사용하여야 하는데 Pd 전극의 가격이 비싸 소자의 경제성이 떨어지게 된다. 따라서 순수한 Ag 전극을 내부전극으로 사용하기 위해서는$900^{\circ}C$ 이하에서 소결이 가능하여야 한다. 따라서 본 연구에서는$(Pb,Ca,Sr)Ti(Mn,Sb)O_3$ 조성을 이용하여$900^{\circ}C$ 이하의 저온소결이 가능한 두께방향진동모드 적층 압전변암기를 제작하여 그에 대한 전기적 특성을 조사하였다. -
압전액츄에이터 및 초음파진동자는 전자제품의 소형화 및 경량화, 의료기기, 모바일기기 및 소형로붓의 발전에 힘입어 그 활용범위가 넓게 확장되고 있다. 1960년 Smolenski등에 의해
$A(B_1,B_2)O_3$ 형 복합 페로브스카이트 구조를 갖는 강유전성 세라믹스에 대한 연구가 시작된 이래$Pb(Co,Nb)O_3-Pb(Zr,Ti)O_3$ ,$Pb(Zn,Nb)O_3-Pb(Zr,Ti)O_3$ ,$Pb(Mg,Nb)O_3-Pb(Zr,Ti)O_3$ 등 3성분계 세라믹스의 유전, 압전 및 강유전 특성에 대한 많은 연구가 진행되어 왔다. 그러나 압전성이 우수한 세라믹스들은 Pb가 포함되어 있기 때문에$1000^{\circ}C$ 이상에서 PbO가 급격하게 휘발되는 성질에 따라서 조성의 변동이 생겨 재현성이 어려우며 이를 방지하기 위하여 과잉 PbO를 첨가시키기 때문에 환경오염뿐만 아니라, 경제적인 측면에서도 많은 문제점을 가지고 있다. 소결조제를 이용한 산화물 첨가법은 PbO의 휘발을 억제하는 저온소결 방법중 가장 효과적인 방법으로 알려져 있다. 따라서, 본 연구에서는 적층형 압전액츄에이터로 사용하기위한 저온소결 압전세라믹스를 개발하기 위하여 PZN-PZT세라믹스에$Li_2CO_3$ ,$Bi_2O_3$ , CuO 를 소결조제로 사용하여$Li_2CO_3$ 의 첨가량 변화에 따른 압전 및 유전 특성을 관찰하였다. -
리륨이차전지의 용량의 증가를 위한 연구에 많은 노력과 재원이 투자되고 잇는 반면에, 용량과 성능증가 추세가 주춤한 최근에는 전지의 안전성에 큰 관심이 집중되고 있다. 그 이유는 전지의 성능 못지않게 안전성에 대한 의구심이 꾸준히 제기되고 있고, 대용량 고출력전지의 대표적인 예이 자동차용 전지에는 안전성에 대한 보장이 선결되어야 하기 때문이다. 본 연구에서는 유기 전해액의 발화 및 폭발을 방지할수 있는 방법 중에서 첨가제에 의한 방법을 이용하여 그 첨가제의 전기화학적 특성 및 열적 안정성을 살펴보고 리튬이온전지에의 적용 가능성을 알아보았다. 특히 포스파젠 화합물들을 소량(1~5wt.%)첨가하여, 양극소재의 발열온도를
$60^{\circ}C$ 이상 지연시키고, 사이클 특성의 향상 및 용량의 증가도 실현함으로서 포스파젠 화합물의 유효성을 증명하였다. 아래의 Fig1은 Hexamethoxy cyclo tri-phosphazene(HMTP) 이라는 화합물의 난연성을 표기한 것인데,$270^{\circ}C$ 부근의 background peak가$340^{\circ}C$ 까지 지연됨을 보여주고 있다. -
The LTCCs (low-temperature co-fired ceramics) are very important for electronic industry to build smaller RF modules and to fulfill the necessity for miniaturization of devices in wireless communication industry. The dielectric materials with sintering temperature
$T_{sint}$ <$900^{\circ}C$ are required. In this study, we investigated with glass-ceramic composition, which was crystallized with two crystals. The microstructure, crystal phases, thermal and mechanical properties, and dielectric properties of the composites were investigated using FE-SEM, XRD, TG-DTA, 4-point bending strength test and LCR measurement. The starting temperature for densification of a sintered body was at$779{\sim}844^{\circ}C$ and the glass frits were formatted to the crystal phases,$CaAl_2Si_2O_8$ (anorthite) and$CaMgSi_O_6$ (diopside), at sintering temperature. The sintered bodies exhibited applicable dielectric properties, namely 6-9 for${\varepsilon}_r$ . The results suggest that the glass-ceramic composite would be potentially possible to application of low dielectric L TCC materials. -
Mg-Si-O계 세라믹스에 glass frit 조성을 첨가하여 저온에서의 소결 특성 및 마이크로파 유전 특성을 연구하였다. 기존의 Mg-Si-O계 세라믹스는 우수한 유전특성을 가지고 있으나 높은 소결온도로 인하여 LTCC용 기판 소재로 적용이 어려웠다. 본 연구에서는 MgO,
$SiO_2$ 를 이용하여$Mg_2SiO_4$ 을 합성한 후,$B_2O_3-ZnO-Na_2O-SiO_2-Al_2O_3$ 계 glass 조성을 20~40wt%로 첨가하여 소결온도를 감소시켜 LTCC 기판 소재로서의 적용성을 고찰하였다. glass frit 함량이 증가함에 따라 밀도($g/cm^3$ ) 및 유전율(${\varepsilon}_r$ )은 증가하였고 품질계수($Qxf_0$ )값은 감소하였다. glass frit 함량이 40wt%일때$900^{\circ}C$ 에서 1시간 소결한 소결체의 유전톡성은 유전율 (${\varepsilon}_4$ ) = 6.5. 품질계수 ($Qxf_0$ ) = 4,000(GHz), 온도계수$(\tau_t)\;=\;{\pm}\;10ppm/^{\circ}C$ 로 우수한 특성을 확인하였다. -
In this study, composite
$BaSrTiO_3$ has been studied for high frequency device applications. Composite$Ba_{0.5}Sr_{0.5}TiO_3$ has high dielectric permittivity and low loss tangent at the relative frequency range from MHz to GHz. 10,30 and 50 wt% of epoxy doped$Ba_{0.5}Sr_{0.5}TiO_3$ powders were prepared with bisphenol A and F polymer employing ball milling process. Epoxy/($BaSrTiO_3$ ) composites thick films were screen printed on the Cu plated PCB substrates through screen printing methods. The specimens were designed for the embedded capacitor applications. Temperature dependent dielectric permittivity of Epoxy doped$BaSrTiO_3$ ceramics was measured. -
Ga-doped ZnO(GZO) multilayer coatings were prepared on glass by DC sputtering. Optimization of the deposition conditions of both AZO and Au layers were performed for better electrical and optical characteristics. The properties of multilayer were affected by the deposition process of both GZO and Au layers. The best multilayer coating exhibits low resistivity of
$2.72{\times}10^{-3}\;{\Omega}-cm$ and transmittance of 77%. From these results, we can confirm a possibility of the application as transparent conductive electrodes. -
최근 전자제품의 경박단소화와 고성능화에 대한 관심이 증가하면서 내장형 수동소자 (Embedded Passive Device)에 대한 연구가 활발히 진행되고 있다. 본 연구에서는 Ni-coated
$BaTiO_3$ 와 PMMA의 함량 변화에 따른 복합체의 유전적 특성을 연구하였다. Ni-coated$BaTiO_3$ 첨가량을 0~50%까지 증가시키며 유전율을 측정하였으며, 코팅되지 않은$BaTiO_3$ -PMMA복합체의 유전율과 결과를 비교하였다. 본 연구결과 Ni 코팅에 의한 유전율 증가를 관찰할 수 있었으며, 코팅 상태는 코팅공정시의 시간과 온도 등에 의존한다는 것을 확인하였다. 본 논문에서는 이러한 실험결과를 개선된 혼합법칙을 바탕으로 해석하고자 한다. -
Lee, Jae-Wook;Choi, Jong-Hack;Lee, Jeong-Eun;Park, Seong-Yong;Lee, Byoung-Yoon;Jeong, In-Bum 317
현재 급격히 증가하는 디스플레이 산업은 업체간 시장 선도를 위하여 기술 및 가격경쟁이 매우 심화되고 있다. 이러한 추세로 디스플레이 소재산업은 신 재료, 대체 재료의 연구가 활발히 진행되고 있으나, 전극재료 분야에서는 그 성과가 미미한 실정이다. 본 연구에서는 기존에 사용되어지던 귀금속 전극재료를 금속 복합재료로 대체하여 저가격의 전극재료를 개발하는데 중점을 두었다. 용액환원반응법에 의해 제조된 다앙한 크기 및 조성의 복합분말을 이용하여 인쇄용 전극 페이스트를 만들고, 페이스트의 물리적 특성, 인쇄 특성, 패턴 전극 특성 등을 분석하여 디스플레이 전극용 대체 재료로의 가능성을 검토하였다. -
In this study, novel structured thin ultrasonic rotary motor has been proposed. Ultrasonic motors are based on an elliptical motion on the surface of elastic body. ATILA ver. 5.2.4 was used for optimizing stator. The motor was fabricated by using designed stator. And characteristics of the motor were compared with simulated results. When the motor was fabricated with these results, 935[rpm] speed was obtain by input voltage of 25[Vrms] at 93.5[khz].
-
Nowadays, ZnO thin films are investigated as transparent conductive electrodes for use in optoelectronics devices including flat displays, thin films transistors, solar cells because of their unique optical and electrical properties. For the use as transparent conductive electrodes, a film has to have low resistivity, high absorption in the ultra violent light region and high optical transmission in the visible region. Different technologies such as electron beam evaporation, chemical vapor deposition, laser evaporation, DC and RF magnetron sputtering and have been reported to produce thin films of ZnO with adequate performance for applications. However, highly transparent and conductive doped-ZnO thin films deposited by a metal-organic decomposition method have not been reported before. In this work, the effect of dopant concentration, heating treatment and annealing in areducing atmosphere on the structure, morphology, electrical and optical properties of ZnO thin films deposited on glass substrates by a Sol-gel method are investigated.
-
In this thesis, the sintering properties and piezoelectric properties of
$Pb[(Co_{0.5}W_{0.5})_{0.03}(Ni_{1/3}Nb_{2/3})_{0.07}(Zr_{0.52}Ti_{0.48})_{0.9}]O_3+0.5[wt%]MnO_2$ ceramics has been systematically investigated as a function of the sintering temperature after manufacturing the specimens with a general method. The lattice constant from the analysis of crystal structure showed that the pychlore structure was decreased with the increase of the sintering temperature. Density was decreased by increasing$B_2O_3$ . TCFr was showed its minimum variation rate of 0.35~-0.52[%] in the sintered temperature 950[$^{\circ}C$ ],$B_2O_3$ 3[wt%]. The electromechanical coupling coefficient (Kp) showed its maximum of 31.116[%] in the sintered temperature 1050[$^{\circ}C$ ], and its minimum of 20.220[%] in the sintered temperature 1150[$^{\circ}C$ ]. -
Influence of
$La_2O_3$ addition to$CaO-B_2O_3$ -based glass on the water leaching resistance of the glass was first investigated. The optimized$La_2O_3-CaO-B_2O_3$ (LCB) glass was ball milled for varying time, followed by mixing with$Al_2O_3$ crystalline phase to form$Al_2O_3$ -LCB glass composites at$875^{\circ}C$ for 1h. Microwave dielectric properties of the composites were investigated as a function of the ball milling time of the LCB glass. Dielectric constant and quality factor of the composites were 6.31 and 13856 GHz, respectively, when the LCB glass was ball milled for 2h prior to mixing with$Al_2O_3$ . -
Influence of
$La_2O_3$ addition to$ZnO-B_2O_3$ -based glass on the water leaching resistance of the glass was first investigated. The optimized$La_2O_3-ZnO-B_2O_3$ (LZB) glass was ball milled for varying time, followed by mixing with$Al_2O_3$ crystalline phase to form$Al_2O_3$ -LZB glass composites at$875^{\circ}C$ for lh. Microwave dielectric properties of the composites were investigated as a function of the ball milling time of the LZB glass. Dielectric constant and quality factor of the composites were 6.01 and 11676 GHz, respectively, when the LZB glass was ball milled for 2h prior to mixing with$Al_2O_3$ . -
The perovskites in the
$LaAlO_3-BaZrO_3$ system (i.e.,$(1-x)LaAlO_3-xBaZrO_3$ were fabricated by a solid state reaction and their dielectric properties were investigated. For the compositions of x=0.1~0.9, the mixture of$LaAlO_3$ with a rhombohedral structure and$BaZrO_3$ with a cubic was observed when the sintering was conducted at$1500^{\circ}C$ , indicating that the solubility of constituent elements was very low and a narrow solid solution region might exist. The large difference of ionic radii between$La^{3+}$ ion (0.136nm, C.N.=12) and$Ba^{2+}$ ion (0.161nm) or$Al^{3+}$ ion (0.0535nm, C.N.=6) and$Zr^{4+}$ ion (0.072nm) might hinder the mutual substitution. Within the compositions of x=0~0.7, the dielectric constant of the mixture increased with the amount of$BaZrO_3$ , i.e., x value, which was in good agreement with the logarithmic mixing rule (In$_{r,i}={\Sigma}v_iln\;_{r,i}$ ). The increase in$BaZrO_3$ doping decreased$Q{\times}f$ value significantly due to the low$Q{\times}f$ value of$BaZrO_3$ itself, a poor microstructure of the mixture with an increased grain boundary area per volume, and defects in the cation and oxygen sub-lattices which were respectively caused by the evaporation of barium during the sintering process and the substitution of Ba on La-site or Al on Zr-site. -
Influence of
$Nd_2O_3$ addition to$ZnO-B_2O_3$ -based glass on the water leaching resistance of the glass was first investigated. The optimized$Nd_2O_3-ZnO-B_2O_3$ (NZB) glass was ball milled for varying time, mixing with followed by$Al_2O_3$ crystalline phase to form$Al_2O_3$ -NZB glass composites at$875^{\circ}C$ for 1h. Microwave dielectric properties of the composites were investigated as a function of the ball milling time of the NZB glass. Dielectric constant and quality factor were 5.70 and 9497 GHz, respectively, when the NZB glass was ball milled for 6h prior to mixing with$Al_2O_3$ . -
$LiTaO_3$ single crystal has been studied for surface acoustic wave(SAW) applications. There are two kinds of$LiTaO_3$ single crystals, stoichiometric$LiTaO_3$ (SLT) and congruent$LiTaO_3$ (CLT). These two crystals show quite different dielectrical properties, which might be related with defects in crystals. In this study, we observed the domain boundary of SLT and CLT with scanning nonlinear dielectric microscopy and discussed the stress distribution in$LiTaO_3$ single crystals. -
Large area matrix-addressed image detectors are a recent technology for x-ray imaging with medical diagnostic and other applications. The imaging properties of x-ray pixel detectors depend on the quantum efficiency of x-rays, the generated signal of each x-ray photon and the distribution of the generated signal between pixels. In a phosphor coated detector the light signal is generated by electrons captured in the phosphor screen. In our study we simulated the lateral spread distributions for phosphor coupled detector by Monte Carlo simulations. Most simulations of such detectors simplify the setup by only taking the conversion layer into account neglecting behind. The Monte Carlo code MCNPX has been used to simulate the complete interaction and subsequent charge transport of x-ray radiation. This has allowed the analysis of charge sharing between pixel elements as an important limited factor of digital x-ray imaging system. The parameters are determined by lateral distribution of x-ray photons and x-ray induced electrons. The primary purpose of this study was to develop a design tool for the evaluation of geometry factor in the phosphor coupled optical imaging detector. In order to evaluate the spatial resolution for different phosphor material, phosphor geometry we have developed a simulation code. The developed code calculates the energy absorption and spatial distribution based on both the signal from the scintillating layer and the signal from direct detection of x-ray in the detector. We show that internal scattering contributes to the so-called spatial resolution drop of the image detector. Results from the simulation of spatial distribution in a phosphor pixel detector are presented. The spatial resolution can be increased by optimizing pixel size and phosphor thickness.
-
Photoconductive poly crystalline lead oxide coated on amorphous thin film transistor (TFT) arrays is the best candidate for direct digital x-ray detector for medical imaging. Thicker films with lessening density often show lower x-ray induced charge generation and collection becomes less efficient. In this work, we present a new methodology used for the high density deposition of PbO. We investigate the structural properties of the films using X-ray diffraction and electron microscopy experiments. The film coatings of approximately
$200\;{\mu}m$ thickness were deposited on$2"{\times}2"$ conductive-coated glass substrates for measurements of dark current and x-ray sensitivity. The lead oxide (PbO) films of$200\;{\mu}m$ thickness were deposited on glass substrates using a wet coating process in room temperature. The influence of post-deposition annealing on the characteristics of the lead oxide films was investigated in detail. X-ray diffraction and scanning electron microscopy, and atomic force microscopy have been employed to obtain information on the morphology and crystallization of the films. Also we measured dark current, x-ray sensitivity and linearity for investigation of the electrical characteristics of films. It was found that the annealing conditions strongly affect the electrical properties of the films. The x-ray induced output charges of films annealed in oxygen gas increases dramatically with increasing annealing temperatures up to$500^{\circ}C$ but then drops for higher temperature anneals. Consequently, the more we increase the annealing temperatures, the better density and film quality of the lead oxide. Analysis of this data suggests that incorporation and decomposition reactions of oxygen can be controlled to change the detection properties of the lead oxide film significantly. Post-deposition thermal annealing is also used for densely film. The PbO films that are grown by new methodology exhibit good morphology of high density structure and provide less than$10\;pA/mm^2$ dark currents as they show saturation in gain (at approximate fields of$4\;V/{\mu}m$ ). The ability to operate at low voltage gives adequate dark currents for most applications and allows voltage electronics designs. -
Polycrystalline mercuric iodide
$HgI_2$ ) films are being developed as a new detector technology for digital x-ray imaging. The$HgI_2$ is generally vacuum deposited by physical vapor deposition (PVD) process. But the PVD thick deposition has been caused any instability in the biasing due to any defects or cracks. In this work we present a new particle-in-binder (PIB) methodologies used for the$HgI_2$ thick films. These growth techniques can be easily extended to produce much larger film areas. This paper, for the first time, presents results and comparison of polycrystalline$HgI_2$ films derived by various PIB methods. We investigated the structural and morphological properties of the films using X-ray diffraction (XRD) and scanning electron microscopy (SEM) analysis. The films were characterized with respect to their electrical properties and in response to x-ray photons. Physical and electrical results were also compared between conventional polycrystalline PVD and our detectors. Leakage current as low as$350\;pA/cm^2$ at the bias voltage of ~ 200 V has been observed. And high sensitivity and good linearity in the response to x-rays was obtained in the film derived by PIB sedimentation method. Our future efforts will concentrate on optimization of film growth techniques for uniform large area deposition on image readout arrays. -
Surface micromachined SiC devices have readily been fabricated from the polycrystalline (poly) 3C-SiC thin film which has an advantage of being deposited onto
$SiO_2$ or$Si_3N_4$ as a sacrificial layer. Therefore, in this work, magnetron reactive ion etching process which can stably etch poly 3C-SiC thin films grown on$SiO_2$ /Si substrate at a lower energy (70 W) with$CHF_3$ based gas mixtures has been studied. We have investigated the etching properties of the poly 3C-SiC thin film using PR/Al mask, according to$O_2$ flow rate, pressure, RF power, and electrode gap. The etched RMS (root mean square), etch rate, and etch profile of the poly 3C-SiC thin films were analyzed by SEM, AFM, and$\alpha$ -step. -
This paper describes the characteristics of poly (polycrystalline) 3C-SiC grown on SiOz and AlN substrates, respectively. The crystalline quality of poly 3C-SiC was improved from resulting in decrease of FWHM (full width half maximum) of XRD by increasing the growth temperature. The minimum growth temperature of poly 3C-SiC was
$1100^{\circ}C$ . The surface chemical composition and the electron mobility of poly 3C-SiC grown on each substrate were investigated by XPS and Hall Effect, respectively. The chemical compositions of surface of poly 3C-SiC films grown on$SiO_2$ and AlN were not different. However, their electron mobilities were$7.65\;cm^2/V.s$ and$14.8\;cm^2/V.s$ , respectively. Therefore, since the electron mobility of poly 3C-SiC films grown on AlN buffer layer was two times higher than that of 3C-SiC/$SiO_2$ , a AlN film is a suitable material, as buffer layer, for the growth of poly 3C-SiC thin films with excellent properties for M/NEMS applications. -
염료감응형 태양전지(Dye-sensitized solar cells)용 페이스트의 제조시 바인더(binder)인 폴리에틸렌 글리콜(Polyethylene glycol; 이하 PEG)의 함량변화가 염료감응형 태양전지의 에너지 변환효율에 미치는 영향을 조사하고자 하였다. PEG의 함량변화는 0-30wt%까지 변화시켰으며 그들의 표면이나 단면의 형상을 FE-SEM, AFM으로 관찰 하였고, PEG 함량변화에 따른 열적특성은 TG/DTA 결과로 조사하였다. PEG의 양이 0%에서 20wt%까지 첨가되었을 때 단락전류밀도(Isc: Short current density)는 증가되어 나타났고 PEG가 30wt%가 첨가되었을 때는 오히려 감소하는 것을 확인할 수 있으며 이것은
$TiO_2$ 입자간의 응집현상이 과도하게 진행되어 전자의 흐름을 방해한 것으로 판단된다. PEG의 함량이 20wt% 첨가되었을 때 개방전압 (Voc: Open circuit voltage)이 0.73V, 단락전류밀도는$22.6mA/cm^2$ , 필펙터는 0.55, 에너지변환효율은 9.1%로 최적의 바인더 함량을 나타내었다. 염료감응형 태양전지의 광전극 제조시 PEG 바인더의 함량 변화에 따라 일자간의 응징특성이나 기공도와 같은 표면형상, 그리고 에너지변환효율을 변화시킨다는 것을 확인할 수 있었다. -
The energy conversion characteristics of
$TiO_2$ paste of dye-sensitized solar cell (DSSC) was investigated. In the case of DSSC without a binder, the current density increased due to the development of porosity. As for DSSC with a binder, the fill factor increased due to the development of network among the particles. The energy conversion efficiency of 7.2% was obtained due to the porosity and the network as for DSSC with the mixed binder (Vol. 50:50). -
Photo-conductive properties of CdS films deposited on glass substrates by a reactive sputtering in Ar atmosphere were characterized as a function of working pressure and the film thickness. The XRD measurements of CdS films revealed obvious (002) preferred orientation. In 300nm-thick of films, difference between dark and photo-resistance increases with increasing working pressure within the films. The films at 5 mTorr of working pressure show a dark resistance of approximately
$1\;{\times}\;10^6\;{\Omega}/{\square}$ and a photo-resistance of$3\;{\times}\;10^4\;{\Omega}/{\square}$ . The decrease dark- and photo-resistance of films as thickness decrease were$1.4\;{\times}\;10^6$ and$3\;{\times}\;10^4\;{\Omega}/{\square}$ , respectively. CdS films deposited on glass substrates are considered tobe suitable for photo-conductivity materials in stealth radome applications. -
Soft ferromagnetic materials are very useful for many sensors using magnetic materials with high permeability, low coercivity and low hysteresis loss. Among them, FeCoSiBNi amorphous magnetic films show us a good impedance change(about 3.05%/Oe, at 12MHz) by the exterior magnetic field in this experiment. These are produced by rapid solidification from the melt and the material is ejected in a jet from a nozzle and quenched in a stream of liquid. After that, we make them a shape of wire with different sizes of width. Thus, we can find that the impedance change (122.16%, at 12MHz) is occurred and the fabricated magnetic wire has the characteristics of good sensor element.
-
In this paper, we developed an integrated miniaturized device which acquires and transmits the signal of ECG an interested heartbeat and body's temperature. Electrocardiogram(ECG) is a recording of the electrical activity on the body surface generated by heart. ECG & temperature measurement is collected by wireless sensor (for Ag/AgCl Thin-Film) placed at designated locations on the body. It is that dual wireless sensor will apply variously to Ubiquitous & Healthcare System.
-
본 연구에서는 X선 영상 검출기로의 적용을 위하여
$Gd_2O_3$ :Eu 형광체 필름을 제작하여 X선에 대한 발광 특성을 분석하였다.$Gd_2O_3$ :Eu는 저온 액상법을 이용하여 분말 형태로 제조한 후 Particle-in-binder (PIB)으로 필름 형태로 제작한 후, 도핑된 Europium(Eu)의 농도와 소결 온도에 따른 X선에 대한 발광 특성을 분석하였다. Photolumimescence (PL) spectrum에서 611nm에서 가장 높은 발광 효율을 나타내었으며, 입자의 크기가 줄어듦에 따라 610nm에서 새로운 peak가 형성 되었다. 또한 Eu의 농도에 따라서 발광 강도의 차이가 관찰되었는데, 5wt%의 도핑 농도에서 가장 높은 발광 효율을 나타냈으며, 도핑 농도에 매우 의존적인 결과를 나타냈다. 소결 온도에 따른 발광 특성 분석에서,$500^{\circ}C$ 에서 소결하였을 때는 623nm에서 강한 peak를 나타내는 단사정계상의 발광 peak는 거의 관찰되지 않았으나 소결 온도가$700^{\circ}C$ 와$900^{\circ}C$ 에서는 peak가 확인되었다. 이를 통해$Gd_2O_3$ 모체가 대부분 입방 대칭 구조를 가지는$Gd_2O_3$ :Eu가 합성되었음을 확인할 수 있었다. 또한 소결 온도에 따른 발광 강도를 분석한 결과$900^{\circ}C$ 에서 소결하였을 때 가장 높은 발광 강도를 나타냈다. Luminescent decay time 측정 결과에서 도핑된 Eu의 농도가 커질수록 Luminescent decay time이 짧아짐을 확인할 수 있었다. -
본 연구는 x선 영상검출기 적용을 위한
$HgI_2$ 필름의 누설전류 특성 향상을 위한 연구로서,$HgI_2$ 기반의 다양한 물질을 이용하여 다층구조 방식으로 제작된 필름의 누설전류 특성평가 및 제작된 다층구조의 상부전극물질의 변화에 따른 누설전류 특성을 평가하였다.$HgI_2$ 기반 다층구조의 제작 물질은 Parylene,$PbI_2$ , a-Se을 사용하여 시편(parylene/ITO, ITO/$HgI_2/PbI_2$ /ITO, ITO/$HgI_2$ /a-Se/ITO)을 제작하였으며, 필름 제작공정은 Screen print, PVD공정으로 다층구조 필름을 제작하였다. 또 한, 다층구조로 제작된 필름에 상부 전극물질은 Au, In, ITO를 사용하여 누설전류의 특성을 평가하였다. 측정 장치로 DC Power Supply(556H. EG&G : 50~200V), X 선 발생장치(Toshiba KXO-50N), 차폐체 (Al 및 Cu), Oscilloscope (LeCroy, LC334AM, USA), Electrometer (Keithley, 6517), Ion chamber 2060 (Radical Co.)을 이용하여, 제작된$HgI_2$ 기반 다층구조 sample의 누설전류 특성을 실험하였다. 이 결과로 다층구조에 제작된 물질 및 상부전극에 따른 누설전류의 특성을 평가하였다. -
Aluminum nitride (AlN) thin films were deposited on Polycrystalline (poly) 3C-SiC buffer layers using pulsed reactive magnetron sputtering. Characteristics of AlN films were investigated experimentally by means of FE-SEM, X-ray diffraction, and FT-IR, respectively. As a result, highly (002) oriented AlN thin films with almost free residual stress were achieved using 3C-SiC buffer layers. Therefore, AlN thin films grown on 3C-SiC buffer layers can be used for various piezoelectric fields and M/NEMS applications.
-
This paper describes the electrical characteristics of polycrystalline (poly) 3C-SiC thin film diodes, in which poly 3C-SiC thin films on n-type and p-type Si wafers, respectively, were deposited by APCVD using HMDS, Hz, and Ar gas at
$1180^{\circ}C$ for 3 hr. The schottky diode with Au/poly 3C-SiC/Si(n-type) structure was fabricated. Its threshold voltage ($V_d$ ), breakdown voltage, thickness of depletion layer, and doping concentration ($N_D$ ) values were measured as 0.84 V, over 140 V, 61nm, and$2.7\;{\times}\;10^{19}\;cm^3$ , respectively. The p-n junction diodes fabricated on the poly 3C-SiC/Si(p-type) were obtained like characteristics of single 3C-SiC p-n junction diodes. Therefore, poly 3C-SiC thin film diodes will be suitable microsensors in conjunction with Si fabrication technology. -
The
$LiFePO_4$ as cathode materials for lithium ion batteries was synthesized by the solid-state reaction using ballmiller and employed one step heat treatment at$650^{\circ}C$ . The influence of the heating time on the structure, particle size and cycle performance was investigated.$LiFePO_4$ heated at$650^{\circ}C$ for 3 h exhibited higher discharge capacity of 140 mAh/g and excellent cycle performance. -
The spectrum for
$0_{\circ}$ ,$90_{\circ}$ -polarized light coincides with the spectrum for non-polarized light and also with the spectrum was observed in the LB film deposited using a fresh solution. And, the formation and dissociation of J-aggregates, anisotropic behavior was no longer observed in the heat treated merocyanine dyes LB films. But, in the optical absorption spectra of same LB films by UV irradiation at room temperature, their were observed only dissociation of J-aggregates, that is decrease of absorbance peak without change spectral shape. On the other hand, in the case of optical absorption spectra of the LB films by the heat treatment at$70^{\circ}C$ in the air, both of the shifted absorption bands decay and a monomer absorption peak of about 530 nm appears instead. -
Process variables for manufacturing the
$CuInSe_2$ thin film were established in order to clarify optimum conditions for growth of the thin film depending upon process conditions (substrate temperature, sputtering pressure, DC/RF Power), and then by changing a number of vapor deposition conditions and Annealing conditions variously, structural and electrical characteristics were measured. Thereby, optimum process variables were derived. For the manufacture of the$CuInSe_2$ , Cu, In and Se were vapor-deposited in the named order. Among them, Cu and In were vapor-deposited by using the sputtering method in consideration of their adhesive force to the substrate, and the DC/RF power was controlled so that the composition of Cu and In might be 1 : 1, while the surface temperature having an effect on the quality of the thin film was changed from 100[$^{\circ}C$ ] to 300[$^{\circ}C$ ] at intervals of 50[$^{\circ}C$ ]. -
Plasma immersion ion beam deposition (PIIBD) technique is a cost-effective process for the deposition of diamond like carbon thin film, the possible solid lubricant on large surface and a complex shape. We used PIIB process for the preparation of DLC thin film on
$Al_2O_3$ with deposition conditions of deposition temperature range$200^{\circ}C$ , working gas pressure of 1.310-1Pa. DLC thin films were coated by$C_2H_2$ ion beam deposition on$Al_2O_3$ after the ion bombardment of SiH4 as the bonding layer. Energetic bombardment of$C_2H_2$ ions during the DLC deposition to ceramic materials generated mixed layers at the DLC-Si interface which enhanced the interface to be highly bonded. Wear test showed that the low coefficient of friction of around 0.05 with normal load 2.9N and proved the advantage of the low energy ion bombardment in PIIBD process which improved the tribological properties of DLC thin film coated alumina ceramic. Furthermore, PIIBD was recognized as a useful surface modification technique for the deposition of DLC thin film on the irregular shape components, such as molds, and for the improvement of wear and adhesion problems of the DLC thin film, high temperature solid lubricant. -
Raman spectra of poly (polycrystalline) 3C-SiC thin films, which were deposited on the oxidized Si substrate by APCVD, have been measured. They were used to study the mechanical characteristics of poly 3C-SiC grown in various temperatures. TO and LO modes of 2.0 m poly 3C-SiC grown at 1180 C occurred at 794.4 and
$965.7\;cm^{-1}$ . Their FWHMs (full width half maximum) were used to investigate the stress and the disorder of 3C-SiC. The broad FWHM can explain that the crystallinity of 3C-SiC grown at 1180 C becomes poly crystalline instead of the disordered crystal. The ratio of intensity$I_{(LO)}/I_{(TO)}$ 1.0 means that the crystal defect of 3C-SiC/$SiO_2$ /Si is small. The biaxial stress of poly 3C-SiC was obtained as 428 MPa. In the interface of 3C-SiC/$SiO_2$ , the phonon mode of C-O bonding appeared at$1122.6\;cm^{-1}$ . The phonon modes related to D and G bands of C-C bonding were measured at 1355.8 and$1596.8\;cm^{-1}$ respectively. -
In this paper, the elastic modulus and hardness of poly 3C-SiC thin films growed by APCVD were measured using nanoindentation test. The resulting values of elastic modulus E and hardness H of the poly 3C-SiC film are 305 GPa and 26 GPa, respectively. The mechanical properties of the poly 3C-SiC film are better than bulk Si wafers. Therefore, the poly 3C-SiC thin film is suitable for abrasion resistance, high frequency, and bio MEMS applications.
-
Phospho-olivine
$LiFePO_4$ cathode materials were prepared by hydrothermal reaction. Carbon black was added to enhance the electrical conductivity of$LiFePO_4$ . The structural and morphological performance of$LiFePO_4$ and$LiFePO_4$ -C powders were characterized by X-ray diffraction (XRD) and FE-SEM.$LiFePO_4/SPE/Li$ and$LiFePO_4$ -C/SPE/Li cells were characterized electrochemically by charge/discharge experiments. The results showed that the discharge capacity of$LiFePO_4$ -C/SPE/Li cell was 103 mAh/g at the first cycle. The discharge capacity of$LiFePO_4$ -C/SPE/Li cell with 5 wt% carbon black was the largest among$LiFePO_4$ -C/SPE/Li cells, 126 mAh/g at the first cycle and 123 mAh/g after 30 cycles, respectively. It was demonstrated that cycling performance of$LiFePO_4$ -C/SPE/Li cell with 5 wt% carbon black was better than that of$LiFePO_4$ /SPE/Li cell. -
Phospho-olivine
$LiFePO_4$ cathode materials were prepared by hydrothermal reaction at different temperatures. The structural performance of$LiFePO_4$ powders were characterized by X-ray diffraction (XRD).$LiFePO_4$ /Li batteries were characterized electrochemically by charge/discharge experiments. The XRD results demonstrate that$LiFePO_4$ powder has an orthorhombic olivine-type structure with a space group of Pnmb. Among the synthesized cathode materials,$LiFePO_4$ synthesized at$170^{\circ}C$ and subsequently annealed at$500^{\circ}C$ shows the best electrochemical properties. It shows initial discharge capacity of$167\;mAh\;g^{-1}$ (98% of the theoretical capacity) close to the theoretical capacity of$LiFePO_4$ ($170\;mAh\;g^{-1}$ ) at 0.1 C rate, which is ascribed to the enhanced degree of crystallinity, better phase purity, more spherical and more finely dispersed nanoparticles, crystallization and activation of small amount impurity. -
The dendrimer has been well known as a promising macromolecules for a building the organized nanostructure, which of the size can be controlled and which of periphery can be terminated by various functionalities. Currently a variety of research is being carried out in the field of dendrimer / polymer characterization, nano-scale atomic manipulation, and supramolecular nanostructure analysis. We investigated monolayer behavior and its characteristics at the air-water interface by LB method. In this report, we will present the interfacial properties of dendrimer monolayers on various conditions such as the surface-pressure, barrier speed and spreading quantity.
-
To investigate the 2nO thin films which are interested in the next generation of short wavelength LEDs and Lasers and UV photodetector with p-type inversion layer, the ZnO thin films were deposited by RF sputtering system. Gas ratios and work pressure is Ar :
$O_2$ = 4 : 1 and 15 mTorr, respectively, and the purity of ZnO target is 5N. The ZnO thin films were deposited at 300, 450, and$650^{\circ}C$ . The current-voltage, responsivity and quantum efficiency of devices were studied and compared with each devices. -
본 연구에서는 CD-RAD Phantom을 이용하여 의료영상의 명세성 (image clarity)분석을 위하여 동일한 X선 영상을 대상으로 물리적 평가와 시각적 평가를 비교분석 하였다. 측정방법은 CD-RAD Phantom을 X선 조사하여 CR 영상처리장치를 통해 영상을 획득하였으며, 영상분석은 CD-RAD analyser program을 통한 통계학적 방법으로 물리적 평가를 시행하고, 동일한 영상의 시각적 평가는 관찰자 20명을 대상으로 blind test를 시행하였다. 분석결과는 Contrast-detail curve의 물리적 평가 IQF값은 25, 시각적 평가 IQF값은 30으로 분석되어 물리적 평가가 시각적 평가에 비해 우수하게 나타났다. 의료영상의 특성은 영상 판독자에게 영상의 정보 전달능력이 매우 중요하므로 객관적인 물리적 분석법과 시각적 분석법이 병행되어야 한다고 판단된다.
-
To investigate the ZnO thin films which are interested in the next generation of short wavelength LEDs and Lasers and UV photodetector with p-type inversion layer, the ZnO thin films were deposited by. RF sputtering system. Substrate temperature and work pressure is
$100^{\circ}C$ and 15 mTorr, respectively, and the purity of ZnO target is 5N. The ZnO thin films were deposited at$100^{\circ}C$ ,$200^{\circ}C$ ,$300^{\circ}C$ , and$400^{\circ}C$ . For sample deposited at$300^{\circ}C$ , we observed full width at half maximum (FWHM) of 0.240 and good surface morphology. -
Titanium oxide nanotube arrays were fabricated by the anodization of pure titanium thin film deposited at
$500^{\circ}C$ on silicon substrates. The titania nanotubes were grown by anodization in nonaqueous-base electrolytes at different potentials between 5 V and 30 V.$TiO_2$ nanotube array with a small pore diameter of 40 nm and long titanium oxide layer of$4\;{\mu}m$ was obtained. The$TiO_2$ nanotube array was used as a porous electrode for quartz crystal microbalance (QCM). Nanoporous morphology of electrode will increase the sensitivity of microbalance. -
The amorphous silicon microbolometer array has been developed by the MEMS design and fabrication technology. Before the bolometer array for the image sensor being designed, the structure of unit cel I and 16x16 array of it was simulated, designed and fabricated. The properties of bolometer have been measured as such that the TCR and thermal time constant can be achieved -2 %/K and 1.4 msec respectively.
-
In the conventional crystallization method, thepoly-Si TFTs show poor device-to-device uniformity because of the random location of the grain boundaries. However, our new crystallization method introduced in this paper employed substrate-embedded seeds on the highly ordered anodic alumina template to control both the location of seeds and the number of grain boundaries intentionally. In the process of excimer laser crystallization (ELC), a-Si film deposited on the anodic alumina by low pressure chemical vapor deposition (LPCVD) is transformed into fine poly-Si grains by explosive crystallization (XC) prior to primary melting. At the higher energy density, the film is nearly completely melted and laterally grown by super lateral growth (SLG) from remained small part of the fine poly-Si grains as seeds at the Si/anodic alumina interface. Resultant grain boundaries have almost linear functions of the number of seeds in concavities of anodic alumina which have a constant spacing. It reveals the uniformity of. device can be enhanced prominently by controlling location and size of pores which contains fine poly~Si seeds under artificial anodizing condition.
-
Kim, Byoung-Yong;Kim, Jong-Hwan;Han, Jeong-Min;Kim, Young-Hwan;Kang, Dong-Hoon;Kim, Jong-Yeon;Ok, Chul-Ho;Seo, Dae-Shik 376
In Liquid Crystal Display (LCD) manufacturing, the organic over coat materials over coat materials for insulation layer of color filter with acryl ate was widely used. Therefore, we approach that the organic overcoat material can use to insulation layer for color filter and liquid crystal (LC) alignment layer in this research. The LC aligning capabilities was successful stuided for the first time. The organic overcoat layer and polymer layer was coated by spin-coating. In order to characterize the LC alignment, electric optic and residual DC and atomic force microscopy (AFM) image was used. The good LCD aligning capabilities treated on the organic overcoat thin film surfaces with ion beam exposure of$45^{\circ}$ above ion beam energy density of 1200 eV can be achieved. Also the good LCD alignment capabilities treated polymer on surfaces with ion beam exposure of$45^{\circ}$ above ion beam energy density of 1800 eV can be achieved. Comparing electro-optical characteristics between the Polyimide (PI) and the overcoat, the resultant transmittance of the overcoat considerably matched that of the PI and the residual DC also exhibited similar features with the PI. -
A simplified integration process including packaging is presented, which enables the realization of the portable fluorescence detection system. A fluorescence detection microchip system consisting of an integrated PIN photodiode, an organic light emitting diode (OLED) as the light source, an interference filter, and a microchannel was developed. The on-chip fluorescence detector fabricated by poly(dimethylsiloxane) (PDMS)-based packaging had thin-film structure. A silicon-based integrated PIN photo diode combined with an optical filter removed the background noise, which was produced by an excitation source, on the same substrate. The active area of the finger-type PIN photo diode was extended to obtain a higher detection sensitivity of fluorescence. The sensitivity and the limit of detection (LOD S/N = 3) of the system were
$0.198\;nA/{\mu}M$ and$10\;{\mu}M$ , respectively. -
Kim, Mi-Jung;Han, Jin-Woo;Kim, Young-Hwan;Kim, Byoung-Yong;Han, Jeong-Min;Moon, Hyun-Chan;Park, Kwang-Bum;Seo, Dae-Shik 378
In this study, liquid crystal (LC) aligning capabilities for homeotropic alignment on the$ZrO_x$ thin film by electron beam evaporation method were investigated. Also, the control of pretilt angles and thermal stabilities of the NLC treated on$ZrO_x$ thin film were investigated. The uniform LC alignment on the$ZrO_x$ thin film surfaces and good thermal stabilities with electron beam evaporation can be achieved. It is considerated that the LC alignment on the$ZrO_x$ thin film by electron beam evaporation is attributed to elastic interaction between LC molecules and micro-grooves at the$ZrO_x$ thin film surface created by evaporation. In addition, it can be achieved the good electro-optical (EO) properties of the VA-LCD on$ZrO_x$ thin film layer with. oblique electron beam evaporation. -
The characteristics of a co-sputtered indium zinc tin oxide (IZTO) films prepared by dual target dc magnetron sputtering from IZO and ITO targets at a room temperature are investigated. Film properties, such as sheet resistance, optical transmittance, surface work function and surface roughness were examined as a function of ITO dc power at constant IZO dc power of 100 W. It was shown that the increase of the ITO dc power during co-sputtering of ITO and IZO target resulted in an increase of sheet resistance of the IZTO films. This can be attributed to high resistivity of ITO film prepared at room temperature. Surface smoothness and roughness were investigated by Scanning Electron Microscopy (SEM) and Atomic Force Microscopy (AFM). The synchrotron x-ray scattering results obtained from IZTO film with different ITO contents showed that introduction of ITO atoms into amorphous IZO film resulted in a crystallization of IZTO film with (222) preferred orientation due to low alc transition temperature of ITO film. However, the transmittance of the IZTO films with thickness of 150 nm is between 80 and 85 % at wavelength of 550 nm regardless of ITO content. Possible mechanism to explain the ITO and IZO co-sputtering effect on properties of IZTO is suggested.
-
IZO/Ag/IZO (IAI) anode films for flexible organic light emitting diodes (OLEDs) were grown on PC (polycarbonate) substrate using DC sputter (IZO) and thermal evaporator (Ag) systems as a function of Ag thickness. To investigate electrical and optical properties of IAI stacked films, 4-point probe and UV/Vis spectrometer were used, respectively. From a IAI stacked film with 12nm-thick Ag, sheet resistance of
$6.9\;{\Omega}/{\square}$ and transmittance of above 82 % at a range of 500-550 nm wavelength were obtained. In addition, structural and surface properties of IAI stacked films were analyzed by XRD (X-ray diffraction) and SEM (scanning electron microscopy), respectively. Moreover, IAI stacked films showed dramatically improved mechanical properties when subjected to bending both as a function of number of cycles to a fixed radius. Finally, OLEDs fabricated on both flexible IAI stacked anode and conventional ITO/Glass were fabricated and, J-V-L characteristics of those OLEDs were compared by Keithley 2400. -
The nano and micro-sized
$ZnGa_2O_4$ phosphor were prepared by precipitation method and solid-state method. The luminescence, formation process and structure of phosphor powders were investigated by means of XRD, SEM and PL. The result of XRD analysis showed that$ZnGa_2O_4$ spinel structure was formed at as-prepared in the case of precipitation method. However, micro-sized phosphor was required high heating treatment to have a satisfactory spinel structure. The CL intensity of nano-sized phosphor was about 4-fold higher than that of micro-sized phosphor. The emission spectra of all$ZnGa_2O_4$ phosphor show a self activated blue emission band at around 420 nm in the wide range of 300~600 nm. -
We prepared the Al doped ZnO coating Ag multilayer thin films on glass without substrate heating using FTS system. The structure of multilayer thin films has Al doped ZnO/Ag/Al doped ZnO(AZO/Ag/AZO). The thickness of top and bottom AZO thin films were fixed to 50 nm, respectively and controlled the thickness of Ag thin films with deposition time. As-doped multilayer thin films were prepared at 1mTorr and input power (DC) of 100W at room temperature. To investigate the film properties, we employed four-point probe, UVNIS spectrometer, X-ray diffractometer (XRD), scanning electron microscopy (SEM), Hall Effect measurement system and Atomic Force Microscope (AFM).
-
기능성 나노소자를 구현할 수 있는 나노 소재로 0차원 구조의 양자점(quantum dot)과 1차원 구조의 양자선 및 나노선(nanorod)이 제안되고 있다. 나노선의 경우 나노스케일의 dimension, 앙자 제한 효과, 탁월한 결정성, self-assembly, internal stress등 기존의 벌크형 소재에서 발견할 수 없는 새로운 기능성이 나타나고 있어서 바이오, 에너지, 구조, 전자, 센서 등의 분야에서 활용되고 있다. 현재 국내외적으로 널리 연구되고 있는 나노선으로는 Si 및 Ge,
$SnO_2$ , SiC, ZnO 등이 있으며 특히, ZnO는 우수한 물리적 전기적 특성과 함께 나노선으로의 합성이 비교적 쉬워 주목받고 있는 재료이다. ZnO의 합성방법으로는 thermal CVD, MOCVD, PLD, wet-chemistry 등 다양한 방법이 사용되고 있다. 특히 MOCVD 법은 수직 정렬된 ZnO 나노막대를 합성하기가 매우 용이하다. 본 실험에서는 자체개발된 MOCVD 장비를 이용한 일차원 ZnO 나노선을 성장하였다. 이러한 ZnO 나노선의 성장은 사파이어 기판과 실리콘 기판 위에서 이루어졌으며 기판의 종류와 격자상수 불일도에 따른 상이한 성장과정을 온도에 따른 나노선 성장에서 관찰할 수 있었다. 사파이어 기판의 경우, 240도의 온도에서는 박막형상을 지닌 ZnO가 온도가 320도 이상으로 상승하면서 나노선으로 변함을 보였고, 실리콘 기판의 경우 380도 이상에서 기울기률 가진 나노선을 관찰하였으며, 420도에서는 나노선을 관찰 할 수 없었다. 또한 PL 장비를 이용한 PL 강도와 성장과정을 연관하여 생각하였을 때, 나노선의 기물기가 PL 강도비과 연관성을 가진다는 것을 측정을 통해 확인하였다. -
In this work, Organic Light Emitting Diodes using Aluminum-Oxynitride as a hole-injecting interfacial have been fabricated. This interfacial layer is inserted at the ITO/N,NV-diphenyl-N, NV-bis(3-methylphenyl)-1,1V-diphenyl-4,4V-diamine (TPD) interface. The brightness and efficiency of the device with the AION film is higher than that of the device without it. The enhancements are attributed to an improved balance of hole and electron injections due to the energy level realignment and the change in carrier tunneling probability by the interfacial layer.
-
We have studied improvement of light leakage of twisted nematic liquid crystal display (TN-LCD) in dark state using the hybrid aligned compensation film made of rod-like LC. When the voltage is applied properly to the TN-LCD, the director tilts up but LC director on the substrate don't tilts up due to surface anchoring energy of the LC cell. Accordingly, LC director from surface to middle LC of TN -LCD become hybrid state such as hybrid aligned film. Consequently, in this paper we achieve wide viewing angle performances of TN mode using developed hybrid aligned film which especially decreases light leakage at vertical direction in dark state.
-
In this paper, we report the characteristics inorganic EL device with high dielectric constant materials of PMN, PZT. Fabricated EL device shows stable light emission even at 20kHz -400Volt without any break down failure. Brightness voltage curve of EL device is same with typical EL. As increasing applied voltage, the brightness increased linearly. From the results of Frequency and duty ratio variation, over 50% of brightness increment was seen. Luminous efficiency was increased upto 200V range and saturated over 200V by slow increasement of light emission. We got e bright stable emission of 1733 cd/m2 at the condition of Frequency 35 KHz, Duty 10%, 400V.
-
Transparent conductive films can serve as a critical component in displays, solar cells, lasers, optical communication devices, and solid state lighting. Carbon nanotube (CNT) based transparent conductive films are fabricated on glass and polymer substrates. CNTs typically exist in form of quasi-crystalline bundles or highly entangled bundles containing tens of individual nanotubes. To achieve full potential, CNTs must be dispersed in a solvent or other organic media. CNTs are acid treated with nitric acid then the stable dispersion of CNTs in polar solvent such as alcohols, DMF, etc. is achieved by sonication. The solubility of CNTs correlates well with the area ratio of the D and G bands from Raman spectrum. Thin films are formed from well dispersed CNT solutions using spray coating method. CNT thin films exhibit a sheet resistance (
$R_s$ ) of nearby$10^3\;{\Omega}/sq$ with a transmittance of around 80% on the visible light range, which is attributed by excellent dispersion and interaction among CNTs, solvents and polymeric binders. -
Han, Jin-Woo;Kim, Young-Hwan;Kim, Byoung-Yong;Han, Jeong-Min;Moon, Hyun-Chan;Park, Kwang-Bum;Seo, Dae-Shik 395
We report on the fabrication of soluble pentacene-based thin-film transistors (TFTs) that consist of$NiO_x$ , poly-vinyl phenol (PVP), and Ni for the source-drain (SID) electrodes, gate dielectric, and gate electrode, respectively. The$NiO_x$ SID electrodes of which the work function is well matched to that of soluble pentacene are deposited on a soluble pentacenechannel by sputter deposited of NiO powder and show a moderately low but still effective transmittance of ~65% in the visible range along with a good sheet resistance of${\sim}40{\Omega}/{\square}$ . The maximum saturation current of our soluble pentacene-based TFT is about$15{\mu}A$ at a gate bias of -40showing a high field effect mobility of$0.06cm^2/Vs$ in the dark, and the on/off current ratio of our TFT is about$10^4$ . It is concluded that jointly adopting$NiO_x$ for the S/D electrodes and PVP for gate dielectric realizes a high-quality soluble pentacene-based TFT. -
Han, Jin-Woo;Kim, Young-Hwan;Kim, Byoung-Yong;Han, Jeong-Min;Moon, Hyun-Chan;Park, Kwang-Bum;Seo, Dae-Shik 396
We investigated the liquid crystal (LC) aligning capabilities and electrooptical characteristics of semi-transparent silver paste electrodes substituting indium tin oxide (ITO) electrodes. Experimental results show that a uniform vertical LC alignment and a large pretilt angle were achieved using the semi-transparent silver paste. The vertical alignment mode based on the semi-transparent silver paste electrodes showed appropriate electro-optical characteristics and a high transparency in comparison with that based on the ITO electrodes. These results indicate that the semi-transparent silver paste electrodes of liquid crystal displays could substitute the ITO electrodes. -
Ok, Chul-Ho;Han, Jin-Woo;Kim, Jong-Yeon;Kim, Byoung-Yong;Han, Jeong-Min;Moon, Hyun-Chan;Park, Kwang-Bum;Seo, Dae-Shik 397
Multilayer transparent electrodes, having a much lower electrical resistance than the widely used transparent conducting oxide electrodes, were prepared by using radio frequency magnetron sputtering. The multilayer structure consisted of five layers, indium tin oxided(ITO)/zinc oxide(ZnO)/Ag/oxide(ZnO)/ITO. With about 50nm thick ITO films, the multilayer showed a high optical transmittance in the visible range of the spectrum and had color neutrality. The electrical and optical properties of ITO/ZnO/Ag/ZnO/ITO multilayer were changed mainly by Ag film properties, which were affected by the deposition process of the upper layer. Especially ZnO layer was improved to adhesion of Ag and ITO. A high quality transparent electrode, having a resistance as low as and a high optical transmittance of 91% at 550nm, was obtained. It could satisfy the requirement for the flexible OLED and LCD. -
Lee, Sang-Keuk;Kim, Young-Hwan;Kim, Byoung-Yong;Han, Jin-Woo;Kang, Dong-Hun;Kim, Jong-Hwan;Seo, Dae-Shik 398
Most recently, the Liquid Crystal (LC) aligning capabilities achieved by ion beam exposure on the diamond-like carbon (DLC) thin film layer have been successfully studied. The DLC thin films have a high mechanical hardness, a high electrical resistance, optical transparency and chemical inertness. Nitrogen doped Diamond Like Carbon (NDLC) thin films exhibit properties similar to those of the DLC films and better thermal stability than the DLC films because C:N bonding in the NDLC film is stronger against thermal stress than C:H bonding in the DLC thin films. Moreover, our research group has already studied ion beam alignment method using the NDLC thin films. The nematic liquid crystal (NLC) alignment effects treated on the SiNx thin film layers using ion beam irradiation for three kinds of N rations was successfully studied for the first time. The SiNx thin film was deposited by plasma-enhanced chemical vapor deposition (PECVD) and used three kinds of N rations. In order to characterize the films, the atomic force microscopy (AFM) image was observed. The good LC aligning capabilities treated on the SiNx thin film with ion beam exposure for all N rations can be achieved. The low pretilt angles for a NLC treated on the SiNx thin film with ion beam irradiation were measure. -
Choi, Kwang-Hyuk;Bae, Jung-Hyeok;Moon, Jong-Min;Jeong, Jin-A;Kim, Han-Ki;Kang, Jae-Wook;Kim, Jang-Joo 399
In this work, we have investigated the characteristics of the phosphorescent OLED and flexible OLED fabricated on IZTO/glass and IZTO/PET anode film grown by magnetron sputtering, respectively. Electrical and optical characteristics of amorphous IZTO/glass anode exhibited similar to commercial ITO anode even though it was deposited at room temperature. In addition, the amorphous IZTO anode showed higher work function than that of the commercial ITO anode after ozone treatment for 10 minutes. Furthermore, a phosphorescent OLED fabricated on amorphous IZTO anode film showed improved current-voltage-luminance characteristics, external quantum efficiency and power efficiency in contrast with phosphorescent OLED fabricated on commercial ITO anode film. This indicates that IZTO anode is promising alternative anode materials for anode in OLEDs and flexible OLEDs. -
The preparation and characteristics of ITO anode films grown using a linear facing target sputtering (FTS) technique for use in organic light emitting diodes (OLED) and flexible OLED is described. The electrical, optical, and work function of the ITO anode, which was prepared by linear FTS at room temperature, were comparable to those of commercial ITO anode films. In particular, linear FTS-grown ITO films shows very smooth surface without defects such as pin hole and cracks due to low substrate temperature. Furthermore OLED with the linear FTS-grown ITO anode film shows comparable electrical and optical properties to those of OLED with the commercial crystalline-ITO anode film. This suggested that linear FTS is promising thin film technology for preparing high quality anode film in OLEDs and flexible OLEDs.
-
We prepared the Al doped ZnO (AZO) thin film on polyethersulfon (PES) without any substrate heating by Facing Targets Sputtering (FTS) system. ZnO doped the content of Al 2 wt% was used and the sputtering conditions were gas pressure 1mTorr and input power 100W. The electrical, structural and optical properties of AZO thin films were investigated. To investigate the as-deposited thin film properties, we employed four-point probe, UV/VIS spectrometer, X-ray diffractometer (XRD), scanning electron microscopy (SEM), Hall Effect measurement system and Atomic Force Microscope (AFM).
-
Han, Won-Suk;Kong, Bo-Hyun;Ahn, Cheol-Hyoun;Kim, Young-Yi;Kim, Dong-Chan;Kang, Si-Woo;Yi, Yu-Jin;Kim, Hyoung-Sub;Cho, Hyung-Koun 405
ZnO는 상온에서 3.38eV의 넓은 밴드갭을 가지는 직접천이형 반도체이며, 60meV의 큰 엑시톤 결합에너지를 가지는 UV 영역의 광소자로 응용할 수 있는 물질이다. 특히 ZnO를 이용한 LED에 대한 연구가 최근 활발히 이루어지고 있다. 그러나 n-ZnO/p-ZnO 동종접합 다이오드는 p-ZnO의 재현성이 없고, 낮은 정공농도를 보이기 때문에 n-ZnO를 기반으로 한 이종접합 다이오드의 개발이 필요하게 되었다. 특히 n-ZnO/p-Si 이종접합 다이오드는 낮은 구동전압과 제조단가가 저렴하다는 장점이 있다 또한 n-ZnO를 스퍼터링을 이용하여 증착할 경우 고온에서 성장함에도 불구하고 케리어 농도 및 이동도가 매우 낮다. 반면 MOCVD 법은 대면적 증착이 가능하고 비교적 낮은 온도에서 박막을 성장할 수 있고 전기적 특성 또한 매우 우수하다. 본 연구에서는 p-Si 기판위에 MOCVD 를 이용하여 n-ZnO를 증착하고, 이를 열처리하여 n-ZnO/p-Si 이종접합 다이오드의 특성 변화를 관찰하고자 하였다. n-ZnO/p-Si 시편을$N_2$ 및$O_2$ 가스 분위기에서 열처리한 후 소자의 광학적, 전기적 특성을 관찰하였다. -
We report the observed peculiar double four lobe textures in CNT dispersed nematic liquid crystal under vertical field. The electro optic studies in a wide range of applied field showed that the double four lobe texture was observed only in the field range of 120 to 160v. This observed peculiar phenomena is explained in terms field induced movement of CNTs and the subsequent reorientation of Liquid crystal molecules.
-
Ferrule function have connect Optical Communication Cable. But Ferrule have important role that is decided transmission efficiency and information quality. Key-point of detailed drawing of ferrule is Anti-Reflection. In the study Broadband Anti-Reflection coating Film was design for ferrule of optical connector and deposited in low temperature by Ion-Assisted Deposition system. Optical thin film materials(
$Ta_2O_5$ ,$SiO_2$ ) were manufactured Index and Film thickness.$Ta_2O_5$ index is 2.123 ~ 2.125 and$SiO_2$ is 1.44 ~ 1.442. Reflection Loss of film deposited on Ferrule is 30.1[dB]. -
광섬유 내에 첨가되어 있는
$GeO_2$ 등의 물질을 얼 확산시켜서 만드는 열확산 코어 광섬유는 열확산 기술에 따른 광섬유의 MFD(Mode Field Diameter)를 국소적으로 확대하여 굴절률 분포를 변화시킨 광섬유로 혹의 비틀림 및 간격에 대한 허용범위가 넓어지게 하여 접속 손실을 감소시킬 수 있다. 본 연구에서는 열확산 코어 광섬유를 제조할 때 안정된 얼확산 문제를 해결하기 위한 방법으로 트윈 토치를 이용한 프레임 브러싱 기법의 안정화된 코어 확장형 광섬유 제조시스템을 제작하였다. 또한 제작된 열확산 코어 광섬유 제조시스템을 이용하여 단일모드 조건을 만족하는 다양한 종류의 열확산 코어 광섬유가 제작됨을 확인할 수 있었다. -
EL (electro luminescent) is generally studied as a large size plane light emitting device and flexible light source because of it's simple manufacturing process. In this experiment, we manufactured flexible white emitting light source using Ni-foil with blue phosphor and color change materials. With increasing the thickness of color change material, the luminance of white emission is increased and the color coordinate of white color was shifted to pure white of (0.317,0.328) by strong emission of color change materials excited by blue excitation spectra. Also the luminance level was 30% higher in white emitting light device than blue only light source.
-
Han, Jin-Woo;Kim, Jong-Yeon;Kim, Byoung-Yong;Han, Jeong-Min;Moon, Hyun-Chan;Park, Kwang-Bum;Seo, Dae-Shik 413
In recent years, flexible display devices such as liquid crystal display (LCD), organic light emitting diode (OLED), etc. have attracted considerable interest in a wide variety of applications. Polymer substrate is absolutely necessary to realize this kind of flexible display devices. Using the polymer as a substrate, there are lots of advantages including not only mechanical flexibility such as rolling and bending characteristics but also light weights, low cost and so on. In detail, thickness and weights is only one forth and one second of glass substrate, respectively. However, it needs low temperature below$150^{\circ}C$ in the fabrication process comparing to conventional deposition process. The polymer substrate is not thermally stable as much as the glass substrate so that some deformation can be occurred according to variation of temperature. In particular, performance of devices can be easily deteriorated by shrinkage of substrate when heating it. In this paper, pre-annealing and deposition of buffer layer was introduced and studied to solve previously mentioned problems of the shrinkage and followed shear stress. -
Kang, Dong-Hoon;Kim, Byoung-Yong;Kim, Young-Hwan;Ok, Chul-Ho;Han, Jeong-Min;Kim, Jong-Hwan;Lee, Sang-Keuk;Seo, Dae-Shik 414
In this study, Liquid Crystal (LC) alignment and tilt angle generation in Nematic Liquid Crystal (NLC) with negative dielectric anisotropy on the homeotropic PI surface with new ion beam exposure are reported. Also. high density of ion beam energy (DuoPIGatron type Ar ion gun) is used in this study. The tilt angle of NLC on the homeotropic Polyimide (PI) surface for all incident angles is measured about 38 degree and this has a stabilization trend. And the good LC alignment of NLC on the PI surface with ion beam exposure of$45^{\circ}$ incident angle was observed. Also the tilt angle of NLC on the homeotropic PI surface with ion beam exposure of$45^{\circ}$ had a tendency to decrease as ion beam energy density increase. The tilt angle could be controlled from verticality to horizontality. Also, the LC aligning capabilities of NLC on the homeotropic PI surface according to ion beam energy has the goodness in case of more than 1500 eV. Finally. the superior LC alignment thermal stability on the homeotropic PI surface with ion beam exposure can be achieved. For OCB(Optically Compensated Bend) mode driving, we can need pretilt angles control for fast response time. In this study, We success pretilt angles control. Consequently, this result can be applied for OCB mode. -
Han, Jeong-Min;Kim, Byoung-Yong;Kang, Dong-Hun;Kim, Young-Hwan;Kim, Jong-Hwan;Lee, Sang-Keuk;Ok, Chul-Ho;Seo, Dae-Shik 415
In this study. it was investigated about optical simulation in direct-type backlight design. Direct-type backlight has been used high-brightness backlight such as LCD television application. The key parameter in designing direct-type backlight was consists of three geometrical dimension such as the distance of two lamps. the gap of lamp and reflection plate and the number of lamps. It has many variation in optical design and it causes the different properties in backlight system. It shows the best values of above parameters; 26mm of the distance of two lamps. 4.5mm of the gap of lamp and reflection plate and 16 lamps. And we produced the specimen as above condition. and acquired good result in backlight such as the value of the brightness is 6436 nit in center of emission area and less than 5% in brightness uniformity. It shows the effective ways of designing backlight system using optical simulation method. -
We prepared flexible transparent conducting electrodes by spray coating of single-walled carbon nanotube (SWNT) networks on PET substrate and have demonstrated their use as transparent anodes for flexible organic light emitting diodes (OLEDs). The flexible CNT electrode produced by spray coating method shows relatively low sheet resistance (
$150{\sim}220{\Omega}/sq.$ ) and high transmittance of ~60% even though it was prepared at room temperature. In addition, CNT electrode/PET sample exhibits little resistance change during 2000 bending cycles, demonstrated good mechanical robustness. Using transparent CNT electrode, it is readily possible to achieve performances comparable to commercial ITO-based OLEDs. This indicates that flexible CNT electrode is alternative anode materials for conventional ITO anode in flexible OLEDs. -
Effects of Fe catalyst film and carbon nanotube (CNT) growth temperature on the characteristics of carbon nanotube were investigated in thermal chemical vapor deposition (CVD) process. Fe catalyst was prepared by DC magnetron sputter with thickness of 5-40 nm and pre-treated with ammonia gas. CNTs were grown at
$700-900^{\circ}C$ . It was found that the island formation of catalyst is necessary for the CNT growth. The diameter of these CNTs shows a strong correlation with the catalyst film thickness and growth temperature. -
Choi, Mi-Gyung;Park, Ji-Woong;Kim, Joo-Hui;Min, Hae-Jung;Heo, Han-Na;Kim, Dong-Chan;Kong, Bo-Hyun;Cho, Hyung-Koun 420
ZnO 나노막대는 산화물 반도체로서 넓은 밴드캡 (3.37eV)을 가진 반도체이며, 테라급의 전계 효과 트랜지스터(FET), 대기오염물질 모니터링 센서, 태앙전지용 전극, UV 발광소자, 전계방출 디스플레이의 팀 등 나노기술 전반에 활용해 최근 각광을 받고 있는 물질이다. 최근 디바이스 응용의 효율을 높이기 위한 방편으로 나노막대에서 박막으로의 연구가 활발하다. 본 실험은 MOCVD률 이용하여 p-si 기판위에 나노막대를 성장시킨 후 압력 및 온도 등의 공정변수를 조절하여 나노막대에서 박막으로 성장형태를 변화시켰다. SEM으로 1 차원 나노막대에서 2차원의 나노박막으로 성장이 된 ZnO 하이브리드 구조를 확인할 수 있었다. 또, PL장비를 이용해 ZnO의 UV영역의 파장을 확인할 수 있었다. -
The fringe electric field driven transflective liquid crystal display with dual orientation has a problem that the voltage-dependent transmittance and reflectance curves do not match each other, requiring a dual driving circuit to achieve a high electro-optic performance. Optimizations of the electrode structure in the array substrate and rubbing direction solve this problem so that the transflective display with a single gap and a single gamma curve for reflective and transmissive region is possible.
-
In this study, the effects of annealing conditions on the structural and optical properties of ZnO films were investigated. ZnO oxide (ZnO) films were deposited onto
$SiO_2$ /Si substrates by RF magnetron sputtering from a ZnO target. The substrate was not heated during deposition. ZnO films were annealed in temperature ranges of$500{\sim}650^{\circ}C$ in the$O_2$ flow for 5 ~ 20 min. The film average thicknesses were in the range of 291 nm. The surface morphologies and structures of the samples were characterized by SEM and XRD, respectively. The optical properties were evaluated by PL measurement at room temperature using a He-Cd 325 nm laser. According to the results, the optimal annealing conditions for the best photoluminescence (PL) characteristics were found to be oxygen fraction, ($O_2/O_2+Ar$ ) of 20%, RF power of 240W, substrate temperature of RT (room temperature), annealing condition of$600^{\circ}C$ for 20 min, and sputtering pressure of 20 mTorr. The obtained wavelength of light emission was found at 379 nm (ultraviolet-UV region). However, the optimal parameters for the best PL characteristics of ZnO thin films were not consistent with those obtained from the (002) intensities of XRD analyses. As a result, XRD pattern was not considered as the key issue concerning the intensity of PL of ZnO thin film. The intensity of the emitted UV light will correspond to the grain size of ZnO film. -
Anti-Reflection and High-reflection coating on the facet of semiconductor laser diode. To prevent internal feedback from both facets for realizing super luminescent diode and reducing the reflection-induced intensity noise of laser diode. Anti-Reflection coating Film was designed by Macleod Simulator. Coating Materials were decided
$Ti_3O_5$ and$SiO_2$ . Thickness of Coating layer$Ti_3O_5/SiO_2$ were 105[nm], 165[nm]. In the study Anti-Reflection coating Film was design for Laser diode and deposited by Ion-Assisted Deposition system. Then manufactured thin film measured electrical properties(L-I-V, Se, Resistor) and Optical properties(wavelength FFP). Slop-efficiency and FFP characteristic is 0.302[W/A],$22.3^{\circ}$ (Horizontal),$24.4^{\circ}$ (Vertical). -
We report the field emission characteristics of transparent single-walled carbon nanotube (SWNT) film printed using an inkjet. Pure SWNTs dispersed in dimethylformamide were printed in a transparent layer on indium-tin oxide-coated glass and annealed at
$350^{\circ}C$ . After taping treatment, SWNTs were oriented vertically on the substrate. The front and the back of the fabricated device produced simultaneous emissions of identical quality. In addition, inkjet printing directly achieved a patterned emission, without a secondary pattern process. This method allows simple fabrication using only SWNTs, without the use of other additives. -
The global applications of aspherics surfaces will expand rapidly on the electronics, optical components, communications, aerospace, defense, and medical optics devices etc. Especially, Asymmetric aspheric prism lens is one of the important parts in HMD(Head Mounted Display) because it affects dominantly on the optical performance of HMD. The mold core is the most important device to produce the plastic lenses by injection molding method. In this study, the mold cores for asymmetric aspheric prism lens were processed using fly-cutting method which is kind of the ultra precision processing and form accuracy and surface roughness of the cores were measured.
-
Kim, Byoung-Yong;Kim, Jong-Yeon;Kim, Young-Hwan;Lee, Sang-Keuk;Kim, Jong-Hwan;Han, Jeong-Min;Ok, Chul-Ho;Seo, Dae-Shik 428
In this study, It was studied about the luminance characteristics of 17inch direct-type back light using EEFL(External Electrode Fluorescent Lamp). EEFI has a long life time because the electrode is installed outside of lamp. And it is produced low price than conventional CCFL. It does not need process of installing internal electrode. But EEFL technology has several problems such as difficulty of design driving inverter, and prevents leckage current along the skin of lamps. Therefore, by the optimizing of inverter properties, 7525 nit center luminance was acquired in almost same power consumption condition. It was almost same luminance in CCFL backlight unit. And it was operated stably in low operating temperature such as the value of$40^{\circ}C$ , so that it was adopted in conventional LCD-TV application. -
Study on Luminance Characteristics in a Design of LED Backlight by the Light-Entering Face TreatmentHan, Jeong-Min;Kim, Byoung-Yong;Kim, Jong-Yeon;Kim, Jong-Hwan;Kim, Young-Hwan;Lee, Sang-Keuk;Ok, Chul-Ho;Kang, Dong-Hun;Seo, Dae-Shik 429
In this study, it was investigated about the variation of luminance properties in LED backlight with geometrical shape such as prism or lens shape on the light-entering face. Generally, LED light source has the loss in backlight system because it has horizontal direction light. PMMA(Poly-Methyl-Meta-Acrylate) is used LGP(Light-Guide-Plate) in conventional backlight system. PMMA has low refraction angle. so that. horizontal direction light was not used in backlight system. The addition of geometrical shape in light-entering face in LGP improves the luminance characteristics because it prevents horizontal direction light generation in light-entering-face. Prism or lens shape in same pitch disposition was almost same without these treatment such as the value of 1% rising. Besides. It is particular that the gap of these shape such as the value of 0.407~0.22mm prevents horizontal direction light, so then it contributes luminance rising at 5.6%. -
Kang, Dong-Hoon;Kim, Byoung-Yong;Kim, Jong-Yeon;Kim, Young-Hwan;Kim, Jong-Hwan;Han, Jeong-Min;Ok, Chul-Ho;Lee, Sang-Keuk;Seo, Dae-Shik 430
In this study, the nematic liquid crystal (NLC) alignment effects treated on the ZnO thin film layers using ion beam irradiation were successfully studied for the first time. The ZnO thin films were deposited on indium-tin-oxide (ITO) coated glass substrates by rf-sputter and The ZnO thin films were deposited at the three kinds of rf power. The used DuoPIGatron type ion beam system, which can be advantageous in a large area with high density plasma generation. The ion beam parameters were as follows: energy of 1800 eV, exposure time of 1 min and ion beam current of$4\;mA/cm^2$ at exposure angles of$15^{\circ}$ ,$30^{\circ}$ ,$45^{\circ}$ , and$60^{\circ}$ . The homogeneous and homeotropic LC aligning capabilities treated on the ZnO thin film surface with ion beam exposure of$45^{\circ}$ for 1 min can be achieved. The low pretilt angle for a NLC treated on the ZnO thin film surface with ion beam irradiation for all incident angles was measured. The good LC alignment treated on the ZnO thin film with ion beam exposure at rf power of 150 W can be measure. For identifying surfaces topography of the ZnO thin films, atomic force microscopy (AFM) was introduced. After ion beam irradiation, test samples were fabricated in an anti-parallel configuration with a cell gap of$60{\mu}m$ . -
In this study, we used screen printing on the rear glass with silver electrodes, phosphor and a dielectric which is on the silver electrodes, and carried out firing in the temperature of
$550^{\circ}C$ ,$570^{\circ}C$ ,$450^{\circ}C$ each. To seal the rear and top glass together, we used crystalline frit paste as a sealing material with dispenser and carried out firing up to$450^{\circ}C$ . As using this panel, we focused on optimizing the condition which influences characteristics of discharging by the distance between electrodes, electrode structure, type and pressure of gases for FFL. -
We prepared ZnO thin films coating Ag on glass substrates at room temperature by using facing targets sputtering (FTS) method. ZnO thin films were deposited with same conditions. Ag with various thickness of thin films were used as intermediate layers. The electrical, optical and crystallographic properties of thin films were investigated by Four-Point probe, UV/VIS spectrometer and XRD. From the results, we could confirm that the thickness of Ag layer changes the electrical and optical performances of the multilayers.
-
In general, Single gap transflective FFS display has an in-cell retarder (ICR) between reflective electrode and liquid crystal (LC) layer. Therefore, Operating voltage is highly increased due to this thick dielectric material. But, we also knew the phenomenon that the increasing rate of Vop is different whether the 1st common electrode was composed of plate type or slit type. In this paper, the common electrode in transmissive part was composed of slit type which had less steepness effect of the V op and in reflective part was composed of plate type. The rubbing angle of reflective part can be adjusted properly to match the voltage dependent transmittance and reflectance.
-
A Iridium complexes has been synthesised from the reaction of [
$lr(ppy)_2Cl_2$ ] with 5-bromo-2.2'-bipyridine, 5-Ethynyl-2.2'-bipyridine Characterization of the complexes were by FT-IR,$^1H(^{13}C)$ -NMR and photo-, electro-chemistry properties were showed by UV-vis, Cyclicvoltammetry, Photoluminescence. -
Shin, Eun-Chul;An, Hui-Chul;Lee, Ho-Sik;Song, Min-Jong;Lee, Won-Jae;Han, Wone-Keun;Kim, Tae-Wan 439
To enhance the electron injection from the cathode of organic light-emitting diodes (OLEDs), We have studied characteristics of device that electron injection layer(EIL) is inserted between emissive layer and cathode. We fabricated bi-layer cathode$Li_2O$ (x nm)/Al(100nm) and LiF(x nm)/Al(100nm) using LiF and$Li_2O$ as an electron injection layer. We analyzed the current efficiency, luminance efficiency, and external quantum efficiency of the device by varying the thickness of$Li_2O$ and LiF to be 0.5nm, 1nm, or 3nm. Using the EIL, we have obtained the efficiency of 7cd/A and the luminance of$20,000cd/m^2$ . There is an improvement of efficiency by more than 3 times than the device without the$Li_2O$ layer. -
As Rhenium-Iridium{Re-Ir) coating possesses such features as, high hardness, high elasticity, abrasion resistance and chemical stability, there have been exerted continuous efforts in research works in a variety of fields, and this technology has also been applied widely to industrial areas. In this research, the optimal grinding condition was identified using Microlens Process Machine in order to contribute to the development of aspheric glass lens for mobile phone module having 3 mega pixel and 2.5X zoom, and molding core(WC) was manufactured having performed ultra-precision machining. Effects of Re-Ir coating on form accuracy (P-V) of molding core and surface roughness(Ra) were measured and evaluated.
-
We have studied new hole blocking layer for effective organic light emitting diodes. The device having a structure of ITO/2TNATA/
$\alpha$ -NPD/DPVBi : Rubrene(0.7%)/DPVBi/New Hole Blocking layer or BCP/$Alq_3$ /LiF/Al has been used. The driving voltage of OLED with BCP(2,9-dimethyl-4,7-diphenyl-1,10-phenanthroline) or New HBL were 5.5V and 4.4V, respectively. As a result, new HBL rather than BCP has a good hole blocking ability. -
Aluminum-doped zinc oxide (AZO) films are attractive materials as transparent conductive electrode because they are inexpensive, nontoxic and abundant element compared with indium tin oxide (ITO). AZO films have been deposited on glass (coming 1737) substrates by RF magnetron sputtering system. An ultrathin layer of nickel oxide (NiO) was deposited on the AZO anode to enhance the hole injections in organic light-emitting diodes (OLED). The current density-voltage and luminescence-voltage properties of devices were studied and compared with ITO device.
-
Aluminisum-doped zinc oxide (AZO) films are attractive materials as transparent conductive electrode because they are inexpensive, nontoxic and abundant element compared with indium tin oxide (ITO). In our paper, AZO films have been deposited on glass (coming 1737) substrates by RF magnetron sputtering. The AZO film was post-annealed at
$600^{\circ}C$ ,$800^{\circ}C$ for 2 hr with$N_2$ atmosphere, respectively. We investigated that the electric properties and qualitative analysis of AZO films, which measured using the methods of Hall effect, X-ray photoelectron spectroscopy (XPS). -
Kim, Young-Hwan;Kim, Jong-Yeon;Kim, Byoung-Yong;Han, Jeong-Min;Moon, Hyun-Chan;Park, Kwang-Bum;Seo, Dae-Shik 448
OLED has many advantages of low voltage operation, self radiation, light weight, thin thickness, wide view angle and fast response time to overcome existing liquid crystal display (LCD)'s weakness. Therefore, It draws attention as promising display and has already developed for manufactured goods. Also, OLED is regarded as a only substitute of flexible display with a thin display. However, Indium tin oxide(ITO) thin film for electrode of OLED shows a low electrical properties and is impossible to deposit at high thermal condition because electrical characteristics of ITO is getting worse. One of the ways to realize an improved flexible OLED is to use high internal efficiency electrodes, which have higher work function than those single layer of ITO films of the same thickness. The high internal efficiency electrodes film is developed with structure of nickel oxide for bottom Emission Type of OLED. -
Kim, Byoung-Yong;Han, Jin-Woo;Kim, Jong-Yeon;Han, Jeong-Min;Moon, Hyun-Chan;Park, Kwang-Bum;Seo, Oae-Shik 449
OLED has many advantages of low voltage operation, self radiation, light weight, thin thickness, wide view angle and fast response time to overcome existing liquid crystal display (LCD)'s weakness. Therefore, It draws attention as promising display and has already developed for manufactured goods. Also, OLED is regarded as a only substitute of flexible display with a thin display. A considerable portion of the light originating film emissive centers buried in a solid film never escapes due to internal reflection at the air-film interface and is scattered as edge emission or dissipated within the solid film This is one of the major reasons why the luminous power efficiency of OLED remains low, in spite of research progress in OLED. Although several ways of overcoming this difficulty have been reported, no comprehensive method has been proposed yet. In this paper, we propose that use of anti-reflective coating layers. -
Indium tin oxide (ITO), which is used as an electrode in organic thin film transistors (OTFT), was modified with a self-assembled monolayer (SAM) by wet chemical surface modification. The surface of the ITO was treated by dipping method in a solution of 2-chloroethane phosphonic acid (2-CEPA) at room temperature. The work function in the ITO which was modified with the SAM in the 2-CEPA had 5.43eV. A surface energy and a transmittance were unchanged in an error range. On this study, therefore, possibility of ohmic contact is showed in the interface between the ITO and the organic semiconductors. These results suggest that the treatment of the ITO with the SAM can greatly enhance the performance of the OTFT.
-
Drift velocities for electrons in
$SF_6$ -Ar mixtures gas by simulation has been analysed over the EIN range 30~300[Td] by a two term Boltzmann equation and by a Monte Carlo Simulation using a set of electron cross sections determined by other authors, experimentally the electron swarm parameters for 0.2[%] and 0.5[%]$SF_6$ -Ar mixtures were measured by time-of-flight (TOF) method. The results show that the deduced electron drift velocities, the electron ionization or attachment coefficients. longitudinal and transverse diffusion coefficients and mean energy agree reasonably well with theoretical for a rang of E/N values. -
Electrodeless fluorescent lamp exhibit two modes of operation: a low density mode in which the power is capacitively coupled to the plasma and which is known as the E-mode, and a higher density mode which is an inductive discharge known as the H-mode. The transition between these two(E to H) mode exhibits hysteresis. It is observed that transition currents change at different frequencies and hysteresis exists not only between the starting and minimum maintaining currents of the electromagnetic mode (H mode) discharge but also between the starting and minimum maintaining currents of the electrostatic mode (E mode) discharge. Hysteresis effect can be important role in dimming system.
-
송전용 자기 애자에 대하여 산물화염 특성과 송전선로의 환경 검토를 토대로 송전용 절연물에 대한 산불화염 열화특성을 1)내열충격 열화와 2) 열충격 싸이클 열화로 나누어 가속시킨 후 절연물의 성능을 시험 평가하였다. 또한 승전용 자기애자 (254mm, 36,000lbs)를 대상으로 실제 삼불열화 조건을 근간으로 기계적, 열적 환경을 고려한 자기애자의의 수축, 팽창 변위에 따른 계면의 응력거동을 열충격 및 내열충격 시험의 결과와 비교 분석하였다.
-
ATCB의 구조 중 아크 소호부는 공기 중에 노출된 금속(그리드)이 일정한 간격을 두고 적층되어 있는 모양을 하고 있다. 아크 소호부의 주기능은 아크를 소호실 안쪽으로 유도하여(기중 차단방식) 여러 개의 짧은 아크로 분할시키며 아크를 냉각, 소멸시켜 전류 차단을 빠르게 하는 것이다. 아크를 구동시키는 소호살의 형상과 구조에 따라 아크의 구동력은 많은 차이를 보이게 된다. 여기서는 신개념의 자동절체 스위치인 ATCB(Automatic Transfer Circuit Breaker)의 그리드의 구조에 따라 아크 구동력을 비교하여 아크 소호부의 최적 형상과 절연구조를 설계, 모델링하였다.
-
This paper describes the characteristics of lightning impulse current of ZnO block for transmission line arrester with external gap. The ageing parameters of lightning arresters are impulse current, moisture ingress, temperature ageing and so on. Especially it is important to estimate the change of electrical characteristics by impulse current. Total energy applied to the ZnO arrester each time is
$4/10{\mu}s$ , 30kA and$2/20{\mu}s$ , 10kA impulse current. Before and After the test, the residual voltage variation of varistors passed was below 5%. According to the test, it is thought that the ZnO arrester shows good stability with impulse current test. -
In this paper, we studied the properties of a cable insulate capacity between surfaces with the variation of the interfacial breakdown. As a function of silicon oil, the variation of pressure and interfacial roughness were investigated. The insulate trouble of a power cable is out of the interfacial parts, which breakdown the insulate breakdown capacity in a power cable. In this study, the analysis of electric field and the phenomenon of interfacial breakdown were improved by increased interfacial pressure, decreased surface roughness, and oil. And It was shown that interfacial breakdown LSR-XLPE insulators is higher that of EPDM-XLPE.
-
SF6 widely used as insulating gas is rising as the environment problem. For decreasing this greenhouse gas, electrical breakdown characteristics of composite insulation composed of epoxy resins with N2, air are studied in non-uniform field. The gap of needle to plane was 3mm, 5mm. The pressure of air, nitrogen was varied within the range of 0.1~0.6MPa. The thickness of a needle is 1mm and the curvature radius of the end of needle is 100um. The diameter of a plane made of the stainless steel is 50mm. As a result of the experiment, the breakdown voltage is increased about 3 times when epoxy resins is composited. The thickness of epoxy resins filled opposite to electrode concentrated electric field weakly influences on breakdown voltage.
-
Solid insulation exposed to voltage is degraded by electrical tree process. And the degradation of the insulation is accelerated by voltage application. For this experimental, specimen of electrical tree model is made by XLPE (cross-linked polyethylene). And the size of the specimen is
$7*5*7\;mm^3$ . Distance of needle and plane is 2 mm. Voltages applied for acceleration test are 12 kV to 15 kV. And distribution characteristic of degraded stage is studied too. As a PD detecting and data process, discharge data acquire from PD detecting system (Biddle instrument). The system presents statistical distribution as phase resolved. Moreover the processing time of electrical tree is recorded to know the speed of degradation according to voltage. -
고주파 전자계에서 플라즈마 가열을 하기 위하여 긴 파장의 펄스를 발생시키는 것이 중요한 데 마이크로파의 발진을 안정시키기 위하여 테이퍼형 지파 도파관을 연계하여 분산관계를 연구하였다.
-
In this paper, the electron transport characteristics in $CF_4$ has been analysed over the E/N range 1~300[Td] by a two-tenn approximation Boltzmann equation method and by a Monte Carlo simulation. The motion has been calculated to give swarm parameters for the electron drift velocity, longitudinal diffusion coefficient, the ratio of the diffusion coefficient to the mobility, electron ionization and attachment coefficients, effective ionization coefficient, mean energy, collision frequency and the electron energy distribution function. The swarm parameter from the swarm study are expected to serve as a critical test of current theories of low energy electron scattering by atoms and molecules, in particular, as well as crucial information for quantitative simulations of weakly ionized plasmas.
-
환경친화적 가스절연기기의 개발을 위해
$SF_6/N_2$ 와 완충기체와의 혼합가스에 관한 연구가 주목받고 있다. 본 논문에서는 GIS 설계에 있어 기초가 되는 준평등전계 하에서의 가스 절연특성을 검토하기 위하여 순 SF6, N2, CO2 이들이 혼합된 2종 및 3종 혼합가스에 대해 가스압력 0.6MPa 이하에서 상용교류전압을 인가하여 실험에 의해 그 부분방전특성과 절연특성을 조사하였다. 특히 실용 전력기기의 경우 금속이물질 등의 혼입에 의해 기기 내에서 불평동전계가 형성되어 부분방전을 거쳐 절연파괴에 이르는 가능성이 있으므로 본 연구에서는 불평등전계하의 절연특성을 검토하였다. 실험 결과 SF6/N2 2종 혼합 가스에 비하여 SF6/N2/CO2 3종 혼합 가스의 교류 절연 특성이 향상됨을 확인하였으며 이상의 결과로부터 교류 절연 파괴 특성만을 고려하였을 경우 SF6/N2/CO2 3종 혼합 가스가 SF6 대체 혼합가스로써 타당성을 확인하였다. -
Kim, Jin-Sa;Cho, Choon-Nam;Oh, Yong-Cheul;Shin, Cheol-Gi;Song, Min-Jong;So, Byeong-Mun;Choi, Woon-Shick;Kim, Chung-Hyeok 472
The$(Sr_{1-x}Ca_x)TiO_3$ (ST) thin films are deposited on Pt-coated electrode(Pt/TiN/$SiO_2$ /Si) using RF sputtering method with substitutional contents of Ca. The maximum grain of thin films is obtained by substitution of Ca at 15[mol%]. Also, the composition of ST thin films were closed to stoichiometry(1.081~1.117 in A/B ratio). The dielectric constant changes almost linearly in temperature ranges of -80~+90[$^{\circ}C$ ]. The current-voltage characteristics of ST15 thin films showed the increasing leakage current as the measuring temperature increases. -
The
$Sr_{0.8}Bi_{2.2}Ta_2O_9$ (SBT) thin films are deposited on Pt-coated electrode(Pt/$TiO_2/SiO_2$ /Si) using RF magnetron sputtering method. The aging properties of SBT capacitor with top electrodes represents a favorable properties in Pt electrode. The dielectric constant and leakage current density with Pt electrode is 340 and$6.81{\times}10^{-10}\;A/cm^2$ respectively. The maximum remanent polarization and the coercive electric field with Pt electrode are$12.40{\mu}C/cm^2$ and 30kV/cm respectively. -
The development of real-time monitoring technology provides reliable, timely information of railway maintenance and play a important role in determining the conditions of railway electrification system. Image motoring and wireless communication systems are currently used as remote transducer for real-time monitering. In this paper, we describe a real-time monitoring technology of railway electrification system based on the concept of high-voltage application, using the image processing and telemetry system methodology. the system and its performance for especially with regard to real-time monitering and railway electrification maintenance.
-
Na, Hae-Kyung;Park, Young;Cho, Yong-Hyeon;Park, Hyun-Jun;Choi, Won-Seok;Kim, Eung-Kwon;Song, Joon-Tae 478
With increasing interest in the reduction of cost for operation and maintenance of overhead catenary system, various methods of condition monitoring have been developed. In this paper, we proposed network-based telemetry system for condition monitoring in railway and acquired data from any kind of sensor in catenary line and structure at high voltage. This development gives the solution for online condition monitoring in railway. To check the validity of our approach in terms of application, we realized network-based telemetry System. -
This paper performed the basic study for developing the light therapy equipment for medical treatment. We developed the equipment for medical therapy using a high brightness LED. This equipment was fabricated using a micro-controller and a high brightness LED, and designed to enable us to control light irradiation time, intensity, frequency and so on. Especially, to control the light irradiation frequency, and to control the change of output value, TLC5941 was used. Control stage is divided into 4 step by program. Consequently, the current value could be controlled by the change of level in Continue Wave(CW) and the output of a high brightness LED could be controlled, stage by stage.
-
Yang, Sun-Hye;Kim, Ick-Jun;Jeon, Min-Je;Moon, Seong-In;Kim, Hyun-Soo;An, Kye-Hyeok;Lee, Yun-Pyo;Lee, Young-Hee 481
This work describes the effect of the number of roll pressing and the composition of carbon black on the electric and mechanical properties of carbon-PTFE electrode, in which composition is MSP 20 : carbon black: PTFE = 95-x : x : 5 wt.%. It was found that the best electric and mechanical properties were obtained in sheet electrode roll-pressed for about 15 times and in sheet electrode, in which composition is MSP 20 : carbon black: PTFE = 80 : 15 : 5 wt.%. These behaviors could be explained by the network structure of PTFE fibrils and conducting paths linked with carbon blacks, respectively. On the other hand, cell capacitor using the sheet electrode with 15 wt.% of carbon black attached on aluminum current collector with the electric conductive adhesive, in composition is carbon black : CMC = 70 : 30 wt.%, has exhibited the best rate capability in the current range of$0.5mA/cm^2$ $100mA/cm^2$ and the lowest equivalent series resistance. -
For measurement of dielectric constants, the commercial parallel plate electrodes system with guard-ring electrode have been widely used up to now. The capacitance derived from the parallel plate electrodes capacitor with guard-ring electrode is calculated by the equation of (
$C={\epsilon}\;{\cdot}\;\frac{area\;of\;electrod}{distance\;between\;electrodes}$ ). Therefore, in parallel plate electrode capacitor, the diameter of the guarded electrode, the gap size between guarded electrode and guard ring, and distance between two active electrode should be measured precisely to calculate dielectric constants from the measured capacitance. Consequently their mechanical measurement uncertainties are directly contributed. Especially the air-gap between the electrodes and dielectric specimen at the system must be existed and the measurement error derived from the air-gap is impossible to evaluate as measurement uncertainties. In this study, we analyze the uncertainty of the commercial dielectric constant test cell using 3 kinds CRMs. -
BiSrCaCuO superconducting thin films have been fabricated by co-deposition using the faraday cup. Despite setting the composition of thin film Bi2212, Bi(2201, 2212, 2223) phase were appeared. It was confirmed the obtained field of stabilizing phase was represented in the diagonal direction of the right below end in the Arrhenius plot of temperature of the substrate and
$PO_3$ , and it was distributed in the rezone. -
$Bi_2Sr_2Ca_{n-1}Cu_nO_x$ (n=0, 1, 2) thin films have been fabricated by co-deposition at an ultra-low growth rate using ion beam sputtering(IBS) method. The growth rates of the films was set in the region from 0.17 to 0.27 nm/min. MgO(100) was used as a substrate. In order to appreciate stable existing region of Bi 2212 phase with temperature and ozone pressure, the substrate temperature was between 655 and$820^{\circ}C$ and the highly condensed ozone gas pressure in vacuum chamber was varied between$2{\times}10^{-6}{\sim}4{\times}10^{-5}$ Torr. Bi 2212 phase appeared in the temperature range of 750 and$795^{\circ}C$ and single phase of Bi 2201 existed in the lower region than$785^{\circ}C$ . Whereas,$PO_3$ dependance on structural formation was scarcely observed regardless of the pressure variation. -
Organic field-effect transistors (OFETs) are of interest for use in widely area electronic applications. We fabricated a copper phthalocyanine (CuPc) based field-effect transistor with different substrate temperature. The CuPc FET device was made a top-contact type and the substrate temperature was room temperature and
$150^{\circ}C$ . The CuPc thickness was 40nm, and the channel length was$50{\mu}m$ , channel width was 3mm. We observed a typical current-voltage (I-V) characteristics in CuPc FET. -
We have investigated dielectric dispersion and absorption in organic light-emitting diodes using 8-hydroxyquinoline aluminum(
$Alq_3$ ) as an electron transport and emissive material. We analyzed the dielectric dispersion and absorption of organic light emitting diodes using impedance characteristics measurement by the auto-balancing bridge technique of ITO/$Alq_3$ /Al. Impedance characteristics was measured complex impedance Z and phase e in the frequency range of 40Hz to$10^8Hz$ . We obtained dielectric constant and loss tangent (tan$\delta$ ) of the device. From these analyses, we are able to interpret a dielectric dispersion and dielectric absorption contributed by an interfacial and orientational polarization. -
We have investigated Electrical Properties of Organic Light-Emitting Diode depending on Varied Temperature using 8-hydroxyquinoline aluminum(
$Alq_3$ ) as an electron transport and emissive material. We analyzed the electrical properties of organic light emitting diodes by impedance characteristics of ITO/$Alq_3$ /Al. Impedance characteristics was measured complex impedance Z and phase e in the frequency range of 40 Hz to$10^7\;Hz$ . From these analyses, we are able to interpret electrical Properties of OLED depending on temperature. -
As a pocket size electronic device have developed and electronic parts is increased, it need dual face soldering using SMT(Surface Mount Technology) and it can be getting high density soldering. Inductor is one of their electronic parts using SMT and inductor was developed to make electromagnetic energy. In this study, it is analyzed temperature properties of surface mount type Inductor due to current injection which is satisfied the demand of utmost small size and the substance of high density simultaneously.
-
Low level laser therapy has various therapy effects. This paper performed the basic study for fabricating the low level laser therapy apparatus, and one of the goals of this paper was to make this apparatus used handily. The apparatus has been fabricated using the laser diode and microprocessor unit. The apparatus used a 7850m Laser diode for blood stream improvement and was designed for a pulse width modulation type to increase stimulation effects. In result, we could get the light power of 785nm wavelength.
-
활성탄소를 양쪽 전극에 사용하는 전기이중층 커패시터는 고출력 특성과 반영구적인 cycle 수명인 장점을 가지고 있는 반면, 단위 중랑 또는 부피 당 용량이 작아 메모리 백업용 보조전원으로서의 활용에 그치고 있다. 이를 보완하기 위하여 최근에는 앙쪽의 전극에 충방전 메카니즘을 달리하는 비대칭 전극 설계기술을 기반으로 하는 하이브리드 커패시터가 개발되었고, 에너지밀도로서는 유기계 전해액에서 약 15-20 Wh/kg를 가지는 것으로 보고되고 있다. 본 연구메서는 양극의 활성탄소에 비용량이 상대적으로 큰 LiCo02 분말을 혼합한 하이브리드 전극의 제조 및 전기화학적 특성을 조사하였다. 이때
$LiCoO_2$ 분말의 혼합 종량비의 영향에 의한 전극 부피 당 용량(mAh/cc)의 변화와$LiCoO_2$ 분말의 입자 크기에 의한 하이브리드 전극의 출력 특성을 조사하였다.$LiCoO_2$ 분말은 불밀을 이용하여 입자크기를 조절하였고, 각각의 입자크기를 가지는 LiCoO2 분말을 활성탄소와 함께 혼합하여 혼합 활물질 : Carbon black : PTFE의 중량비가 90 : 5 : 5가 되도록 sheet 전극을 제조하였다. 제조한 전극을 양극에, Li foil을 음극에, 전해액을 LiPF6 in EC DMC를 사용하여 코인셀을 제조하고 전기화학적 특성은 MACCOR 충방전기를, AC 저항은 AC impedance를 각각 사용하여 평가하였다. 활성탄소에$LiCoO_2$ 분말의 첨가 중량비가 증가할수록 전극 부피 당 용량은 증가하였으나, 원료 상태의$LiCoO_2$ 분말의 첨가에서는 코인셀의 전극 저항은 첨가 중량에 따라 단순 증가하였다. 그러나 미세$LiCoO_2$ 분말을 첨가할 경우, 20%의 첨가에서 전극 저항은 활성탄소 만을 사용한 전극과 동등한 전극저항을 나타내고 충방전 cycle 특성도 개선되는 것을 확인하였다. -
금속 비저항의 측정방법은 4단자 방법을 비롯한 van der Pauw 방법, Four-Point Probe(FPP) 방법, eddy current 방법 등이 사용되고 있으며, 시료의 혈상과 크기에 따라서 그 측정방법은 각각 다르다. 본 연구에서는 그 중 4단자 방법에 의한 정밀측정방법과 측정불확도 평가에 관하여 고찰하였다. 4단자 방법은 시료가 바(bar)나 봉(rod) 형상이면 측정이 가능하며, 시료의 정밀가공과 측정기술을 통하여 측정 불확도를 줄일 수 있다.
-
The method of maintenance in railway is going to be changed to improve reliability on traction equipment. Partial discharge (PD) tests are useful to evaluate the insulation condition of stator winding. This paper describes the use of PD tests with 5 model coils which have the defects such as internal, slot discharge. The PD tests are performed with epoxy-mica coupler 500 pF at various AC voltages. The result of test shows PD pattern can correlate with the species of defects on 5 model coils.
-
Kim, Yong-Sik;Seo, Shang-Hoon;Lee, Ro-Woon;Kim, Tae-Hoon;Park, Jae-Chan;Kim, Tae-Gu;Jeong, Kyoung-Jin;Yun, Kwan-Soo;Park, Sung-Jun;Joung, Jae-Woo 502
Current thin film process using memory device fabrication process use expensive processes such as manufacturing of photo mask, coating of photo resist, exposure, development, and etching. However, direct printing technology has the merits about simple and cost effective processes because inks are directly injective without mask. And also, this technology has the advantage about fabrication of fine pattern line on various substrates such as PCB, FCPB, glass, polymer and so on. In this work, we have fabricated the fine and thick metal pattern line for the electronic circuit board using metal ink contains Ag nano-particles. Metal lines are fabricated by two types of printing methods. One is a conventional printing method which is able to quick fabrication of fine pattern line, but has various difficulties about thick and high resolution DPI(Dot per Inch) pattern lines because of bulge and piling up phenomenon. Another(Second) methods is sequential printing method which has a various merits of fabrication for fine, thick and high resolution pattern lines without bulge. In this work, conductivities of metal pattern line are investigated with respect to printing methods and pattern thickness. As a result, conductivity of thick pattern is about several un. -
$Bi_2Sr_2Ca_{n-1}Cu_nO_x$ (n=0, 1, 2)superconducting thin films have been fabricated by atomic layer-by-layer deposition at an ultra low growth rate using IBS(Ion Beam Sputtering) method. During the deposition, 90 mol% ozone gas of typical pressure of$1{\sim}9{\times}10^{-5}$ Torr are supplied with ultraviolet light irradiation for oxidation. XRD and RHEED investigations reveal out that a buffer layer with some different compositions is formed at the early deposition stage of less than 10 units cell and then Bi-2201 oriented along the c-axis is grown. -
Organic photovoltaic effects were studied in a device structure of ITO/CuPc/Al and ITO/CuPc/
$C_{60}$ /BCP/Al. A thickness of CuPc layer was varied from 10 nm to 50 nm, we have obtained that the optimum CuPc layer thickness is around 40 nm from the analysis of the current density-voltage characteristics in CuPc single layer photovoltaic cell. From the thickness-dependent photovoltaic effects in CuPc/$C_{60}$ heterojunction devices, higher power conversion efficiency was obtained in ITO/20nm CuPc/40nm$C_{60}$ /Al, which has a thickness ratio (CuPc/$C_{60}$ ) of 1:2 rather than 1:1 or 1:3. Light intensity on the device was measured by calibrated Si-photodiode and radiometer/photometer of International Light Inc(IL 14004). -
Temperature-dependent current-voltage characteristics of Organic Light-Emitting Diodes(OLEDs) were studied. The OLEDs were based on the molecular compounds, N,N'-diphenyl-N,N'-bis(3-methylphenyl)-1,1'-diphenyl-4,4'-diamine (TPD) as a hole transport and tris(8-hydroxyquinoline) aluminum(
$Alq_3$ ) as an electron transport and emissive material. The current-voltage characteristics were measured in the temperature range of 10[K] and 300[K]. A conduction mechanism in OLEDs was interpreted in terms of tunneling and trap-filled limited current. -
The Breakdown properties of epoxy composites are used for transformers and sensor, which has been studied. As a result, From the measurements of breakdown voltage, the more hardener is increased the stronger breakdown strength at low temperature because the ester of hardener is increased. Breakdown strength at the high temperature is decreased because the temperature at
$110^{\circ}C$ is near at$T_g$ . When the filler is added, between epoxy and silica is formed interface. Therefore the charge is accumulated in it, and the electric field is concentrated, and breakdown strength is decreased than non-filled specimens. In the case of specimens, the treated with silane, the breakdown strength becomes much higher since this is suggested that silane coupling agent has been improved chemical bonding in the interfaces and has been relaxed the electric filed concentration. -
The monitoring system has won acceptance as a premium mark that identifies the highest standard of product quality in advanced industry. The TOP features with multi-I/O ports and VGA & RCA TV-out ports supporting mirroring & multiple dual-display modes by windows 0/5. With the choice of versatile stands, panel mount, or VESA wall-mount swing arm and connecting to modem. Wireless keyboard, Customer Display and Card Reader, is your idea Panel system for the application of TOP(Touch Operation Pannel), KIOSK, or Office / Factory Automation. TOP is the hardware and software product that transacts all kind of functions for advanced technology equipment to button, switch, voice and graph etc so that let consumer use easily Industrial HMI System Touch Panel. System characteristics: Easy of use and flexibility to the user, Present a high value solution and advanced function for many Application, Factory Automation, Office Automation, Building Automation System, Information Service System, etc. Analog Touch - 2MB Flash Memory for Saving Screen Data - RS-232C/422 Serial Port - Multi Language Support.
-
본 연구는 우리나라와 같은 상대적으로 낮은 풍속에 적합한 6[W]급 풍력터빈의 블레이드를 개발하고자 하였다. 풍력발전기의 출력은 풍속 및 블레이드의 회전수에 매우 의존적으로 풍속이 증가함에 따라 전력도 증가하였다. 또한, 피치각에 따라 블레이드의 회전수도 매우 다르며, 낮은 풍속 상태에서는 공기의 힘을 받는 면적이 클수록 출력특성이 줄게 나타났다. 최대출력은 피치각
$10^{\circ}$ , 풍속 5.5[m/s]일 때 3.8[W] 의 출력을 보였다. -
A novel design of contious-time filter for direct conversion receiver applications is proposed. The filter supports different modes including GSM, WCDMA. A 5th chebyshev filter is realized in a gm-C filter topology. The filter circuit is implemented in a standard CMOS
$0.35{\mu}m$ processing parameter with a supply voltage of 2.5V. The HSPICE results show that the filter has 200KHz and 5MHz cutoff frequency, and each 3.4us and 85.44us gm value. -
A method to recognize unpaved road region using a 3D depth measurement system is proposed for mobile robots. For autonomous maneuvering of mobile robots, recognition of obstacles or recognition of road region is the essential task. In this paper, the 3D depth measurement system which is composed of a rotating mirror, a line laser and mono-camera is employed to detect depth, where the laser light is reflected by the mirror and projected to the scene objects whose locations are to be determined. The obtained depth information is converted into an image. Such depth images of the road region represent even and plane while that of off-road region is irregular or textured. Therefore, the problem falls into a texture identification problem. Road region is detected employing a simple spatial differentiation technique to detect the plain textured area. Identification results of the diverse situation of Nonlinear trail are included in this paper.
-
In order to develop non-heated STAl(super thermal resistant Aluminum alloy) for ampacity gain conductor, the systematic research was carried out. Especially, the effect of a very small amount of Zr element in EC grade Al ingot on mechanical and electrical properties was our priority. As a result, it was found that the strength and recrystallization temperature of designed alloy was gradually increased with Zr addition up to 0.3wt.%. However, the electric conductivity showed no drastic change. The tensile strength and recrystalliztion temperature,
$17.75\;kgf/mm^2$ and$420^{\circ}C$ , was obtained at 0.3 wt.% Zr addition, respectively. -
A new high strength conductor was designed for long span transmission line with a high nitrogen steel having high tensile strength and non-magnetic properties and high strength AI alloy. The tensile strength of conductor is very important to reduce the sag. The height of electric tower depend on the sag also. More than 36% less of sag was achieved by using ACHR(Aluminum conductor stranded high-nitrogen steel reinforced) instead of conventional ACSR.
-
Forest fire can give a serious damage to overhead conductors. Therefore, the definite understanding about aging behavior of burned conductor is very important in maintaining the transmission line safely. It is sure that the temperature of conductor itself will be affected by the distance apart from flame. From this point of a view, we monitored the conductor's temperature with distance from flame. As a result, the conductor's temperature decreased as the flame goes away from the conductor gradually. The temperature of conductor was reached up to 55~65% level of its atmospheric temperature. The detailed results will be presented in the text.
-
Continuous on-line temperature monitoring provides the means to evaluate current condition of equipment and detect abnormality. It allows corrective measures to be taken to prevent upcoming failure. Continuous temperature monitoring and event recording provides information on the energized equipment's response to normal and emergency conditions. On-line temperature monitoring helps to coordinate equipment specifications and ratings, determine the real limits of the monitored equipment and optimize facility operations. Using wireless technique eliminates any need for special cables and wires with lower installation costs if compared to other types of online condition monitoring equipment. In addition, wireless temperature monitoring works well under difficult conditions in strategically important locations. Wireless technology for on-line condition monitoring of energized equipment is applicable both as standalone system and with an interface with power quality monitoring system.
-
A field-oriented UHF system for on-line PD monitoring of transformers is designed, which has been installed inside the oil tank of a 220kV transformer in a substation. This system has successfully captured long intermittent discharge signals that hadn't been detected through conventional techniques, and solved the problem successfully. The results demonstrate that UHF technique has great advantages for on-line PD monitoring of transformers. By adopting the peak detection technique, it becomes easy and effective for the transplantation of the phase-resolved pattern recognition technique from conventional method to UHF method, and then to realize continuous on-line monitoring, source characterization and trending analysis.
-
The paper considers the relation between on-line monitoring and diagnostics on the one hand and high-voltage (HV) withstand and partial discharge (PD) on-site testing on the other. HV testing supplies the basic data (fingerprints) for diagnostics. In case of warnings by on-line diagnostic systems, off-line withstand and PD testing delivers the best possible information about defects and enables the classification of the risk. Because alternating voltage (AC) is the most important test voltage, the AC generation on site is considered. Frequency tuned resonant (ACRF) test systems are best adapted to on-site conditions. They can be simply combined with PD measuring equipment. The available ACRF test systems and their application to electric power equipment -from cable systems to power transformers - is described.
-
Yun, Min-Seok;Jo, Seong-Ho;Gang, Sang-Sik;Cha, Byeong-Yeol;Kim, So-Yeong;Son, Dae-Ung;Heo, Seung-Uk;Nam, Sang-Hui 531
현재 널리 사용되고 있는 X선 영상 검출기의 문제점을 해결하기 위해 새로운 연구가 활발히 진행되고 있다. 본 논문에서는 새로운 X선 검출기로써의 가능성 제시를 위해 Display용 PDP(Plasma Display panel)를 디지털 X-ray Detector로 적용하기 위한 기본적인 X-ray에 대한 반응성을 검증하였다. PDP의 X-ray Detector로의 사용 가능성을 보기 위하여, 실험에 사용된 panel은 상용화된(commercial) Display용 PDP의 기본적인 구조와 똑같은 구조의 sample을 제작하여 사용하였다. 제작된 panel은 상판에 Substrate glass와 유전체층, 투명전극을 형성하고, 버스 전극층과 MgO층을 형성하였다. 하판에는 격벽을 제작하고 형광체(R.G.B)층을 형성하고, 어드레스 전극을 형성하여 기존의 Display용 PDP와 똑같은 구조를 지니게 하였다. 이렇게 제작된 panel의 X-선 검출기로서의 전기적 특성물 조사하기 위해 누설전류(Dark current), X선 민감도(X-ray sensitivity), 그리고 선형특성(Linearity)을 측정하였다. 측정 결과, 누설전류가 낮고 X-선 검출기로서의 가능성이 충분한 민감도를 보이며, 선형적 특성 또한 우수한 결과를 보이는 등 안정된 전기적 동작특성을 보였다. 이러한 결과로부터 기존에 사용되어오던 디스플레이용 PDP의 구조적 변경을 통하여 상용화된 PDP룰 디지털 X-선 검출기로서의 적용 가능성을 확인할 수 있었다. -
UV 경화형 ink를 inkjet printing을 통해 PCB에 patterning 하는 방법에 관한 연구이다. UV 경화형 ink는 일반적으로 ink의 투명도, 색깔, 두께에 따라 완전경화가 밀어나지 않을 수도 있는데 본 연구에서 사용한 UV ink는 particle이 첨가되어 있고 후막 인쇄를 목적으로 하기 때문에 완전경화가 어려웠다. 일반적으로 이러한 UV 경화형 ink의 문제점들을 해결하기 위하여 열경화성 첨가제를 일부 첨가하여 UV에 의한 표면경화와 얼에 의한 속 경화를 진행하는 hybrid system이 사용되고 있지만 본 연구는 PCB를 target으로 하기 때문에 열에 약한 PCB 내의 많은 소자들 때문에 열처리가 쉽지 않은 문제가 있다. 이러한 여러 제약적인 환경에서 UV ink의 완전경화를 위해 경화 process를 최적화 하였으며 10~20um의 후막 인쇄에도 ink가 완전 경화하여 연필경도 9H를 확보하는데 성공하였다.
-
Choi, Sang-Sik;Choi, A-Ram;Kim, Jae-Yeon;Yang, Jeon-Wook;Han, Tae-Hyun;Cho, Deok-Ho;Hwang, Young-Woo;Shim, Kyu-Hwan 533
The stress effect of SiGe p-type metal oxide semiconductors field effect transistors(MOSFETs) has been investigated to compare device properties using Si bulk and partially depleted silicon on insulator(PD SOI). The electrical properties in SiGe PD SOI presented enhancements in subthreshold slope and drain induced barrier lowering in comparison to SiGe bulk. The reliability of gate oxides on bulk Si and PD SOI has been evaluated using constant voltage stressing to investigate their breakdown (~ 8.5 V) characteristics. Gate leakage was monitored as a function of voltage stressing time to understand the breakdown phenomena for both structures. Stress induced leakage currents are obtained from I-V measurements at specified stress intervals. The 1/f noise was observed to follow the typical$1/f^{\gamma}$ (${\gamma}\;=\;1$ ) in SiGe bulk devices, but the abnormal behavior${\gamma}\;=\;2$ in SiGe PD SOI. The difference of noise frequency exponent is mainly attributed to traps at silicon oxide interfaces. We will discuss stress induced instability in conjunction with the 1/f noise characteristics in detail. -
Because forest fire can give a serious damage to overhead conductors, the thorough understanding about aging behavior of burned conductor is very important in maintaining the transmission line safely. Therefore, a systematic investigation was carried out by heating method. As the heating temperature increases, drastic change of tensile strength of Al wire due to the softening of Al wire occurred. When Al wire is exposed to the flame(about
$800^{\circ}C$ ) during only 13 seconds, the remained tensile strength of Al wire showed under 90%. The detailed results will be given in the text. -
From the standpoint that the life of conductor is influenced by softening of AI wire, the life assessment of conductor was carried out. As a result, it was found that the estimated life of conductor was 45.2 year. The detailed description will be presented in the text.
-
A detailed study on aged sleeves for old transmission lines was carried out to clarify the deterioration of sleeves and the condition of installation. A lot of removed aged sleeves from transmission lines were investigated. Many biased installed cases and corrosion of steel sleeve part were found. These defects can cause a serious accident such as blackout during operating. The detailed results were presented in the text.
-
The paper also introduces a new Distribution Class ground lead disconnector design that not only extends the claimable detonation range well below the 20 amps specified in industry standards, but is very durable when exposed to severe arrester durability tests. Finally. this paper shows how this next generation disconnector interacts with the connected arrester to improve the overvoltage withstand capability of the arrester assembly. The interaction of the disconnector grading capacitor with the series-connected arrester metal oxide disc elements actually improves the arrester assembly temporary overvoltage withstand capability, making the design less vulnerable to TOV failures. Since the vast majority of distribution class arresters are sold domestically with ground lead disconnectors, this design improvement in the disconnector to improve detonation reliability also translates into a significantly improved distribution class arrester design.
-
This paper introduce methods and analysis of a simple wireless sensor concept for detecting and locating faults as well as for load monitoring are presented. The concept is based on distributed wireless sensors that are attached to the incoming and outgoing power lines of secondary substations. A sensor measures only phase current characteristics of the wire it is attached to, is not synchronized to other sensors and does not need configuration of triggering levels. The main novelty of the concept is in detecting and locating faults by combining power distribution network characteristics on system level with low power sampling methods for individual sensors. This concept enables the sensor design to be simple, energy efficient and thus applicable in new installations and for retrofit purposes in both overhead and underground electrical distribution systems.
-
Recently, innovative process has been investigated in order to replace the conventional high-cost micro patterning processes on the electronic products. To produce desirable profit margins from this low cost products, printed circuit board(PCB), will require dramatic changes in the current manufacturing philosophies and processes. Innovative process using metal nano particles replaces the current industry standard of subtractive etched of copper as a highly efficient way to produce robust circuitry on low cost substrates. An advantage of using metal nano particles process in patterned conductive line manufacturing is that the process is additive. Material is only deposited in desired locations, thereby reducing the amount of chemical and material waste. Simply, it just draws on the substrate as glass epoxy or polyimide with metal nano particles. Particles, when their size becomes nano-meter scale, show some specific characteristics such as enhanced reactivity of surface atoms, decrease in melting point, high electric conductivity compared with the bulk. Melting temperature of metal gets low, the metal nano particles could be formated onto polymer substrates and sintered under
$300^{\circ}C$ , which would be applied in PCB. It can be getting the metal line of excellent electric conductivity. -
Magnesium is widely used as a lightweight alloy for car engine components and case of cellular phone. Extraction technologies of magnesium are divided to fused salt electrolysis process and thermal reduction process. In this study, electrolysis magnesium is prepared by fused salt electrolysis process with magnesium chloride. We compared two kinds of mixed salt at 7V. As a result, 47% of current efficiency was obtained by electrolyzing KCl/NaCl/
$MgCl_2$ mixed salt bath at$760^{\circ}C$ , and purity of the prepared magnesium was over 98%. With this study, we can scale up fused salt electrolysis device and accumulate basic data which will be needed for designing an electrolysis cell. -
과거 PCB 제조의 주된 화제는 다양한 산업분야의 발전을 위해 한정된 시간 안에 좀 더 많은 PCB를 양산하는 기술 개발에 집중되어 있었지만, 현재는 비정상적인 공정 상태를 파악함으로써 제조 공정 환경에서의 오류를 줄여 전체 수률을 높이는 방법에 시선을 돌리고 있다. PCB 에칭의 경우 에칭 용액의 상태를 실시간으로 모니터링 하는 것이 중요하다. 본 논문에서는 기존 애칭용액의 상태를 판단할 때 사용되는 ORP 센서 대신, RGB 센서를 이용하여 실시간으로 용액의 상태를 모니터링 할 수 있는 시스템을 개발 하였다. 개발된 시스템을 이용하여 기존 ORP 시스템과의 비교 분석을 및 RGB 센서률 이용한 모니터링 방법이 ORP 센서를 이용한 방법 보다 좀 더 쉽고 정확하게 에칭 액의 상태를 모니터링할 수 있다는 것을 확인 하였다.
-
잉크젯 기술은 단순한 사무용 문서 인쇄를 넘어 금속, 세라믹, 고분자 잉크 등으로 그 응용을 확대하고 있다. 이러한 확대의 원인은 잉크젯 인쇄가 마스크를 이용한 포토리소그라피 공정 없이 설계도를 바로 구현한다는 장점을 가지고 있기 때문으로 최근 많은 연구가 집중되고 있다. 본 논문에서는 은 나노 잉크를 잉크젯 인쇄로 구현한 금속 배선의 전기적 기계적 특성을 평가하였다. 은 나노 입자는 수열합성법으로 합성 하였으며 극성 용제를 사용하여 잉크를 제작하였다. 나노 크기의 분말이 분산된 Ag 잉크를 잉크젯 인쇄 기법을 활용하여 인쇄하여 200 도의 저온 소결을 통해 배선을 형성하였다. 형성된 배선의 비저항은
$7.3\;{\mu}{\Omega}{\cdot}cm$ 었으며 경도와 탄성계수는 각각 1.4 GPa, 71 GPa로 벌크 Ag와 비교할 경우 경도는 높고 탄성 계수는 낮았다. 즉 전기적 측면에서는 충분히 사용가능하지만 충격에 약한 기계적 특성을 갖고 있음을 알 수 있었다. -
Coating films were prepared from silane-terminated Colloidal silaca(CS) and UV-curable acrylate resin. The silane-terminated CSs were synthesized from CS and methyltrimethoxysilane(MTMS) and then treated with 3-methacryloxypropyltrimethoxysilane(MAPTMS)/3-glycidoxypropyltrimethoxysilane( GPTMS)/vinyltrimethoxysilane(VTMS) by sol-gel process, respectively. The silane-terminated CS and acrylate resin were hybridized using UV-curing system. Thin films of hybrid material were prepared using spin coater on the glass. Their hardness, contact angle and transmittance improved with the addition of silane-terminated CS.
-
By sol-gel method, we have fabricated silica-titania hybrid film using silane treated colloidal
$TiO_2$ and characterized the film through FT-IR, TGA, UV-VIS and AFM. The silica-titania hybrid film showed Ti-O-Si chemical bond at FT-IR peak of$910{\sim}940cm^{-1}$ . The fabricated hybrid film showed thermal stability of around$350^{\circ}C$ (5wt% loss temperature) and transparency more than 90%. In addition, the good surface smoothness was confirmed by AFM. Therefore, the silica-titania hybrid film with outstanding properties can be potential for application in electronics and displays. -
Through the single head kinematics, sliding distance is a movement of a pad within wafer. The sliding distance is very important to frictional heat, material removal, and so on. A Temperature distribution is similar to sliding distance. But is not same. Because of complex process factor in CMP. A platen velocity is a dominant factor in a temperature and material removal. WIWNU is low in head faster condition.
-
최근 Ruthenium (Ru)은 높은 화학적 안정성, 누설전류에 대한 높은 저항성, 저유전체와의 높은 안정성 등과 같은 특성으로 인해 캐패시터의 하부전극으로 각광받고 있다. 이렇게 형성된 Ru 하부전극은 각 캐패시터간의 분리와 평탄화를 위해 CMP 공정이 도입되게 되었다. 이러한 CMP 공정후에는 화학적 또는 물리적 상호작용에 의해 웨이퍼 표면에 오염물이 발생할 수 있다. CMP 공정중에 공급되는 슬러리에는 부식액, pH 적정제, 연마입자등이 첨가되는데 이때 사용된 연마입자는 CMP 공정후 입자오염을 유발할 수 있다. 그러므로, CMP 공정후에는 이러한 오염으로 인해 cleaning 공정이 반드시 필요하게 되었다. 하지만, Post Ru CMP cleaning에 대한 연구는 아직 미비한 상태이다. 그리하여 본 연구에서는 post Ru CMP cleaning에 대한 연구와 cleaning solution 그리고 첨가제에 따른 영향을 살펴보았다.
-
A commercially de-waxer which kinds of solvent after was used to remove a thick organic wax film after polishing process and several steps of SC-1 cleanings were followed for the removal of organic wax residues and particles which requires long process time and high cost of ownership (COO). DIO3 was used to remove organic wax residues too achieve low COO. In this study, 0103 rinsing could use instead of 01 water rinsing. The process time and chemical consumption were reduced by using DIO3.