Proceedings of the IEEK Conference (대한전자공학회:학술대회논문집)
The Institute of Electronics and Information Engineers (IEIE)
- 기타
2005.11a
-
Mobile Network의 이동성에 대한 연구가 활발하게 진행되어 오면서, 이동네트워크의 복잡한 모델인 Nested Mobile Network에 대한 연구가 부각되고 있다. 이 Nested Mobile Network에 대한 연구에는 네트워크 내에 존재하는 노드와 외부의 CN(Correspondent Node)과의 패킷전송에서 경로최적화를 하기 위한 방법 등에 대한 연구가 진행되어 오고 있으며, Nested Mobile Network에서 Pinball routing 문제 등으로 인해 경로최적화가 이루어지지 못하고, 이 문제가 일반적인 Nested Mobile Network에서 패킷사이즈의 길이를 지나치게 길어지게 함으로써 전송지연을 발생시키는 것이다, 본 논문에서는 반복적인 CoA(Care-of-Address)의 치환과정을 통해 Nested Mobile Network 상의 Pinball routing 문제를 해결하기 위한 효율적인 방안을 제안하고자 한다.
-
Ad hoc MAC protocols using directional antennas can be used to improve the network capacity. Directional antennas have a Deafness problem and decrease throughput of the network caused by increasing backoff duration. Dual channel protocols have been proposed to mitigate the Deafness and hidden node problems. In this paper, we propose a dual channel MAC protocol using a directional antenna to mitigate the Deafness problem and increase the network capacity. The performance of the proposed Ad hoc MAC protocol is confirmed by computer simulations using Qualnet ver. 3.8 simulator.
-
In this paper, we propose a method for transmitting SMS(Short Message Service) for VoIP(Voice over IP) service supporting multi-protocol. The multi-protocol VoIP under consideration are generally composed of H.323, SIP and MGCP and Most ITSPs(Internet Telephony Service Provider) provide VoIP service with H.323 and SIP now. SMS is killer application in mobile telecom service and many people of various field use that service. For example, user can send many SMS messages and substitute e-mail. Also SMS can be provided with various internet application. Ahn, legacy phone of KT, can use SMS. Therefore VoIP phone also can be required with the same requirement. With the multi-protocol VoIP we will propose several methods sending efficiently SMS. To show the validity of the proposed method some examples are given in which the results can be expected by intuitive observation.
-
Cooperative diversity is a transmission technique, in which multiple terminals pool their resources to form a virtual antenna array that realizes spatial diversity gain in a distributed fashion. In this paper, we propose a new type of cooperative transmission protocol with a full rate and show that its BER performance is improved by 8dB over the existing protocol under the AF (amplify-and-forward) mode of relaying.
-
In order to achieve high capacity and reliable link quality in user communication, this paper proposes a new concept of virtual cell: the Dual Virtual Cell(DVC), and DVC employment strategy based on DWCS. The proposed system uses two kinds of virtual cell. One is the AVC(Active Virtual Cell) which exists for actual traffic and the other is the CVC(Candidate Virtual Cell) which contains a set of candidate antennas to protect user's link quality from performance degradation or interruption. And also this system aims to reduce MT's overloads and acheive a prompt link change successfuly by introducing DVC structure which makes it possible for network to monitor real-time channel and to conrol communication links. The proposed system constructs DVC by using antenna selection method and improves frame error performance with employing Space-Time Trellis Code(STTC).
-
This paper presents the load balance of Mobile IP in wireless systems. Mobile IP can support wireless users with continuous network connections while changing locations. If a failure occurs in a mobility agent, the wireless users located in the coverage area of the fault mobility agent will lose their network connections. To tolerate the failure of mobility agents, this paper proposes the method of an efficient approach to reduce severe overload.
-
In this paper, we designed and manufactured the distortion cancellation module which is able to compensate thermal-noise distortion by software The distortion cancellation algorithm not only bring forth system non-linear distortion by input level but also bring compensate component of distortion by thermal to get rid off distortion from now on. After TMS320C6711 DSP to recognize our algorithm, we manufactured the module for every kinds of system To evaluate efficiency of the distortion cancellation module, we designed and manufactured communication system. By measured result, if system output power is -3dBm equally, 12dB of ACLR has improved in 1MHz away from a center frequency, and also gain has increased up to 0.5dB.
-
In this paper, we proposed a MAC protocol which can be used in power line communications(PLC). In the power line communication network, the data signal cannot travel long distance because of a serious attenuation. Consequently, we need to have a number of repeaters (amplifiers) in order to recover the signal power, which causes flooding problem in the network The proposed MAC protocol uses polling concept and solves the flooding problem in the power line communication network. The proposed protocol can be applied to power line communication networks for automatic power distribution control system. A mathematical analysis has been carried out to estimate the performance of the proposed protocol.
-
In this study, S-Band receiver for low orbit satellite is implemented. The developed receiver is double super-heterodyne type and STDN compatible. Input/output frequency of receiver is 2034.747MHz and 18.414MHz used for KOMPSAT 2 satellite. Overall gain(@AGC=0V) and image rejection were 92.4dB and 50.2dB respectively. It was verified that receiver has stable performance to the temperature limit, power supply voltage variation and input signal level range.
-
H.264, a recently proposed international video coding standard, has adopted context-based adaptive variable length coding (CAVLC) as the entropy coding tool in the baseline profile. By combining an adaptive variable length coding technique with context modeling, we can achieve a high degree of redundancy reduction. However, CAVLC in H.264 has weakness that the correct prediction rate of the variable length coding (VLC) table is low in a complex area, such as the boundary of an object. In this paper, we propose a VLC table prediction scheme considering multiple reference blocks; the same position block of the previous frame and the neighboring blocks of the current frame. The proposed algorithm obtains the new weighting values considering correctness of the VLC table for each reference block. Using this method, we can enhance the prediction rate of the VLC table and reduce the bit-rate.
-
오늘날의 개발자들은 서비스를 생성함에 있어서 몇번의 클릭만으로도 간단하게 서비스를 개발할 수 있는 환경을 갖추었다. 이는 서비스를 개발하는 환경이 사용자가 최소한의 지식만을 가지고 개발 할 수 있도록 자동화 되어가고 있기 때문이다. 개방형 서비스에 있어서도 기존의 Parlay 를 단순화한 Parlay X 가 탄생하였고, 더 나아가 Parlay X 의 API 들을 개발자들이 쉽게 사용할 수 있게 해주는 SCE(Service Creation Environment)툴이 개발되게 되었다. 개발자를 위한 환경의 변화에 발 맞추어, SCE 의 범위는 더욱 넓어 졌다. 기존의 기능을 쉽게 사용할 수 있게 해주는 좁은 의미의 SCE 에서 서비스 생성 도구들을 사용자가 잘 이해하고 사용할 수 있도록 하는 방법도 중요한 이슈가 된 것이다. 본 논문에서는 통신망 응용 서비스에 대한 전문적인 지식이 없는 서비스 생성 도구 사용자가 개방형 서비스를 생성함에 있어서 어떠한 순서와 방법을 적용하면 효과적으로 서비스 생성을 체험할 수 있는지를 제안한다.
-
In this paper we describe SLA metrics and software architecture for newly upcoming IP TV service. Firstly we show the general description for IP TV. This consists of brief description of IP TV, the general figure of configuration and elements of IP TV network and communication protocol stack of IP TV data transmission for deriving communication quality parameter. And we propose SLA metrics depending on quality measurement point. According to the measurement point the measurable SLA metrics are different. The total view of the IP TV SLA measurement and management architecture is shown. When the quality measurement point is the routers that consist of internet node, the IP media NMS is the quality collection system. And when the quality measurement point is the IP TV STB(Set-Top Box), the IP media collector and Quality Collection Server are the quality collection system. And we show the software block diagram of IP TV SLA processing system and the other related network management systems.
-
Jung, Ye-Heon;Bae, Dong-Ju;Yoon, Dal-Hwan;Kim, Hyung-Mook;Kim, Ho-Kyun;Han, Jeong-Su;Lee, Sun-Ju 53
In this paper, we have developed the CID(caller identification) system the external type based on the Web. The one can display the personal position and information received from a dispatcher by an internet. -
In this paper, a dynamic priority-based QoS (DPQoS) provision scheme is proposed for the required QoS from one end of the network to the other in wireless mobile networks. The DPQoS model is used to meet diversity multimedia traffic requirements. This model is come up with a framework for the wireless network of which consists of a core-IP network and also a number of wireless access networks. For the true end-to-end QoS, it is required that the core network is able to support the required QoS for the wireless users. This paper shows a solution to optimize the performance for different traffic classes according to the traffic characteristics. The performance of the proposed scheme is evaluated at delay aspects such as delay and throughput.
-
Kim, Seng-Woo;Bae, Dong-Ju;Yoon, Dal-Hwan;Heo, Jeong-Hwa;Kim, Ho-Kyun;Han, Jeong-Su;Lee, Sun-Ju 61
본 연구에서는$5mm{\times}7mm$ 크기의 적층 세라믹 SMD(surface mounted device)형 PECL VCXO에 테스트지그를 이용하여 스펙트럼을 분석한다. 패키지에 PECL 칩을 장착 후 와이어결선(wire bonding)을 완료한 VCXO는 그 길이 및 패키지 내부의 패턴 등에 의하여 부유인덕턴스(stray inductance) 및 커패시턴스가 발생하고, 칩의 발진부 임피던스에 영향을 준다. 이에 칩이 패키지에 장착된 상태에서 발진부 입력임피던스 영향을 제거하고 안정한 발진기 측정을 통하여 발진기의 정확한 스펙트럼 분석 및 성능을 평가한다. -
In this paper, pseudo noise (PN) code acquisition performance with multiple antennas in a UWB time hopping/code division multiple access system is analyzed. The closed form for the conditional probability is derived, using the Gauss-Hermite quadrature formula, when the signal with Gaussian distribution goes through the lognormal fading channel. The performance comparison of the above mentioned schemes shows that the code acquisition performance with a diversity combining technique, especially when increasing the number of antennas, is more robust than that using no diversity.
-
Preamble based synchronization result in performance degradation due to the high time variation in the power delay profile of the channel in fast varying channel. Since most of the timing metric that exploit the preamble is not based on theoretical back ground, it is not easy to find out the reason. In this paper, the behavior of the timing metric in the multipath fading channel is explained.
-
In this paper, we introduce the efficient carrier phase estimating algorithm collaborate with the channel decoder of turbo coded QPSK modulation for mobile DVB-RCS systems. At low SNR, the phase estimation using soft information of turbo decoder is able to improve power efficiency because of achieving the good synchronization. We investigate performance of external single estimator and internal multiple estimator in the PSP (Per Survivor Processing) manner over AWGN channel. For phase estimation, the LMS (Least Mean Square) scheme is considered. Three different APP-based methods are also proposed.
-
In this paper, we investigate the method for reducing the amount of feedback in multi-user downlink orthogonal frequency division multiple access (OFDMA) systems. The objective is to maximize the total throughput of the system under the constraints of transmit power. In previous methods, each user in a cell transmits channel quality information (CQI) of its all sub-bands to the base station, which requires extremely high feedback overhead. Thus, we propose an efficient sub-band allocation algorithm in which each user transmits partial CQI and one additional information to reduce the amount of feedback. Simulation results show that we can greatly reduce the amount of feedback than full feedback system.
-
Space-time code is a good solution to get transmit diversity. During the last years a number of space-time block codes have been proposed for use in multiple transmit antenna systems. This code, however, was presented only for the special case of the certain numbers of transmit antennas and the certain modulation schemes. and designed under the assumption that the transmitter has no knowledge about the channel. In this work, on the other hand, we consider the case when the transmitter has partial, but not perfect knowledge about the channel. This system can have full diversity for arbitrary number of the transmit antennas with a little bits of feedback.
-
For the past years, wireless communications environment have developed with explosive demand of internet service and increase of subscriber. Anytime anywhere, we can access internet service and recently, we can access internet with portable terminal using wireless communications. So several schemes have been proposed for the efficient service. In this paper, we may improve performance of wibro system using adaptive antennas scheme at the base station (BS) and mobile station(MS),operating jointly. In wibro system, the joint use of transmit beamforming at BS and receive beamforming at MS is improved the SINR and increased the capacity compared to conventional system[1],[2].
-
We propose a computationally efficient sphere decoding (SD) algorithm with smart radius control (SRC). As a baseline algorithm for SD, we consider the modified Schnorr-Euchner (SE) algorithm [1] (hereafter, called as the MSE algorithm). In principle, the radius after zero-forcing decision feedback equalization (ZF-DFE) estimation can be reduced further if we select a new lattice vector closer to the received signal vector than the lattice vector corresponding to the ZF-DFE estimate does. In our case, we obtain such a better lattice vector by performing a sequence of alternating one-dimensional searches, starting from the ZF-DFE estimate. We then develop a novel SRC algorithm that adopts adaptively the additional radius reduction process according to the estimated signal-to-noise-power ratio (SNR) after ZF-DFE estimation. In addition, we analyze the effect of detection ordering on the complexity for SD. Column-norm ordering of the channel matrix and optimal ordering [1] are considered here. From our analyses, we see that SRC can reduce greatly the complexity for SD and the degree of complexity reduction gets significant as the SNR decreases, irrespective of detection ordering schemes used.
-
우리는 페이딩 환경에서 비동기 블록 수신 CPFSK에 적용되는 TCM의 성능을 분석하였다. 여기서 비동기 블록 수신기는 N개의 심볼을 하나의 블록으로 취급하여 비동기 복조를 수행한다. 일반적으로 이러한 블록 수신 방식은 관찰 블록의 길이가 길어질수록 더 좋은 성능을 나타낸다. 그러나 페이딩의 응집 시간이 수신기의 관찰 블록 길이 보다 작아지면, 블록 수신기의 오류 성능은 매우 나빠지게 된다. 본 논문에서는 페이딩의 응집 시간이 수신기의 관찰 블록 시간 NT(여기서, T는 심볼 시간)보다 큰 환경을 저속 페이딩 환경 이라고 하고, 그렇지 않은 환경을 고속 페이딩 환경이라고 정의하여, 각각의 환경에서 시스템의 성능을 분석하였다. 그 결과 고속 페이딩 환경에서 비동기 블록 수신기의 사용은 오류 층(error floor) 현상을 초래한다는 사실을 알 수 있었다. 따라서 고속 페이딩 환경에서는 수신기의 관찰 블록 길이를 짧게 하는 것이 더 좋다.
-
이동성을 지원해야 하는 노드들이 증가하고 시간 임계적인 실시간 서비스가 확대됨에 따라, 이동중에도 끊김없는 서비스를 제공하기 위하여 다양한 방법들이 제안되고 있다. IETF에서 제안된 Mobile IPv6는 노드가 Home으로부터 떨어진 다른 곳으로 이동하여도 CoA로 주소를 지정함으로써 노드의 이동성을 지원하고 있다. 새로 생성된 CoA는 그 주소가 유일함을 확인하기 위하여 DAD(Duplicate Address Detection)를 거치게 되는데 이 과정에서 최소 1000ms가 소요된다. 이런 지연시간은 MN(Mobile Node)이 고속으로 이통할 때 FMIPv6(Fast Handover for Mobile IPv6)에서 패킷손실이 발생하거나 지연시간이 긴 리액티브 모드로 동작하게 되어 끊김없는 서비스를 지원 할 수 없게 된다. 본 논문에서는 이러한 문제를 해결하기 위하여 핸드오버 시 필요한 새로운 주소 생성과 중복주소 검출 과정을 핸드오버 이전에 이통 가능한 주변 AR이 수행하는 방안을 제안하고 FMIPv6에 적용하여 그에 따른 성능을 분석한다.
-
Recently, there have been active research efforts on devising efficient routing algorithms utilizing location information in ad-hoc mobile networks. In this paper, we propose a new method to improve the performance of the widely recognized Location Aided Routing (LAR) algorithm. To this end, we suggest the concept of accessible zone combined with the basic two schemes associated with LAR algorithm. Through the proposed algorithm, we can confine the flooding area within accessible zone, thereby reducing the routing delay.
-
In this paper, we propose an improved delay-constrained unicast routing (I-DCUR) algorithm for real-time networks which is based on the delay-constrained unicast routing (DCUR) algorithm. Our I-DCUR algorithm is quite different from DCUR algorithm, because the node will choose the link between the active node and the previous node, and it will replace the original loop path when it detects a loop. Thus, firstly consider to choose the link between the active node and the previous node to replace the original loop path when a node detects a loop. So our algorithm can make the construction of path more efficiently, as compared to DCUR algorithm. We could see that the performance of I-DCUR algorithm is much better than DCUR algorithm in the experimental results. There were over 40% improvement in 100 nodes, 60% in 200 nodes, and 9% reduction of costs.
-
Softswitch is the core BcN equipment which voice and multimedia switching based on the IP Technologies. It is designed to replace the Class 5(local Exchange) and Class 4(Toll Exchange) switch based on the circuit wired and wireless switching network technologies. Softswitch gets its name because typically it is a software based solution implemented on general purpose computers/servers. While the traditional PSTN switches are rely on dedicated facilities for T and S inter-connection and are designed primarily for voice communications. Packet based Softswitch is divided the control of call and bearer, very different from Public telephone network. Sometimes Call Agent or Media Gateway Controller, a key component in the VoIP solution, is also called Softswitch. This paper will suggest the software architecture of softswitch for performance in call processing part, also suggest the session management model to cover call setup latency.
-
In this paper, we investigated the recognition range according to modulation index for the ISO(International Standards Organization) 14443 13.56MHz contactless Type B RFID(Radio Frequency IDentification) system. We measured recognition range with changing modulation index step by step from 5% to 24% where we used 4 samples of Type B transponder with different resonance frequencies between 13.838MHz and 17.200MHz. While gradually increasing a distance in vertical direction from the center of the reader antenna, we measured the distance where the transponder's PUPI(Pseudo Unique PICC(Proximity IC Card) Identifier) is recognized continuously during 10 seconds and the distance where the transponder's PUPI is recognized at least two times during 5 seconds. From the measurement results, we found that the best recognition ranges were achieved when the reader had modulation index between 11% and 14%.
-
In this paper, we propose a multiple slotted dual-band square patch antenna with CP(Circular Polarization) characteristic. And we present the simulation and measurement results of the design example. We designed a slotted patch antenna by using computer simulation program, Zeland IE3D, and then some tuning followed with measurements. Measured -10dB bandwidths of
$S_{11}$ characteristic are 127MHz($2.346GHz{\sim}2.473GHz$ ) for the low-band, and 122MHz($3.379GHz{\sim}3.501GHz$ ) for the high-band, respectively. And measured maximum gains and half-power beamwidths are 6.94dBi,$72.95^{\circ}$ for the low-band at 2.30GHz, 5.78dBi,$76.51^{\circ}$ for the high-band at 3.45GHz, respectively. -
In this paper, we investigated the change of recognition distance according to the resonance frequency of the transponder for the ISO 14443 Type A 13.56MHz RFID(Radio Frequency IDentification) system. We made thirteen transponders of the same size. The dimension of the transponder is
$84.65mm{\times}53.00mm{\times}0.45mm$ . We measured the resonance frequency by means of an inductive coupling. The measured resonance frequencies were between 12.9MHz and 17.3MHz. We measured the maximum distances where the transponder's USN(Unique Serial Number) was recognized and the subcarrier was observed. The measurement results show that we can obtain good performance when the Type A transponder has the resonance frequency around 14.2MHz for the given case. -
Recently, RF systems have rapidly grown with the extension of the mobile communication service The mobile service companies are providing the satellite broadcasting and common usage are expected. Coinciding with current trend, the development of improved satellite DMB (Digital Multimedia Broadcasting) tuner is required. To improve the receiving sensitivity under the poor communication circumstance, It is necessary to design the LNA (Low Noise Amplifier) with outstanding low noise characteristic and the BPF (Bandpass Filter) to transmit only desired signal without distortion and loss. Besides high reliability, the miniaturization and lightweight are required for design of mobile terminals. In this study, we designed and fabricated DMB SIR-type BPF which operates at 2642 MHz and is embedded in the substrate using LTCC. As a result, we obtained the passband insertion loss of 2.4 dB and the passband ripple of 0.08 dB. So this DMB SIR-type BPF is applicable to RF module of a satellite DMB tuner.
-
In this paper, we propose to extend the TSS-LS (Two-Step Search scheme with Linear search based Second step) scheme which was already proposed by the authors for coherent UWB (Ultra Wide Band) systems, to rapid and reliable acquisition of noncoherent UWB systems in multipath channels. The proposed noncoherent TSS-LS employing simple energy window banks utilizes two different thresholds and search windows to achieve fast acquisition. Furthermore, the linear search is adopted for the second step in the proposed scheme to correctly find the starting point in the range of effective delay spread of the multipath channels, and to obtain reliable BER (Bit Error Rate) performance of the noncoherent UWB systems. Simulation results show that the proposed TSS-LS can achieve significant reduction of the required mean acquisition time as compared to general search schemes. In addition, the proposed scheme achieves quite good BER performance, which is favorably comparable to the case of ideal perfect timing.
-
Low actuation voltage and no contact stiction are the important factors to apply MEMS RF switches to mobile devices. Conventional electrostatic MEMS RF switches require several tens of voltages for actuation. In this paper we propose PAS MEMS RF switch which adopt PZT actuators and seesaw cantilevers to meet the above requirements. The fundamental structures of PAS MEMS switch were designed, optimized, and fabricated. Through the developed processes PAS SPDT MEMS RF switches were successfully fabricated on 4" wafers and they showed good electrical properties. The driving voltage was less than 5 volts. And the insertion loss was -0.5dB and the isolation was 35dB at 5GHz. The switching speed was about 5kHz. So these MEMS RF switches can be applicable to mobile communication devices or wireless multi-media devices at lower than 6GHz.
-
Describe about CAN-LIN integration network in this treatise. Describe and search their advantage about CAN communication and LIN communication protocol. Also, decide introduce CAN-LIN integration network system and recognize about forward subject.
-
An invesitigation on power consumption of a mobile multimedia system using OFDM and MDVS technique is reported here. Analysis and simulation are performed to find the significances of proposed Microscopic Dynamic Voltage Scaling(MDVS) tehnique[4] on digital processor in terms of power saving. A study is also made to show power reduction in mobile multimedia system by incorporating OFDM modulation scheme in RF front-end. Finally, overall power consumption by functionally distinguished blocks ie. RF front-end, digital processor and human interface unit is shown here. Total power consumption is 8.2W for 2Mbps SD-quality WCDMA multimedia video service - the power consumption of digital processor is 3.9W(48%), the power consumption of RF front-end is 3.2W (36%), and the power consumption of interface is 1.8W(16%). Power saving of applying purposed MDVS technique is 35% in digital processor, and power saving of OFDM technique is 10-12dB in RF front-end.
-
Random Early Detection (RED), one of the most well-known Active Queue Management (AQM), has been designed to substitute Tail Drop and is nowadays widely implemented in commercially available routers. RED algorithm provides high throughput and low delay as well as a solution of global synchronization. However RED is sensitive to parameters setting, so the performance of RED, significantly depends on the fixed parameters. To solve this problem, the Adaptive RED (ARED) algorithm is suggested by S. Floyd. But, ARED also uses fixed parameters like target-queue length; it is hard to respond to bursty traffic actively. In this paper, we proposed AQM algorithm based on the variation of current queue length in order to improve adaptability about burst traffic. We measured performance of proposed algorithm through a throughput, marking-drop rate and bias phenomenon. In experimentation, we raised a packet throughput as reduced packet drop rate, and we confirmed to reduce a bias phenomenon about bursty traffic.
-
Multilayer LTCC technology enables RF modules to be reduced dramatically by taking advantage of the three dimension flexibility. Compared to a conventional two dimensional PCB, LTCC allows higher density, reduced size, and lower cost. In this research, BPF based on LTCC for 900MHz ZigBee application was implemented which can replace SAW filter with using the material of the Dupont9599's dielectric constant 7.8. And distributed baud pass filter for 900MHz ZigBee system applications is presented. Using resonator stripline and capacitance, 2nd order band pass filter was designed. Adjusting resonator's length and capacitance is easy to tune at accurate center frequency by shifting band because ZigBee system is using narrow bandwidth,
$902MHz^{\sim}928MHz$ . Also resonator has no limitation in space, so reducing size is possibile. Designed filter had I.L. 2.8dB at 915MHz and attenuation at 815MHz, 1015MHz was 16dB, 15dB, respectively. Therefore, the sharpe cut-off and good insertion loss for ZigBee system application. -
We performed field test and analyzed results of field test in variable HFC(Hybrid Fiber Coaxial) network environments. And we investigated whether the test results is satisfied in DOCSIS(Data-over-Cable Service Interface Specification) 2.0 and OpenCable standard. Also, we propose HFC improvement problem for HFC Network quality as BcN(Broadband convergence Network) access network. We tested three sample areas. The sample areas represent various type of SO(System Operator) in korea. The field test was to measure signal level about digital channels. In results of multiple digital channels, in case of "Equalizer ON", we could be obtained very good test results. The results of field test were shown in satisfying recommended values in DOCSIS 2.0 and OpenCable. So, we could have confidence through field test that BcN will be possible to be adapted to environment of korea HFC network.
-
The circuit simulation analysis and diagnosis methods are used to instruments in detail when they give apparently abnormal readings. In this paper, a new simulator through an analysis of the important circuits modeling under severe accident conditions has been designed, the realization for a body work instead of the two sorts of the Labview & Pspice as an one order command in the Labview program. The program can be shown the output graph form the circuit modeling as an order commend. The procedure for the simulator design was divided into two design steps, of which the first step was the diagnosis methods, the second step was the circuit simulator for the signal processing tool. It has three main functions which are a signal processing tool, an accident management tool, and an additional guide from the initial screen.
-
In this paper, a carrier frequency offset (CFO) estimator is proposed for the interleaved OFDMA uplink systems. It is based on the estimation of signal parameters via rotational invariance technique (ESPRIT). Compared with the Cao's estimator, the proposed estimator has low computational complexity. Simulation results demonstrate that the proposed estimator performs better than Cao's estimator at the relatively low SNR region. Hence, the proposed estimator is more applicable to the practical environments than the Cao’s estimator.
-
Computer Education form is rapidly growing and has been developed in a variety of forms such as off-line. on-line, multimedia, ICT education . These forms are changed to E-Learning, Blended-Learning and Ubiquitous-Learning. Ubiquitous-Learning model schools have already been running on a national scale according to the policy of the Ministry of Education. In this study, to understand the necessity for the Ubiquitous-Learning and Ubiquitous-Environment, the awareness of the Ubiquitous environment and U-learning in model schools and general schools is analyzed and compared. Through this study, a desirable model about Ubiquitous-Learning and Environment will be explored.
-
This paper proposes a new peak-windowing algorithm with window-length adaptation for peak-to-average power reduction (PAPR) of orthogonal frequency division multiplexing (OFDM) systems. Conventional peak windowing algorithm has advantages, such as moderate system complexity with good spectral shape. However, adjacent peak signals within the length of window functions produce the distortion of signal amplitude since window functions might overap with each other. These undesired characteristics of conventional peak windowing algorithm result in the degradation of BER performance. The proposed algorithm outperforms the conventional one with the aid of window-length adaptation. Simulation results show the efficiency of the proposed algorithm under the environments of WiBro downlink systems.
-
홈 네트워크 서비스의 활성화와 더불어 홈 네트워크 제어를 포함하는 다양한 서비스가 홈 게이트웨이를 중심으로 제공되고 있는데, 이러한 다양한 서비스의 지속적인 제공과 업그레이드 등을 위해서는 개방성 및 확장성을 갖춘 서비스 분배관리 플랫폼 (Service Delivery & Management Platform)이 필수 요소가 된다. 서비스 분배관리 플랫폼은 홈 게이트웨이를 관리하는 기능 뿐아니라. 홈 게이트웨이상에 다운로드된 서비스의 라이프 사이클을 관리하는 방법을 제공한다. 서비스 제공자는 개발한 서비스 응용을 서비스 플랫폼에 등록하고, 서비스 분배라는 행위를 거쳐 홈게이트웨이의 프레임워크상에 다운로드되며, 해당 서비스 응용은 설치와 동시에 구동되면서 서비스 사용자에게 서비스를 제공하게 된다. 본 고에서는 홈네트워크 서비스 분배관리를 제공하는데 있어서, 서비스 사용자의 요구에 의한 pull 방식의 서비스 제공 방법과 시스템 관리자에 의한 push 방식의 서비스 제공 방법을 지원하는 서비스 분배관리 시스템의 구조 및 시나리오에 대해 제시하고자 한다.
-
This paper presents a Monte-Carlo based method to obtain a probability of interference among systems. We show an efficient algorithm to calculate not only in-band interference for a given emission mask, but out-of-band interference, which depends on the blocking performance of a victim receiver filter. Applying the proposed method to an arbitrary system, we show the simulation results by Matlab and compare them with those by a SEAMCAT software
-
This paper presents the design of a broadband hybrid mixer using dual-gate FET topology with a low-pass filter which improves return loss of output to isolate RF and LO signal. The low-pass filter shows the isolation whose RF and LO signal is better than 40 dBc at 2 GHz and 5 GHz band. The dual-gate mixer which has been designed by using cascade topology operates when the lower FET is biased in linear region and the upper FET is in saturation. The input matching circuit has been designed to have conversion gain from 2 GHz to 6 GHz. The designed mixer with low-pass filter shows the conversion gain of better than 7 dB from 2 GHz to 6 GHz at a low LO power level of 0 dBm with the fixed IF frequency of 21.4 MHz.
-
In this paper, based on an extended model containing correlator and soft decision decoding, the capacity is evaluated in the single-user case when the inputs are equiprobable. With this method, we adjusted optical communication over AWGN. It is found that only when bit- signal-to-noise ratio (bit-SNR) is high enough, larger M leads to higher capacity; and for a specific M, the optimal values of PPM time offset parameter Td, which maximize the capacity, are independent of bit-SNR. In this paper, the capacity of UWB system in optical fiber over AWGN is proven to improve 1.73 times larger than AWGN channel Capacity.
-
본 논문에서는 IEEE 802.11a 무선 랜에서 burst error에 대한 에러 정정 코드로 사용되는 블록 인터리버의 설계방법을 제안한다. 블록 인터리버 메모리는 읽기 쓰기의 주소가 다르기 때문에 주소생성을 하기 위한 회로가 복잡해진다. 본 논문에서 제안하는 방법은 블록 인터리버의 설계에서 사용되는
$16{\times}18$ 크기의 메모리를 세분화하여 데이터를 읽어 들일 때 쓰이는 롬이나 복잡한 로직을 제거하거나 메모리 선택기를 추가하여 보다 간소화된 주소 생성 모듈을 설계하여 로직의 효율을 높인다. -
In this paper, based on a detection criterion proposed recently, we investigate the performance of selective rake receivers (SRRs) in fading environment exhibiting impulsive nature. Optimum and suboptimum SRRs for the impulsive fading channel are derived, and suboptimum SRRs with reduced complexity are obtained for practical purposes. Simulation results confirm that, when the noise is impulsive the SRRs designed for impulsive noise offer performance improvement over those optimized for Gaussian environment. The suboptimum SRR is observed to exhibit almost the same performance as the optimum SRR.
-
The time synchronization method for Multi-band UWB systems is proposed. We analyze the performance in symbol synchronization using a training symbol with two identical halves. The two halves of the training symbol are made identical by transmitting a modulated sequence on the even frequencies, while zeros are used on the odd frequencies.
-
이 논문에서는 새로운 약신호 검파 기법을 얻어, 그 기법과 국소 최적 검파 기법을 바탕으로 순차 검파 방식을 이끌어낸다. 먼저, 새로운 약신호 검파 기법을 제안하고, 흥미로운 문턱값 성질을 몇가지 밝힌다. 제안한 순차 검파 방식에서 쓰는 두 문턱값은 어떤 단계에 이르면 크기가 바뀌어, 결정을 무한히 미룰 때도 있는 순차 확률비 검파 방식이 지닌 문제점을 풀어준다.
-
This paper discribes the nonlinear distortion of a pHEMT power amplifier. In the paper, we have used some commercially available power amplifiers for analyzing the relationship between the
$IMD_3$ and ACPR for wireless LAN. And the$IMD_3$ results using two-tone test have been compared with ACPR to satisfy the requited 802.11g standard ACPR value. Measurement result shows that$IMD_3$ of 20MHz tone-spacing need to be more than 18.45dBc for power amplifiers. The WCDMA signal is fed into the power amplifier, for analyzing relationship between the asymmetrical$IMD_3$ and ACLR. With measurement result, the asymmetrical$IMD_3$ characteristic has increased with the increase of two-tone spacing.$IMD_3$ measurement result with maximum 20MHz of the two-tone spacing, shows that the difference between$IMD_3(lower)$ and$IMD_3(upper)$ is about 7dB. And the measured ACLR shows 5dB difference at -4MHz and +4MHz offset from center frequency. -
In this paper, we put a focus with a node cognition section of home gateway for information equipments to operate in a home network environment organically individually. We used an IPv6 protocols on a home network node connection on IP base. A node used a certificate published in a registered process by a home gateway for security. We designed secure node cognition structure to have had strong identification.
-
Many kinds of communication systems will be co-existed in the next generation communication environment. Location management will be one of the most important strategies in the future communication network. Whether the user gets the service in any kind of communication system, it is required to know the location of the user properly and manages this location information efficiently. In this paper, mobile IP and WCDMA systems are considered as next generation communication systems. We improve the performance of communication in heterogeneous networks adjusting the conventional region-based location management strategy in homogeneous network. This strategy can be adjusted dynamically to the communication system on the basis of packet-to-mobility ratio (PMR) in mobile IP system or call-to-mobility ratio (CMR) in WCDMA system, lots of different system parameters, and movement pattern of the user. This can be also based on the performance analysis with considering signaling cost and database access cost.
-
Our country compares with advanced nations by supply of super high speed network and information communication infra construction has gone well very. Many people by extension of on-line transaction and various internet services can exchange, or get information easily in this environment. But, virus or poisonous information used to Cyber terror such as hacking was included within such a lot of information and such poisonous information are threatening national security as well as individual's private life. There were always security and speed among a lot of items to consider networks equipment from these circumstance to now when develop and install in trade-off relation. In this paper, we present a high speed VPN Acceleration Board(VPN-AB) that balances both speed and security requirements of high speed network environment. Our VPN-AB supports two VPN protocols, IPsec and SSL. The protocols have a many cryptographic algorithms, DES, 3DES, AES, MD5, and SHA-1, etc.. The acceleration board process data packets into the system with In-line mode. So it is possible that VPN-AB processes inbound and outbound packets by 10Gbps. We use Nitrox-II CN2560 security processor VPN-AB is designed using that supports many hardware security modules and two SPI-4.2 interfaces to design VPN-AB.
-
We propose a fully converged Ethernet and TDM transport system. Developed Ethernet and TDM convergence system can support not only L2VPN service and premium multimedia service based on MPLS protocol but also TDM leased line service, simultaneously. Developed convergence system can provide high reliability for Ethernet data due to support protection and restoration function of circuit based networks. Evaluation for Ethernet and TDM path was successfully performed to show the typical application of the proposed system in the legacy networks.
-
In this paper, we construct UWB system formed by MIMO(Multi-input Multi-output) antennas using indoor WPAN(Wireless Personal Area Network) channel modeling and analyze the interference among these channels.
-
Wireless LANs based on the IEEE 802.11 standard are widely spread for use nowadays. Traffic which are conveyed over the WLANs change rapidly from normal data such as Email and Web pages, to multimedia data of high resolution video and voice. To meet QoS (Quality of Service) required by these multimedia traffic, the IEEE 802 committee recently has developed a new standard, IEEE 802.11e. Current IEEE 802.11e, however, is not sufficient to support service differentiations and network performance enhancements, under a varying network environment experiencing as varying channel characteristics and high network loads. Recently, there have been much research to complement this deficiency of 802.11e standard. This paper surveys these research efforts.
-
In this paper, we propose a Digital Living Network Alliance (DLNA) proxy system, to provide services for accessing and sharing various DLNA-based media contents which are distributed over his/her or other's home facilities via home network or internet. The proposed system based on DLNA[1] and SIP Notification mechanism[2] so that data such as contents can be transferred from home network consisted with private IP from outside public IP networks.
-
In this paper, we explain a various fiber to the home technology and give some important standardization status. And, passive optical networks which are WDM-PON, Ethernet PON and/or Gigabit-PON will be mainly deployed in populated subscriber area and multiple dwelling units with taking great advantage of OPEX. And finally we discuss FTTH deployment strategy with low capital cost.
-
In this paper we design and evaluate Hybrid Wireless Mesh Networks on IEEE 802.11-based network. So we analysis the CWMNs and BWMNs to integrate them. The CWMNs of Ad hoc Mode cannot be directly connected to the BWMNs of Infrastructure Mode because they have different frame types. We propose the new effective HWMNs scheme using DYMO than OLSR protocol for the inter-operability and the mobility
-
This paper presents the design of a down-conversion mixer with built-in active balun integrated in a
$0.25\;{\mu}m$ pHEMT process. The active balun consists of series-connected common-gate FET and common-source FET. The designed balun achieved broadband characteristics by optimizing gate-width and bias condition for the reduction in parasitic effect. From DC to more than 6GHz, the active balun shows the phase error of less than 3 degree and the gain error of less than 0.4 dB. A single-balanced down-conversion mixer with built-in broadband active balun has been designed with optimum width, load resistor and bias for conversion gain and without any matching component for broadband operating. The designed mixer whose size of including on-chip bias circuit is$1\;mm{\times}1\;mm$ shows the conversion gain of better than 7 dB from 2 GHz to 6 GHz and$P_{1dB}$ of -10 dBm at 5.8 GHz -
본 논문에서 제안하는 방안은 Cricket 방식을 사용하는 위치 인식 시스템에서 전력 소모를 억제하는 방안이다. 복수의 전송 모듈인 Beacon 과 유저당 한 개씩 갖게 되는 수신모듈 Listener 로 구성되는 기본적인 Cricket 구조에서 전송 모듈인 Beacon 은 일반적으로 랜덤 방식으로 송신을 행하게 된다. 이럴 경우 사용자가 없는 구역의 Beacon 이 초음파를 계속 발생함으로써 불필요한 전력 낭비가 생긴다. 이를 막기 위해 사용자가 있는 구역의 Beacon 들에게 우선순위를 부여하여 이 Beacon 들이 우선적으로 전송을 행하게 하는 방안을 고안한다. 이 방안은 시스템의 규모가 클수록 그 효율을 발휘한다. 유저가 있는 영역의 시스템만 가동되므로 불필요한 Beacon 전력 낭비를 줄일 수 있기 때문이다. 본 논문에서는 기본적인 Cricket 구조의 설명에 이어, 제안하는 방안에 대해 논하고 마지막으로 시뮬레이션을 통한 성능 분석을 행하였다.
-
무선 네트워크 이동성 기술에 대한 연구가 수년간 진행되어 오면서 Mobile Network에 PAN(Personal Area Network)과 유사한 형태의 Nested Mobile Network에 대한 관심이 높아지고 있으며, 이러한 Nested Mobile Network에서의 경로최적화(Route Optimization : RO) 기술에 대한 연구가 활발하게 진행되고 있다. NEMO(NEtwork MObility)의 RO를 위해 제안된 논문 중에 ORC(Optimized Route Cache Protocol)에 대한 제안이 있었다.[1] NEMO Basic Support가 표준안으로 채택되면서 연구 대상에서 거론되지 않고 있지만, 복잡한 이동성 기술인 Nested Mobile Network상의 RO를 위해 다시 검토해 볼 수 있을 것이다. 또한 동일 저자에 의해 제안된 Nested Mobile Network 내부에 Ad-hoc Routing 알고리즘인 OLSR(Optimized Link State Routing Protocol)을 적용한 제안이 발표되었다.[2] 본 논문에서는 ORC와 Nested Mobile Network상의 OLSR Scheme을 적용하여 RO를 위한 방안을 제안하고자 한다.
-
This paper proposes an OSGi Gateway based framework for ubiquitous computing home networks, presents an example of a service discovery procedure based on this architecture, and identifies some key challenges for the implementation of ubiquitous computing home networks.
-
In this paper, we propose a frequency synthesizer for dualband repeater. The dual-band RF technology for applications to the wireless repeater for CDMA and WCDMA mobile communications has been developed in this paper. The dualband PLL module consisted of dual-band VCO and one PLL IC has been developed. The main technological efforts for the dual-band PLL module is to suppress the intermodulation distortion by applying the miniature ceramic filter using the slow wave characteristics. The dual-band miniature RF module including dual-band PLL module and one MCU controller is very attractive for applications to the miniature dual-band RF mobile repeaters.
-
WPA is a security service that greatly increases data protection and access control on WLANs. WPA uses TKIP that is secure algorithm of 802.11i and 802.1X/EAP authentication. It provides enhanced secure ability with the dynamic security key to correct WEP's weaknesses. In this paper, we obtain measured data transmission time that by how to create secure key of WPA in the wired and wireless network. The result shows that the delay of data transmission time was not long even if used WPA.
-
In this paper, we propose a two step Binary Exponential search algorithm for rapid acquisition time of UWB(Ultra Wide Band) signal on UWB communication systems. Previous proposed timing acquisition algorithm is searching whole frame that consist of the number of n Bins to terminate search, however this paper proposed two step Binary Exponential search algorithm can achieve remarkable reduction of UWB signal acquisition time as limiting search group. Proposed search algorithm is consisting of search group establishment step and Bit Reversing of search group establishment step.
-
IEEE802.11 DCF에서는 hidden node문제를 해결하기 위하여 RTS/CTS 교환을 사용한다. 그러나 실제로는 전송에 필요한 파워보다 간섭을 일으킬 수 있는 파워가 작다. 따라서 RTS나 CTS를 받지 않은 노드에서 전송을 시작할 경우에도 간섭에 의해 충돌이 발생할 수 있다. 멀티홉 애드혹 환경에서는 이 현상이 더 큰 성능 저하를 불러 일으킬 수 있다. 본 논문에서는 기존의 RTS/CTS 교환에서 CTS를 받은 노드들이 STS 패킷을 보내고 STS를 받은 노드가 자신이 전송을 시작할 경우 데이터를 받는 노드에서의 간섭 효과를 계산해 간섭 효과가 클 경우 전송을 지연시키는 과정을 추가하였다. 따라서 간섭에 의한 충돌이 발생하지 않도록 하였다. 또한 시뮬레이션 결과를 통하여 이 방식이 멀티홉 애드혹 환경에서 성능을 향상 시켰음을 보였다.
-
본 논문은 MMoF 시스템의 광학채널을 비선형 채널로 가정하고, 단일 반송파 전송 기법을 사용한 경우와 다중 반송파 전송 기법을 사용한 경우의 성능을 모의실험을 통하여 비교하였다. 이때 다중 반송파를 사용하는 MMoF-OFDM 시스템의 성능이 단일반송파를 이용하는 MMoF 시스템에 비해 우수함을 확인할 수 있었다. 또한, 다중 반송파를 사용하는 경우 PAPR을 개선하기 위하여 PTS 기법을 사용하면 PAPR을 낮출 수는 있었지만 시스템의 성능엔 큰 차이가 없었다.
-
본 논문은 빠른 페이딩 환경에서의 OFDM 시스템 채널 추정 기법에 대하여 소개한다. 기존의 OFDM 채널 추정 기법은 준 정적인 채널 환경을 가정하여 전개되었다. 이러한 환경에 대한 가정을 기반으로 하는 채널 추정 기법은 OFDM 블록내에서 채널이 변하는 빠른 페이딩 환경에서 심각한 에러 플로어를 겪게된다. 따라서 본 논문에서는 OFDM 블록내에서도 채널이 변한다는 가정하에 시간과 주파수 두개의 차원에서의 상관성를 이용하여 ICI 를 제거하여 반복적으로 채널을 추정하는 기법을 제안한다.
-
In this paper, we propose P-SRA (Proposed Simplified Resource Allocation) algorithm for efficient resource allocation for real time traffic in MIMO-OFDMA (Multiple Input Multiple Output - Orthogonal Frequency Division Multiple Access) systems with V-BLAST (Vertical-Bell laboratories LAyered Space-Time coding) detector. The proposed P-SRA scheme employs efficient 3 step resource allocation algorithm with plain V-BLAST and no H-ARQ, however it achieves comparable performance of a MIMO-OFDMA system utilizing error compensated V-BLAST and H-ARQ IR scheme.
-
우리는 레일리 페이딩 채널에서 다중 전송 안테나를 사용한 전송 방식을 택한 Alamouti 방식의 전송쌍 에러 확률(Pairwise error probability)을 사용해서 시스템의 성능을 분석해 보았다. 데이터는 시공간 블록 코딩을 사용해서 전송 안테나에 n 개의 배열로 전송되어졌다. 각각의 수신안테나의 수신 신호는 채널의 상태에 따라 변형된 n개의 송신 신호들의 선형결합으로 수신된다. 최대 가능도 복호 알고리즘(Maximum likelihood decoding algorithm)은 신호를 다시 재결합하는 간단한 방법을 사용하였다. 이러한 방식은 시공간 블록코드의 직교적인 성질을 이용한 것이고, 수신기에서의 수신신호가 선형결합이라는 것을 바탕으로 한 것이다. 모의실험을 통해서 시공간 블록 코딩을 사용하고, 다중 안테나를 사용한 시스템에서 우리가 분석한 결과를 확인했다.
-
The power saving mechanism of IEEE 802.16e operates in two modes; awake mode and sleep mode. While the user terminal transmits and receives packets in awake mode, it sleeps for a given interval to save the power consumption in sleep mode. The IEEE 802.16e specifies that the user terminal increases the sleep interval exponentially unless it has to wake up. In this paper, we analyze the performance of IEEE 802.16e power saving mechanism by considering down link traffic conditions. With the extensive simulations, we observe the trade-off between the power saving performance and the average packet delay. In addition, we observe that various performance parameters of IEEE 802.16e power saving mechanism are affected by the traffic patterns.
-
Several video coding standards, such as MPEG-4 and H.263, have been investigated to reduce the resulting number of bits while pursuing the maximum video quality. The recent video coding standard, H.264, provides higher coding efficiency than previous coding standards by using the mode decision scheme. For mode decision, H.264 chooses the best macroblock mode among the several candidates using Lagrangian cost function which reflects both the rate and the distortion. H.264 employs only one rate-distortion optimization (RDO) model for all macroblocks. Since the characteristics of each macroblock is different, each macroblock should have its own RDO model. In this paper, we propose an adaptive rate-distortion optimization algorithm for H.264. We regulate the Lagrangian multiplier considering the picture type and characteristics of each macroblock.
-
In this paper, we proposed that technique for reducing trailing artifact in MPEG-4 video. low bit-rate video is occured trailng artifact which remain part of moving object. Because MEMC doesn't work well in the degraded video. The proposed trailing artifact reduction approach has at its core three main steps. First step is to detect trailing artifact. Second step is applied intra block coding to remove trailing artifact. Finally, bit-rate control algorithm is used. Experimental result using an MPEG-4 based encoder indicate that the proposed method has overhead(bits) of about 2 percent and proposed method effective reduce or eliminate trailing artifact
-
움직임 추정은 비디오신호의 압축에 중요한 역할을 한다. 본 논문은 효율적으로 움직임 벡터를 찾기 위하여 움직임 벡터의 시간적, 공간적 유사성을 이용하였다. 벡터를 검색하기 이전에 움직임 벡터의 검색 범위를 크게 9개의 영역으로 나눈 후, 이전 프레임에서 동일한 위치, 현재 프레임의 현재 매크로블록의 상위, 상우와 좌측의 매크로블록에서의 움직임 벡터까지 총 4개의 움직임 벡터를 이용하여 9개의 영역 중 한 영역을 제 1 후보, 그를 둘러싼 영역을 제 2 후보라 정하고 극소점들(Local Minima)을 피하였다. 모의실험을 통한 결과 NDS(New Diamond Search) 알고리즘에 비하여 매크로블록 당 평균 탐색 포인트 수가 5.79 포인트 감소하고, MSE는 최대 104.23 감소한 것을 확인할 수 있었다.
-
In this paper, we proposed a new MCTF (Motion Compensated Temporal Filtering) technique and its hardware (H/W) architecture for SVC (Scalable Video Coding). Since the proposed MCTF Kernel has a extensible architecture, it executes temporal filtering using (5,3) and (3,1) lifting operation. Also it has the same output data rate as the input, and it can continuously produce filtered frames after some latency time. Since the proposed architecture has simpler architecture than previous ones, it is easily mapped into H/W and has optimized memory usage rate and low cost.
-
We present an efficient block-based motion estimation algorithm with motion analysis. The motion analysis determines a size of search pattern and a maximum repeated count of search pattern. In case of large movement in large image, we reduce search points and the local minimum which caused by low performance. The proposed algorithm employs with searching step of 2. The first step determines an initial search point with neighbor block vector and a size of initial search pattern. The second step determines a size of search pattern and a maximum repeated count with motion analysis. We improve motion prediction accuracy while reducing required computational complexity compared to other fast block-based motion estimation algorithms.
-
In this study, a basic concept of wavelet encoding and its advantages over Fourier based phase encoding application. Wavelet encoding has been proposed as an alternative way to Fourier based phase encoding in magnetic resonance imaging. In wavelet encoding, the RF pulse is designed to generate wavelet-shaped excitation profile of spins. From the resulting echo signals, the wavelet transform coefficients of spin distribution are acquired and an original spin density is reconstructed from wavelet expansion. Wavelet encoding has several advantages over phase encoding. By minimizing redundancy of the data acquisition in a dynamic series of images, we can avoid some encoding steps without serious loss of quality in reconstructed image. This strategy may be regarded as data compression during imaging. Although there are some limitations in wavelet encoding, it is a promising scheme in a dynamic imaging.
-
This paper proposes a new tracking algorithm using the Kalman estimator based color SSD algorithm. The Kalman estimator includes the color information as well as the position and size of the face region in its state vector, to take care of the variation of skin color while faces are moving. Based on the estimated face position, the color SSD algorithm finds the face matching with the one in the previous frame even when the color and size of the face region vary. The features of a face region extracted by the color SSD algorithm are used to update the state of the Kalman estimator.
-
In this paper, we propose a new fake-iris detection method. In order to detect the fake-iris, we measure the change of the reflectance ratio between iris and sclera. In a live iris, the amount of change is relatively large compared to that in a fake-iris. From our experimental results, it is clear that our fake-iris detection method achieves high performance when distinguishing between a live-iris and a fake-iris.
-
Fingerprint recognition systems are the most widely used in biometrics for personal authentication. As they become more familiar, the security weaknesses of fingerprint sensors are becoming better known. In this paper, we propose a liveness detection method that applies correlation filter to the fingerprint recognition systems. The physiological characteristic of sweat pore, observed only in live people, is used as a measure to classify 'live' fingers from 'spoof' fingers. Previous works show that detection of sweat pores and perspiration patterns in fingerprint images can be used as an anti-spoofing measure. These methods don't consider the characteristic of pores in each individual. We construct the correlation filters of each individual which are composed of their pore information. We make the final decision about the "livens" of fingerprint using correlation output. The proposed algorithm was applied to a data set of 110 live, 110 spoof fingerprint images from optical fingerprint scanner and achieved classification rate of 80%.
-
본 논문에서는 원거리에서 촬영한 영상을 가지고 얼굴 인식의 전처리 과정인 얼굴 영역 검출에 관한 알고리즘을 제안하였다. 원거리에서 촬영된 영상은 얼굴에 대한 특징 정보가 부족하여 검출 및 판별이 어려웠으나 본 논문에서 제안한 알고리즘을 적용하면 적은 정보만을 가지고 얼굴 검출 및 판별이 가능하다. 제안된 알고리즘은 피부색에 대한 색상 정보와 명암 정보를 이용하여 얼굴 영역을 추출하였고, 추출된 얼굴 영역으로부터 눈, 코, 입뿐만 아니라 이마 영역도 검출함으로써 얼굴 검출 효율을 개선하였다.
-
In this paper, for detecting human faces under variations of lighting condition and facial expression, distance ranking feature and detection algorithm based on the feature are proposed. Distance ranking is the intensity ranking of a distance transformed image. Based on statistically consistent edge information, distance ranking is robust to lighting condition change. The proposed detection algorithm is a matching algorithm based on FFT and a solution of discretization problem in the sliding window methods. In experiments, face detection results in the situation of varying lighting condition, complex background, facial expression change and partial occlusion of face are shown
-
This paper proposes a novel method for content-based watermarking based on feature points of an image. At each feature point, watermark is embedded after affine normalization according to the local characteristic scale and orientation. The characteristic scale is the scale at which the normalized scale-space representation of an image attains a maximum value, and the characteristic orientation is the angle of the principal axis of an image. By binding watermarking with the local characteristics of an image, resilience against affine transformations can be obtained. Experimental results show that the proposed method is robust against various image processing steps including affine transformations, cropping, filtering, and JPEG compression.
-
A novel Color Image Saturation enhancement method to strengthen video images without producing oversaturated or color contour artifacts is proposed in this paper. Based on the luminance information, the relations between luminance levels and maximum chrominance vectors in each subcolor are generated for each pixel, so that the upper bound of the allowed saturation factor for a pixel can be derived, and much vivid video image can be achieved
-
Yoon, Seung-Uk;Lee, Eun-Kyung;Kim, Sung-Yeol;Ho, Yo-Sung;Yun, Kug-Jin;Kim, Dae-Hee;Hur, Nam-Ho;Lee, Soo-In 375
The multi-view video is a collection of multiple videos capturing the same scene at different viewpoints. The multi-view video can be used in various applications, including free viewpoint TV and three-dimensional TV. Since the data size of the multi-view video linearly increases as the number of cameras, it is necessary to compress multi-view video data for efficient storage and transmission. The multi-view video can be coded using the concept of the layered depth image (LDI). In this paper, we describe a procedure to generate LDI from the natural multi-view video and present a method to encode multi-view video using the concept of LDI. -
This study proposes an effective algorithm that can render a realistic image of a lighting environment, especially an automotive rear lamp, using the backward ray tracing method. To producea realistic image similar to that perceived by the human eye, the incident light energy at the eye point estimated by a ray tracing algorithm is represented by XYZ tri-stimulus values, which are then converted into RGB values considering the particular display device.
-
본 논문에서는 Hough 변환을 이용하여 도로 차선의 핵심 정보를 추출하고 차선을 인식하는 방법을 제안하고 실시간으로 차선 인식이 용이 하도록 차선 검출의 고속화 방법을 제안한다. 고속화를 위해 이미지를 작은 영역(Interest Zone)으로 분할하고 분할된 영역에 대해 Hough 변환을 수행하여 영역내의 차선을 검출한다. 검출된 차선의 패턴 정보를 이용하여 다음 Step의 Interest Zone을 결정하고 Hough 변환의 수행을 반복하여 차선 검출을 시도 하였다. 또한 실험 영상을 대상으로 시뮬레이션 수행한 결과를 제시하고 제안 방법의 유효성을 검증하였다.
-
Parameter estimation for the probability model of wavelet coefficients is essential to the wavelet-domain interpolation. However, phase uncertainty, one well-known drawback of the orthogonal wavelet transforms, make it difficult to estimate parameters. In this paper, we exploit a phase shifting matrix in order to improve the accuracy of estimation. Nonlinear modeling to capture the interscale characteristics is also described. The experimental results show that the proposed method outperforms the previous wavelet-domain interpolation method as well as the conventional bicubic method.
-
In this paper, we present an approach that is able to reconstruct 3 dimensional metric models from un-calibrated images acquired by a freely moved camera system. If nothing is known of the calibration of either camera, nor the arrangement of one camera which respect to the other, then the projective reconstruction will have projective distortion which expressed by an arbitrary projective transformation. The distortion on the reconstruction is removed from projection to metric through self-calibration. The self-calibration requires no information about the camera matrices, or information about the scene geometry. Self-calibration is the process of determining internal camera parameters directly from multiply un-calibrated images. Self-calibration avoids the onerous task of calibrating cameras which needs to use special calibration objects. The root of the method is setting a uniquely fixed conic(absolute quadric) in 3D space. And it can make possible to figure out some way from the images. Once absolute quadric is identified, the metric geometry can be computed. We compared reconstruction image from calibrated images with the result by self-calibration method.
-
The acquisition of a panoramic image has been popular in recent years due to its large number of applications. In this paper, we deal with generation of a full panoramic mosaic. When it mosaicing consecutive frames, a simple alignment method may lead to local and global alignment errors. These errors can make a full-view panoramic mosaic to be cracked. In order to solve this problem, we propose the bidirectional alignment with multi-anchor (BAMA) algorithm with a basic local alignment formula. Experimental results show that we can create full panoramic mosaics stably through the proposed algorithm.
-
For efficient and accurate diagnosis of ultrasound images, the time gain compensation (TGC) and dynamic range (DR) control of the ultrasound echo signal are important. TGC is for compensating the attenuation of the ultrasound echo signal along the depth, and DR is used to control the image contrast. In this paper, we propose an algorithm for finding the optimized values of TGC and DR automatically. For TGC, the degree of compensation is determined along the depth based on the effective attenuation estimation of ultrasound signal. For DR optimization, we introduce a novel cost function on the basis of the characteristics of ultrasound image, which provides the minimum value at the optimal DR. Experiments have been performed by applying the proposed algorithm to a real US imaging system. The results show that the algorithm automatically can determine the values of TGC and DR in realtime so that the subjective quality of the corresponding US image may be good enough for diagnosis.
-
The purpose of this paper is to propose ways to remove false color noise (FCN) generated during de-mosaicing on RGB Bayer pattern images. In case of images sensors adapting Bayer pattern color filters array (CFA), de-mosaicing is conducted to recover the RGB color data in single pixels. Here, FCN phenomena would occur where there is clearer silhouette or contrast of colors. The FCN phenomena found during de-mosaicking process appears locally in the edges inside the image and the proposed method of eliminating this is to convert RGB color space to YCbCr space to conduct smoothing process. Moreover, for edges where different colors come together, censoring based smoothing technique is proposed as a way to minimize color blurring effect.
-
For reducing DFC(Dynamic False Contour), we propose a new PDP driving method by rearrangement of sub-fields. The proposed method constructs a frame using 16 sub-fields for expressing 256 gray levels. Although the number of sub-fields increases, the display time increases compared to the conventional 8 sub-fields driving method. This increase in display time is achieved by properly using both selective writing and selective erasing for each sub-field.
-
The most recent trend on digital hearing aid is to increase the ratio of signal to noise by directivity or to develop noise reduction algorithm inside DSP IC chip. This paper designed, fabricated and tested a digital hearing aid directivity testing device in which a micro-mouse-like the stepping motor with a speaker rotates around an examinant. Both ears of the examinant were fixed with ITE hearing aids in order to response to receiving sound. The diameter of the directivity testing device was 2 [m] and the micro-mouse was precisely controlled by PICBASIC micro processor.
-
DSP chip parameters of a digital hearing aid (HA) should be optimally selected or fitted for hearing impaired persons. The more precise parameter fitting guarantees the better compensation of the hearing loss (HL). Digital HAs adopt DSP chips for more precise fitting of various HL threshold curve patterns. A specific DSP chip such as Gennum GB3211 was designed and manufactured in order to match up to about 4.7 billion different possible HL cases with combination of 7 limited parameters. This paper deals with a digital HA fitting program which is developed for optimal fitting of GB3211 DSP chip parameters. The fitting program has completed feature from audiogram input to DSP chip interface. The compensation effects of the microphone and the receiver are also included. The paper shows some application examples.
-
In this paper, a display color characteristic simulation algorithm is proposed for nonstandard display units under development. In this algorithm, signal transformation matrix is calculated from the transfer characteristic of a nonstandard display unit to reproduce the same color of the one on a standard CRT display. Proposed algorithm can be used for the simulation of various color reproduction characteristics and the performance improvement of the nonstandard display.
-
In this paper, we propose a receiver that combines a channel detector with a channel decoder to retrieve information from ISI and AWGN in an iteratively manner. The receiver, evolving from a system of a PRML detector and a RS decoder, consists of a SOVA detector followed by a LDPC decoder and has them exchange information iteratively. Rather than handling extrinsic reliabilities explicitly as in Turbo equalization, we take hard-decision values from the LDPC decoder and mix them with the channel output in a certain ratio as input for SOVA. The scheme, simply modified to the one-way structure of a SOVA and a LDPC decoder, shows improved performance with iteration numbers as well as the combining ratio of the channel output and the feedback output. We additionally analyze the receiver with a simple theoretical model and present some valuable properties.
-
In this paper, we propose a new post-processing method, based on the theory of the projection onto convex sets (POCS) to reduce the blocking artifacts in decoded images. We propose a new smoothness constraint set (SCS) and its projection operator in the wavelet transform (WT) domain to remove unnecessary high-frequency components caused by blocking artifacts. We also propose a new method to find and preserve the original high frequency components of the image edge. Experimental results show that the proposed method can not only achieve a significantly enhanced subjective quality, but also have the PSNR improvement in the output image.
-
본 논문은 멀티채널 오디오 압축 코덱의 음질을 객관적으로 평가할 수 있는 시스템 및 파라메터에 관한 것으로, 멀티채널 오디오 신호로부터 양이입력신호(ear input signals)를 만들어내는 전처리 과정과 이 과정을 통해 출력되는 양이입력신호로부터 양이레벨차이왜곡(inter-aural level difference distortion)을 구하는 과정 및 양이레벨차이왜곡이 청취평가 결과와 일관적인 상관관계를 보임을 서술한다. 본 연구에 의하면 멀티채널 오디오 압축 코덱의 음질을 선별된 청취자에 의한 주관적인 평가와 통계처리 없이 객관적인 측정만을 통해 평가하는 것이 가능하며, 이를 사용하면 멀티채널 오디오 압축 코덱 개발자들이 시간, 경제적 부담 없이 자신이 개발한 압축 코덱의 음질을 간단하게 평가해볼 수 있다.
-
In this paper, we implemented a system that is called sound engine in musical synthesizer and synthesized a sound of Gayageum using TMS320C6713 DSK. Sound engine consists of two parts: synthesis algorithm and processor. We improved physical modeling using digital waveguide as a synthesis algorithm and we used TMS320C6713 as a processor. The excitation signals that make timbre are stored in memory. When we input parameters, sound engine synthesizes sound of Gayageum. The experimental result shows that synthesized sounds are very similar to real sounds.
-
An airborne radar performance can be sensitive to the variation of the Doppler center frequency and the spectral spread of the ground clutter return due to the radar platform moving and aspect angle of the scanning beam to the target. In this paper, for the performance test of the airborne pulsed Doppler radar system developed, the high-speed radar data acquisition system is implemented for acquiring the raw radar signal in real-time. Based on the various test scenarios from airborne-platform to the moving platform, the various radar target and clutter signals are collected and their spectrum is analyzed for the verification of the radar performance in the real-time flight test environments.
-
This paper presents the results of the design and implementation of the airborne pulse doppler radar signal processor using high multi-DSP for the multi-function radar capability such as short-range, midium-range, and long-range depending on the mission of the vehicle. Particularly, the radar signal processor is developed using two DSP boards in parallel for the various radar signal processing algorithm. The key algorithms include LFM chirp waveform-based pulse compression, MTI clutter filter, MTD processor, adaptive CFAR, and clutter map. Especially airborne moving clutter Doppler spectrum compensation algorithm such as TACCAR is implemented for the multi-mode airborne radar system. The test results shows the good Doppler spectral separation for the clutter and the moving target in the flight test environment using helicopter
-
Multi-channel artificial reverberation algorithm to control perceived direction and distance is described in this paper. In conventional algorithms using IIR filters, reverberation time is the only parameter to be controlled. Moreover, since the convolution-based conventional algorithms apply only same impulse responses, but not considering sound localization, it was not realistic enough. The new algorithm proposed in this paper utilizes early reflections segmented according to the azimuth from which direct sound comes and controls perceived direction by panning the direct sound, and controls perceived distance by adjusting Energy Decay Curve (EDC) of reverberation and gain of the direct sound. In addition, the algorithm enhances Listener Envelopment(LEV) to make late reverberation incoherent among channels.
-
일반적으로 심전도는 심장계통의 질환을 판단할 때 사용된다. 이러한 심장질환의 이상 유무를 자동으로 진단하기 위해서는 QRS파형 검출을 필요로 하며, 이를 위하여 웨이블렛변환 방법이나 템플릿매칭, 룰 베이스 방법 등 여러 가지 방법들이 쓰이고 있으나, 심전도 신호가 표준화된 형태를 갖지 않는 경우는 검출 능력에 많은 한계를 갖고 있다. 본 논문은 파형의 베이스라인(baseline)을 기준으로 진폭 값에 절대치을 취하는 방법으로 파형의 R피크값을 검출하는 알고리즘을 제안한다. 결과를 검증하기 위해 MIT-BIH 데이타베이스에서 제공하는 데이터와 R피크값을 본 논문의 알고리즘으로 추출된 R피크값과 비교한 결과 96.7%의 검출률을 보였다.
-
When a hearing aid' s user is listening through telephone or cellular phone, he/she usually suffers from severe electrical magnetic interference noise. It is because hearing aids amplify voice signal as well as background noise. A telecoil, an induction coil, is a possible solution for the problem. Because a telecoil has the characteristic of high pass filter, it has some problem of resulting increased high frequency noise. For solving this problem, we can use a capacitor connected with the telecoil in parallel. According to capacitance, receiving signal quality may change. In this paper, proper capacitor values for the best sound quality are investigated by experimental work.
-
심전도 신호의 잡음 신호는 일정한 주파수대역에 존재하지 않고 측정자의 신체 및 환경조건에 따라서 잡음의 종류와 정도가 다르다. 따라서 기존의 고정 주파수 특성을 갖고 있는 필터로는 효율적인 잡음 제거가 불가능하다. 그래서 본 논문에서는 상황인식을 통해 잡음의 형태를 파악하여 적응적으로 필터를 재구성하는 적응적 잡음제거기술을 제안한다.
-
In this paper, we propose a new deinterlacing algorithm based on motion estimation and compensation with variable block size. Motion compensated methods using a fixed block size tend to produce undesirable artifacts when there exist complicated motion and high frequency components. In the proposed algorithm, the initial block size of motion estimation is determined based on the existence of global motion. Then, the block is divided depending on block characteristics. Since motion compensated deinterlacing may not always provide satisfactory results, the proposed method also use an intrafield spatial deinterlacing. Experimental results show that the proposed method provides noticeable improvements compared to motion compensated deinterlacing with a fixed block size.
-
Lee, Chang-Woo;Kim, Min-Kyu;Lim, Hyung-Gyu;Yoon, Young-Ho;Park, Il-Yong;Song, Byung-Seop;Cho, Jin-Ho 473
In this paper a new type of piezoelectric floating mass type transducer (PFMT) which has advantages of the piezoelectric transducers and the electromagnetic ones has been proposed and designed. To evaluate the frequency characteristics of the PFMT, the finite element analysis and the acoustic simulation of transducer have been performed. The designed PFMT was implemented by a precision manufacturing and the vibration characteristics of the PFMT were measured. Through the measured results from various experiments, it is verified that the implemented PFMT can be used in implantable middle ear hearing devices. -
In this paper, we propose a preprocess method to needs for Car License Plate Recognition on poor environment. This preprocess method use multi images to get low value to compare images value. Last method was Opening operation that Using Edge pixel to add and subtraction. The Result was removed White pixel and very mini feather. But This method needs many process times and License Plate Recognition is low quality problem. Another method is median filter and conversion. This paper key idea that rain & snow is high value. So This paper propose get low value to compare image value.
-
Inherent opportunities on research for restoring high resolution image from low resolution images are increasing in these days. Super resolution image reconstruction is the process of combining multiple low resolution images to form a higher resolution one. To achieve super resolution reconstruction, proper observation model which is based on subpixel shift information is required. In this context, the importance of the subpixel registration cannot be estimated because subpixel shift information cannot be obtained from original image. This paper presents a regularized adaptive super resolution reconstruction method based on phase correlated subpixel registration, where the Constrained Least Squares(CLS) Restoration is adopted as a post process.
-
Even though the blood pressure is one of the most widely used index for the healthcare monitoring of hypertensive and normotensive persons, there is no non-intrusive measurement method which is commercialized until now. Pulse Arrival Time (PAT) is known that it has close relation with the systolic blood pressure (SBP) and arterial stiffness. In this study, SBP estimation methods by non-intrusive measurement of PAT are suggested. For the unconstrained measurement of PAT, the first method used the electrically non contact electrocardiogram (ENC-ECG) technique and the reflective type of Photoplethysmography (PPG) sensor on the computer mouse. In the second method, ENC-ECG and the air pressure sensor in the seat cushion on a chair were measured. The third method used ECG electrodes and PPG sensors on the toilet seat cover. The validation and regression analysis of the relationship of PAT and SBP are summarized. These methods have considerable errors to be used for all people. But these can be applied for each subject after the parameter customization within acceptable error. So, it is feasible for suggested methods to be used for monitoring of SBP in daily life in non-intrusive way when there is personal identification system of each subject.
-
For home healthcare, the unconstrained measurement of physiological signal is highly required to avoid the inconvenience of users. The recording and analysis of the fundamental parameters during sleep like respiration and heart beat provide valuable information on his/her healthcare. Using the air mattress sensor system, the respiration and heart beat movements can be measured without any harness or sensor on the subject's body. The differential measurement technique between two air cells is adopted to enhance the sensitivity. The balancing tube between two air cells is used to increase the robustness against postural changes during the measurement period. The meaningful frequency range could be selected by the pneumatic filter with balancing tube. ECG (Electrocardiography) and respiration sensor (plethysmography) were measured for comparison with the signal from air mattress. To extract the heart beat information from air pressure sensor, digital signal processing technique was used. The accuracy for breathing interval and heart beat monitoring was acceptable. It shows the potentials of air mattress sensor system to be the unconstrained home sleep monitoring system.
-
This paper presents a design of a hybrid sensor chip which integrates an A/D converter module and a phase measurement module for measuring power line phase. Recursive sliding DFT based phase measurement module is designed using time shared multiplier which can reduce the size of SoC implementation. A/D converter is based on the sigma delta modulation in order to minimize the implementation space of the analog part and designed to obtain 8-bit resolution. Computer simulations and FPGA implementation are performed to verify hybrid sensor chip design. The hybrid sensor chip for 4-channel power line phase measurement is fabricated by using 0.35 micrometer CMOS process.
-
블록 정합 기법(block matching algorithm, BMA) 중에서 가장 널리 알려진 3 단계 탐색(three-step search, 3SS) 알고리즘은 큰 움직임 추정에 적합하지만 고정된 탐색 점으로 인하여 작은 움직임 추정에는 계산 면에서 낭비가 심하고 탐색이 잘못될 경우가 대부분이다. 한편, 효율적인 3 단계 탐색(efficient three-step search, E3SS)은 중앙-편중된 움직임 추정을 작은 다이아몬드 탐색(small diamond search, SDS) 알고리즘으로 보완하여 예측성과 탐색 속도를 향상시킨 알고리즘이다. 본 논문에서는 탐색 초기 단계에서 탐색 점을 최적 배치하고 E3SS 의 SDS 알고리즘을 변형시킨 탐색 알고리즘을 제안한다. 실험 결과는 제안된 탐색 알고리즘이 E3SS 와 비교하여 평균 22% 정도 계산량을 감소시키면서도 MSE(Mean Square Error)의 성능 저하를 거의 보이지 않는 것으로 나타난다.
-
This paper presents the high speed noise reduction processing system using the MDFT on the frequency domain. The proposed system use the linear prediction coefficients of the AR method based on the SLMS(sign least mean square). The signals with a random noise tracking per-formance are examined through computer simu-lations. It is confirmed that the high speed adaptive noise reduction processing system is realized by the SLMS algorithms with rapid convergence on the FD(frequency domain).
-
The aim of this work is to design a real-time reusable image enhancement architecture for video signals, based on a spatial processing of the video sequence. The VHDL hardware description language has been used in order to make possible a top-down design methodology. By adding proposed algorithms to the LPR(License Plate Recognition) system, the system is implemented with reliability and safety on a rainy day. Spartan-2E XC2s300E is used as implementation platforms for real-time system.
-
SVC(Scalable Video coding) is the standardization of MPEG that aims to support multi spatial, temporal, and quality layers. We can support the video service guaranteeing the QoS in varied network circumstance by using SVC bitstream. In this paper, we propose the real-time SVC bitstream extractor that is able to extract the bitstream with varied frame rate and SNR quality in real-time. To do, extraction processing needs to be performed by GOP unit. As well , essential bitstream information for real-time extraction is aquired before doing extraction process. The proposed method is implemented by using JSVM 2.0. Experimental results show that the proposed method is valid.
-
In this paper, we propose a motion picture processor for CSTN-LCD without gamut problem. The proposed method employed CDF(Cumulative Density Function) in order to perform a mutual independent in image gradation and color balance. In addition, the proposed method has solved a gamut problem used by conversion of RGB system and CMY system. Also, we apply the BFI (Black Field Insertion) to the design to compensate for response time of a LC (Liquid Crystal). The proposed hardware architecture has been implemented and verified using a FPGA on prototype board. Visual test and standard deviation of histogram were introduced to evaluate the result of the proposed method and the original ones.
-
In order to measure ECG in daily life, a new ECG measurement method on bed was developed. The provided method does not require any direct conductive contact between the instrument and bare skin, so that it does not cause the uncomfortable feel of touch and the possible skin trouble which are typical shortcomings of the conventional conductive contact ECG measurement. The provided method utilized an array of high-input-impedance active electrodes fixed on the mattress and an indirect-skin-contact ground made of a large conductive textile sheet and laid on lower area of the mattress. A thin cotton bedcover covered the mattress, the electrodes, and the conductive textile and subjects lay on the mattress over the bedcover. ECG was obtained successfully. However its signal quality is lower and the motion artifact is larger than direct-contact measurement. Careful measurement setup was needed to reduce the motion artifact originated from variation in static electricity. From the ECG obtained by the provided method, R-peak could be discriminated easily and the information about the position and the posture of the subject could be obtained.
-
Adaptive streaming algorithm for the realtime video decoder that can be dynamically adaptable to the network channel status or terminal environment is described and performance analyzed. An LMS filter is used to predict the bitrate and predicted bitrate is used to optimize based prediction filter is used to adapt and optimize the quality of the decoded pictures. The quality of the output pictures are about 48dB.
-
In this paper, we propose a motion picture processor for CSTN LCD. In order to eliminate flicker phenomenon, the proposed processor suggests a new driving scheme, SFP(Subgroup Frame Pattern). We use an input image compression methode from RGB(:8:8;8) to RGB(5:6:5) to improve quality of the image and apply the image to CSTN Module. The proposed hardware architecture has been implemented and verified using a FPGA on prototype board. The proposed Algorithm provide a lower computational complexity. Therefore the processor can be used in the display devices such as PDA, mobile phone and PMP(Portable Multimedia Player).
-
본 논문에서는 사람의 감정, 건강상태, 정신상태등 다양한 정보를 포함하고 있는 웃음, 슬픔, 졸림, 놀람, 윙크, 무표정 등의 표정을 인식하기 위한 표정의 특징이 되는 얼굴의 국부적 요소인 눈과 입을 검출하여 표정의 특징을 추출한다. 표정 특징의 추출을 위한 전체적인 알고리즘 과정으로는 입력영상으로부터 칼라 정보를 이용하여 얼굴 영역을 검출하여 얼굴에서 특징점의 위치 정보를 이용하여 국부적 요소인 특징점 눈과 입을 추출한다. 이러한 특징점 추출 과정에서는 에지, 이진화, 모폴로지, 레이블링 등의 전처리 알고리즘을 적용한다. 레이블 영역의 크기를 이용하여 얼굴에서 눈, 눈썹, 코, 입 등의 1차 특징점을 추출하고 누적 히스토그램 값과 구조적인 위치 관계를 이용하여 2차 특징점 추출 과정을 거쳐 정확한 눈과 입을 추출한다. 표정 변화에 대한 표정의 특징을 정량적으로 측정하기 위해 추출된 특징점 눈과 입의 눈과 입의 크기와 면적, 미간 사이의 거리 그리고 눈에서 입까지의 거리 등 기하학적 정보를 이용하여 6가지 표정에 대한 표정의 특징을 추출한다.
-
H.264의 인트라 프레임 에러복원기법은 상하좌우 인접한 블록의 픽셀을 사용하여 거리의 가중치 평균값으로 손실된 블록을 복원한다. H.264의 인트라 프레임 에러복원기법으로 복원된 블록은 주변블록 픽셀들의 평균을 취하기 때문에 그로 인해 생기는 블러링 현상을 피할 수 없다. 이를 개선하기 위하여 주변블록의 에지정보를 이용하여 인터폴레이션하는 방법이 제안되었으나 에지성분이 다양하거나 에지성분이 없는 경우, 블록을 복원하는 데 있어서 기존 H.264의 복원기법보다 성능이 저하되는 문제점이 있다. 본 논문에서는 주변블록의 정보를 이용하여 손실된 블록의 인터폴레이션의 에지방향을 추정하고 방해함수를 통해서 임계치를 결정하여 적응적으로 에지방향의 인터폴레이션과 가중치평균 인터폴레이션을 선택하여 복원하는 방법을 제안한다. 에지방향의 인터폴레이션에서는 선택된 전체에지방향과 상하좌우 각각의 주변블록의 에지방향들간의 상호 관계를 고려함으로써 최종적으로 최적에지 방향을 선택하여 성능을 향상시킨다. 제안된 방법은 영상에 따라 H.264 에러복원기법보다 객관적인 화질이
$0.5dB\;{\sim}\;2dB$ PSNR 향상을 보였고 주관적인 화질개선의 결과를 보였다. -
This paper presents a 3D video content generation technique and system that uses the multi-view images and the depth map. The proposed uses 3-view video and depth inputs from the 3-view video camera and depth camera for the 3D video content production. Each camera is calibrated using Tsai's calibration method, and its parameters are used to rectify multi-view images for the multi-view stereo matching. The depth and disparity maps for the center-view are obtained from both the depth camera and the multi-view stereo matching technique. These two maps are fused to obtain more reliable depth map. Obtained depth map is not only used to insert a virtual object to the scene based on the depth key, but is also used to synthesize virtual viewpoint images. Some preliminary test results are given to show the functionality of the proposed technique.
-
We present a vision-based hand gesture recognition system for object manipulation in virtual space. Most conventional hand gesture recognition systems utilize a simpler method for hand detection such as background subtractions with assumed static observation conditions and those methods are not robust against camera motions, illumination changes, and so on. Therefore, we propose a statistical method to recognize and detect hand regions in images using geometrical structures. Also, Our hand tracking system employs multiple cameras to reduce occlusion problems and non-synchronous multiple observations enhance system scalability. Experimental results show the effectiveness of our method.
-
The color of objects varies with changes in illuminant color and viewing conditions. As a consequence, color boundaries are influenced by a large variety of imaging variables such as shadows, highlights, illumination, and material changes. Therefore, invariant color models are useful for a large number of applications such as object recognitions, detections, and segmentations. In this paper, we propose invariant color models. These color models are independent of the object geometry, object pose, and illumination. From these color models, color invariant edges are derived. To show the validity of the proposed invariant color models, some examples are given.
-
In this paper, we present a new JPEG (Joint Photograph Experts Group) compression architecture which compresses still image into fixed size of bitstream to use restricted system memory efficiently. The size of bitstream is determined by the complexity of image and the quantization table. But the quantization table is set in advance the complexity of image is the essential factor. Therefore the size of bitstream for high complexity image is large and the size for low complexity image is small. This means that the management of restricted system memory is difficult. The proposed JPEG encoder estimates the size of bitstream using the correlation between consecutive frames and selects the quantization table suited to the complexity of image. This makes efficient use of system memory.
-
Jang, Kyung-Hyun;Park, Ki-Tae;Kim, Chan-Gyu;Hong, In-Hwa;Kim, Jin-Kook;Yeo, Hun-Gu;Moon, Young-Shik 565
Even though there has been a great deal of research and development for the compression techniques such as H.263, MPEG-1, and MPEG-2 in DVR systems, an efficient scheme for storing, accessing, and managing the huge amount of video data from multi-channel cameras needs to be developed. In this paper, we describe an implementation of MPEG-4 encoder for PC-based real-time multi-channel DVR systems. -
This paper proposed the design and implementation of a DSP platform for the various multimedia streaming. The DSP platform synchronizes with host PC to configure DSP and to transmit multimedia streaming through PCI. The suggested DSP platform decodes high-capacity video/audio data using the suggested high-speed FIFO, CPLD and memory interface. The buffer control techniques is proposed in other to avoid the under/over-run of the audio/video data during the audio/video decoding. For the DSP platform test, host PC transmits program stream(PS) that consists of the MPEG-2 video MP@ML and 5.1ch AC3 audio data (Coyote.mov file, half hour running time) to DSP platform. The DSP platform plays continuously back the high sound-quality audio and high-definition video at once.
-
Lee, Sang-Jin;An, Dan;Lee, Mun-Kyo;Go, Du-Hyun;Jin, Jin-Man;Kim, Sung-Chan;Kim, Sam-Dong;Park, Hyun-Chang;Park, Hyung-Moo;Rhee, Jin-Koo 575
We report on a low-cost V-band wireless transceiver with no use of any local oscillator in the receiver block using a self-heterodyne architecture. V-band Microwave monolithic IC (MMIC) modules were developed to demonstrate the wireless transceiver using coplanar waveguide (CPW) and GaAs PHEMT technologies. The MMIC modules such as the MMIC low noise amplifier (LNA), medium power amplifier (MPA) and the up/down-mixer were installed in the transceiver system. To interface the MMIC chips with the component modules for the transceiver system, CPW-to-waveguide fin-line transition modules of WR-15 type were designed and fabricated. The fabricated LNA modules showed a$S_{21}$ gain of 8.4 dB and a noise figure of 5.6 dB at 58 GHz. The MPA modules exhibited a gain of 6.9 dB and a$P_1$ $_{dB}$ of 5.4 dBm at 58 GHz. The conversion losses of the up-mixer and the down-mixer module were 14.3 dB at a LO power of 15 dBm, and 19.7 dB at a LO power of 0 dBm, respectively. From the measurement of V-band wireless transceiver, a conversion gain of 0.2 dB and a P$_{1dB}$ of 5.2 dBm were obtained in the transmitter block. The receiver block showed a conversion gain of 2.1 dB and a P$_{1dB}$ of -18.6 dBm. The wireless transceiver system demonstrated a successful data transfer within a distance of 5 meters. -
In this study, the electrical characteristic of asymmetric high voltage MOSFET (AHVMOSFET) for display IC was investigated. Measurement data are taken over range of temperature (300K-400K) and various extended drain length, and gate oxide thickness (
$175{\AA}$ ,$350{\AA}$ ). In high temperature condition, drain current decreased over 30% and max transconductance deceased over 40%, and specific on-resistance increased over 30% in comparison with room temperature. -
Baek, Yong-Hyun;Lee, Bok-Hyung;An, Dan;Lee, Mun-Kyo;Jin, Jin-Man;Ko, Du-Hyun;Lee, Sang-Jin;Lim, Byeong-Ok;Baek, Tae-Jong;Choi, Seok-Gyu;Rhee, Jin-Koo 583
In this paper, millimeter-wave monolithic integrated circuit (MIMIC) low noise amplifier (LNA) for V-band, which is applicable to 58 GHz, we designed and fabricated. We fabricated the module using the fabricated LNA chips. The V-band MIMIC LNA was fabricated using the high performance$0.1\;{\mu}\;m$ ${\Gamma}-gate$ pseudomorphic high electron mobility transistor (PHEMT). The MIMIC LNA was designed using active and passive device library, which is composed$0.1\;{\mu}\;m$ ${\Gamma}-gate$ PHEMT and coplanar waveguide (CPW) technology. The designed V-band MIMIC LNA was fabricated using integrated unit processes of active and passive device. Also we fabricated CPW-to-waveguide fin-line transition of WR-15 type for module. The Transmission Line was fabricated using RT Duroid 5880 substrate. The measured results of V-band MIMIC LNA and Module are shown$S_{21}$ gain of 13.1 dB and 8.3 dB at 58 GHz, respectively. The fabricated LNA chip and Module in this work show a good noise figure of 3.6 dB and 5.6 dB at 58 GHz, respectively. -
We investigated the input impedance characteristics of UHF-band RFID tag chip for increased reading range. A voltage multiplier designed using 0.4
${\mu}m$ $zero-V_T$ MOSFET showed that DC output voltage of 2 V can be obtained using standard CMOS process. The input impedance of the voltage multiplier was examined to achieve impedance level for maximum reading distance using analytical and numerical approaches. The input impedance of the voltage multiplier could be varied in a wide range by selecting the size of MOSFET and the number of multiplying stages of the voltage multiplier, and thus, the impedance level required for the tag antenna can be obtained in presence of other tag circuit blocks. -
This paper describes a design of AES-based CCM Protocol for IEEE 802.11i Wireless LAN Security. The CCMP core is designed with 128-bit data path and iterative structyre which uses 1 clock cycle per round operation. To maximize its performance, two AES cores are used, one is for counter mode for data confidentiality and the other is for CBC(Cipher Block Chaining) mode for authentication and data integrity. The S-box that requires the largest hardware in AES core is implemented using composite field arithmetic, and the gate count is reduced by about 23% compared with conventional LUT-based design. The CCMP core designed in Verilog-HDL has 35,013 gates, and the estimated throughput is about 768Mbps at 66-MHz clock frequency.
-
본 논문은 HD급의 디지털 방송 수신을 위한 DTV Receiver의 Interface의 구현에 관한 솔루션을 소개 할 것이다. 이를 위해 DTV의 Platform 및 기본 동작을 위한 OS 및 회로 기술 등의 인터페이스 구성에 관한 내용에 관해 자세히 기술 할 것이다.
-
In this paper, we have performed a study that modifies the CPW Pad configurations to improve an
$f_{max}$ characteristic of metamorphic HEMT. To analyze the CPW Pad structures of MHEMT, we use the ADS momentum simulator developed by$Agilent^{TM}$ . Comparing the employed structure (G/W = 40/100 m), the optimized structure (G/W = 20/25 m) of CPW MHEMT shows the increased$S_{21}$ by 2.5 dB, which is one of the dominant parameters influencing the$f_{max}$ of MHEMT. To compare the performances of optimized MHEMT with the employed MHEMT, DC and RF characteristics of the fabricated MHEMT were measured. In the case of optimized CPW MHEMT, the measured saturated drain current density and transconductance$(g_m)$ were 693 mA/mm and 647 mS/mm, respectively. RF measurements were performed in a frequency range of$0.1{\sim}110$ GHz. A high$S_{21}$ gain of 5.5 dB is shown at a millimeter-wave frequency of 110 GHz. Two kinds of RF gains,$h_{21}$ and maximum available gain (MAG), versus the frequency, and a cut-off frequency ($f_t$ ) of${\sim}154$ GHz and a maximum frequency of oscillation ($f_{max}$ ) of${\sim}358$ GHz are obtained, respectively, from the extrapolation of the RF gains for a device biased at a peak transconductance. An optimized CPW MHEMT structure is one of the first reports among fabricated 0.1 m gate length MHEMTs. -
Lee, Won-Jae;Oh, Soon-Young;Agchbayar, Tuya;Yun, Jang-Gn;Kim, Yong-Jin;Zhang, Ying-Ying;Zhong, Zhun;Kim, Do-Woo;Cha, Han-Seob;Heo, Sang-Bum;Wang, Jin-Suk;Lee, Hi-Deok 603
In this paper, the formation and thermal stability of Ni-silicide using Ni-Pd alloys is studied for ultra shallow S/D junction of nano-scale CMOSFETs. There are no different effects when Ni-Pd is used in single structure and TiN capping structure. But, in case of Cobalt interlayer structure, it was found that Pure Ni had lower sheet resistance than Ni-Pd, because of a thick silicide. Also, Ni-Pd has merits that surface of silicide and interface between silicide and silicon have a good morphology characteristics. As a result, Ni-Pd is an optimal candidate for shallow S/D junction when cobalt is used for thermal stability. -
Thermal Stability Improvement of Ni Germanosilicide using Ni-Ta alloy for Nano-scale CMOS TechnologyKim, Yong-Jin;Oh, Soon-Young;Yun, Jang-Gn;Lee, Won-Jae;Agchbayar, Tuya;Ji, Hee-Hwan;Kim, Do-Woo;Heo, Sang-Bum;Cha, Han-Seob;Kim, Young-Chul;Lee, Hi-Deok;Wang, Jin-Suk 607
In this paper, Ni Germanosilicide using Ni-Ta/Co/TiN is proposed to improve thermal stability. The sheet resistance of Ni Germanosilicide utilizing pure Ni increased dramatically after the post-silicidation annealing at$600^{\circ}C$ for 30min. However, using the proposed Ni-Ta/Co/TiN structure, low temperature silicidation and wide range of RTP process window were achieved. -
In this paper, investigated is the relationship between the formation temperature and the thermal stability of Ni silicide formed with Ni-V (Nickel Vanadium) alloy target. The sheet resistance after the formation of Ni silicide with the Ni-V showed stable characteristic up to RTP temperature of
$700\;^{\circ}C$ while degradation of sheet resistance started at that temperature in case of pure-Ni. Moreover, the Ni silicide with Ni-V indicated more thermally stable characteristic after the post-silicidation annealing. It is further found that the thermal robustness of Ni silicide with Ni-V was highly dependent on the formation temperature. With the increased silicidation temperature (around$700\;^{\circ}C$ ), the more thermally stable Ni silicide was formed than that of low temperature case using the Ni-V. -
Cho, Seong-Jae;Kim, Tae-Hun;Park, Il-Han;Jeong, Yong-Sang;Lee, Jong-Duk;Shin, Hyung-Cheol;Park, Byung-Gook 615
In this work, the effects of corner transistors in SOI MOSFETs were investigated. We fabricated SOI MOSFETs with various widths and a fixed length and characterized them. The SOI thickness was$4000{\AA}$ and the buried oxide(BOX) thickness was$4000{\AA}$ . The isolation of active region was simply done by silicon etching and TEOS sidewall formation. Several undesirable characteristics have been reported for LOCOS isolation in fabrication on SOI wafers so far. Although we used an STI-like process instead of LOCOS, there were still a couple of abnormal phenomena such as kinks and double humps in drain current. Above all, we investigated the location of the parasitic transistors and found that they were at the corners of the SOI in width direction by high-resolution SEM inspection. It turned out that their characteristics are strongly dependent on the channel width. We made a contact pad through which we can control the body potential and figured out the dependency of operation on the body potential. The double humps became more prominent as the body bias went more negative until the full depletion of the channel where the threshold voltage shift did not occur any more. Through these works, we could get insights on the process that can reduce the effects of corner transistors in SOI MOSFETs, and several possible solutions are suggested at the end. -
Jung, Soon-Yen;Yum, Ju-Ho;Jang, Houng-Kuk;Kim, Sun-Yong;Shin, Chang-Woo;Oh, Soon-Young;Yun, Jang-Gn;Kim, Yong-Jin;Lee, Won-Jae;Wang, Jin-Suk;Lee, Hi-Deok 619
본 논문에서는 서로 다른 Si 두께 ($T_{Si}$ = 27, 50 nm) 를 갖는 SOI (Silicon On Insulator) 기판 위에 다양한 두께의 Ni/Co를 순차적으로 증착한 후 Bulk-Si과의 비교를 통해 Silicide의 형성 특성에 대하여 분석하였다. 우선 급속 열처리 (RTP, Rapid Thermal Processing) 를 통하여 Silicide를 형성한 후 측정결과 Si두께에 따라 Silicide의 특성이 달라짐을 확인하였다. 두꺼운 두께의 Si-film을 갖는 SOI 기판을 사용한 경우 증착된 금속의 두께에 따라 Bulk-Si와 비슷한 면저항 특성을 보였으나, 얇은 두께의 Si-film을 갖는 SOI기판을 사용한 경우에는 제한된 Si의 공급으로 인한 Silicide의 비저항 증가로 인하여 증착된 금속의 두께에 따라 면저항이 감소하다가 다시 증가하는 'V' 자형 곡선을 나타내었다. -
In this paper, we proposed a new type SIR bandpass filter using DAML. This filter is consisted of 2 layers with MEMS resonator layer and CPW feed line. DAML ring resonator is elevated with
$10\;{\mu}m$ height from GaAs substrate. Using MEMS processing, we are able to realize SIR bandpass filter easily. Furthermore it is useful to integrate on conventional MMICs because it has CPW interfaces and ring resonator is isolated from substrate by air-gap. We optimized and measured the results that$S_{21}$ attenuation at rejected band is over 15 dB, insertion loss is inside the limit of 3 dB, and relative bandwidth is about 10 % at 60 GHz -
Novel unified criterion to optimize power coupling at optical directional couplers with discontinuous input/output interfaces is first defined and evaluated numerically. The numerical results reveal that maximum power transfer between guiding slabs without discontinuous interfaces is dominated by conventional phase-matching condition while the guiding structures with discontinuous interfaces has maximum power transfer at an equi-partition condition, which describes the power distribution condition between two rigorous modes propagating through optical couplers.
-
This paper has been studied a optical fiber sensor offer important advantages such as electrically passive operation, EMI immunity, high sensitivity, and multi-plexing capabilities of this technology has been the characteristic. The shift in optical fiber Bragg wavelength with mass can be expressed. Using the shift in the optical fiber Bragg grating(FBG) center wavelength due to mass change.
-
In this paper, we present thermal characteristics of a fiber Bragg grating(FBG) filter, including peak reflectivity, FWHM bandwidth, and wavelength shift by various refractive index change. The reflection spectrum of a FBG filter with refractive index change is affected by its thermal stability.
-
Motion Estimation(ME) is an important part of video compression, because it requires a large amount of computation. Half-pixel and quarter-pixel motion estimation allows high video compression rates but it also has high computation complexity. In this paper we suggest a new and efficient motion estimation algorithm for half-pixel and quarter-pixel motion estimation using SAD values. In the method, an integer-pixel motion vector is found and then only three neighboring points of the integer-pixel motion vector is evaluated to find the half-pixel motion vector. The quarter-pixel motion vector is also found by using a similar method. Experimental results of our method shows 20% reduction in computation time, when compared with those of a conventional method, while producing same quality motion vectors.
-
This paper presents CARMA (Cycle-Accurate Retargetable Micro-Architecture) as efficient framework for SoC-centric pipelined instruction-set architectures. It is based on ADL (Architecture Description Language) and provides more concise and manifest semantics to describe behavior of instruction set by mixing efficiency of instruction-set simulators and flexibility of RTL simulators. It exploits new timing model method based on process scheduling so it can support general timing model with cycle accuracy for large-scaled architectures usually used in SoC multimedia chip-set. According to experiments, the proposed framework was shown to be 5.5 times faster than HDL and 2.5 times faster than System-C in simulation speed so it is applicable for complex instruction-set pipelined architectures.
-
In this paper, a low voltage SRAM using double boosting scheme is described. A low supply voltage deteriorates the static noise margin (SNM) and the cell read-out current. For read/write operation, a selected word line and cell VDD bias are boosted in a different level using double boosting scheme. This increases not only the static noise margin but also the cell readout current at a low supply voltage. A low voltage SRAM with 32K
${\times}$ 8bit implemented in a 0.18um CMOS technology shows an access time of 26.1ns at 0.8V supply voltage. -
In this paper, we designed ECC(Elliptic Curve Cryptographic) Processor with Bus-splitting mothod for embedded SoC. ECC SIP is designed by VHDL RTL modeling, and implemented reusably through the procedure of logic synthesis, simulation and FPGA verification. To communicate with ARM9 core and SIP, we designed SIP bus functional model according to AMBA AHB specification. The design of ECC Processor for platform-based SoC is implemented using the design kit which is composed of many devices such as ARM9 RISC core, memory, UART, interrupt controller, FPGA and so on. We performed software design on the ARM9 core for SIP and peripherals control, memory address mapping and so on.
-
Due to reduced device sizes and threshold voltages, leakage current becomes an important issue in CMOS design. In a CMOS combinational logic circuit, the leakage current in the standby state depends on the state of the inputs and thus can be minimized by applying an optimal input when the circuit is idling. In this paper, we present a New Input Vector Control algorithm, called Leakage Minimization by Input vector Control (LMIC) for minimal leakage power. This algorithm finds the minimal leakage vector and reduces leakage current up to 22.% on the average, for TSMC 0.18um process parameters. Minimal leakage vectors are very useful in reducing leakage currents in standby mode of operation.
-
A parameterized FFT/IFFT core generator (PFFT_CoreGen) is designed, which can be used as an essential IP (Intellectual Property) in various OFDM modem designs. The PFFT_CoreGen generates Verilog-HDL models of FFT cores in the range of 64
${\sim}$ 2048-point. To optimize the performance of the generated FFT cores, the PFFT_CoreGen can select the word-length of input data, internal data and twiddle factors in the range of 8-b${\sim}$ 24-b. Some design techniques for low-power design are considered from algorithm level to circuit level. -
The geometry stage, which performs the transformation and lighting operations of vertices, became the critical part in 3D graphics pipeline. In this paper, we have planned and designed the Geometry Processor for the better and more efficient way to process the real-time 3D using the floating point unit. We also designed a verification system for Geometry engine. It is implemented with Xilinx-Virtex2 and Visual C++.NET. In the Synopsis, we confirmed 100 MHz performance and 137107 cell area of Geometry Engine.
-
본 논문은 100um와 80um의 텅스텐 와이어를 이용하여 세라믹(Ceramic)기판에 홀(Hole)을 뚫어 텅스텐 와이어를 수직으로 세우는 방식으로 수직형의 마이크로 스프링을 제작하였다. 마이크로 스프링의 설계를 위해 제한된 실험 결과와 신경회로망을 이용하여 텅스텐 와이어의 두께와 높이, 쉬프트(Shift)의 양을 변화시키면서 장력(Tension force)을 모델링하였고 제작을 통해 검증하였다. 이는 기존의 수평형 프로브카드의 한계를 대체할 수 있는 수직형 프로브카드의 핵심 모듈로서 멀티다이(Multi Die) 뿐만 아니라 범핑(Bumping)타입의 칩 테스트도 가능하다.
-
The series parasitic resistances (
$R_s$ ,$R_g$ ,$R_d$ ,$R_{sub}$ ) of BSIM3v3 RF MOSFET macro model were directly extracted from measured S-parameters in the GHz region by using simple 2-port parameter equations. Also, overlap capacitance and junction capacitance parameters were extracted by tuning$S_{11}$ ,$S_{12}$ , and$S_{22}$ respectively while DC-parameters and all parasitic resistances are fixed at previously extracted values. These data are verified to be accurate by observing good correspondence between modeled and measured S-parameters up to 10GHz. -
An improved BSIM3v3 RF Macro model with RC parallel substrate circuit has been developed to simulate RF characteristics of the output admittance in MOSFET accurately. This improved model shows better agreements with measured
$Y_{22}-parameter$ up to 10 GHz than conventional one with a single substrate resistance, verifying the accuracy of the improved one. -
Song, Seung-Hwan;Kim, Kyung-Rok;Kang, Sang-Woo;Kim, Jin-Ho;Kang, Kwon-Chil;Shin, Hyung-Cheol;Lee, Jong-Duk;Park, Byung-Gook 679
Negative-differential conductance (NDC) characteristics as well as negative-differential trans-conductance (NDT) characteristics have been observed in the room temperature I-V characteristics of Field-induced Inter-band Tunneling Effect Transistors (FITETs). These characteristics have been explained with inter-band tunneling physics, from which, inter-band tunneling current flows when the energy bands of degenerately doped regions align, and it does not flow when they don't. FITET is an SOI device and the body region is not directly connected to the external terminal. Therefore, Fermi energy in the body region is determined by electrical coupling among four regions - gate, source, drain and substrate. So, a quasi Fermi energy of the majority carriers in the floating body region can be changed by external voltages, and this causes the energy band movements in the body region, which determine whether the energy bands between degenerately doped junctions aligns or not. This is a key point for an explanation of NDT and NDC characteristics. In this paper, a quasi Fermi energy movement in the floating body region of FITET was investigated by a device simulation. This result was applied for the description of relation between quasi Fermi energy in the body region and external gate bias voltage. -
By now, we have been analysing the resistance values on 3 dimensional structure using experimental statical method or theoretical modeling, while devices miniaturizing reveals the limitation of the traditional methods to calculate 3 dimensional resistance. In addition, 2 dimensional analysing can not produce 3 dimensional characteristic following miniaturizing. To solve the limitations , we must do high level modeling of semi-conductor process. In this thesis, we analyzed the Laplace equation that is the basic and important for 3 dimensional structure resistance with computer simulation method and on the basis of this, analyzed the characteristic of resistance of 3 dimensional structure communication semiconductor device.
-
H.264/AVC에서 압축 효율을 향상시키기 위해 사용된 entropy coding중에 CABAC(Context-based Adaptive Binary Arithmetic Coding)은 하드웨어 복잡도가 높고 bit-serial 과정에서 data dependancy가 존재하기 때문에 빠른 연산이 어렵다. 본 논문에서는 adaptive arithmetic encoder와 정규화 과정을 효율적으로 구성하여 각 입력 심벌이 정규화 과정의 반복횟수에 관계없이 고정된 cycle에 encoding이 되도록 하였다. 제안한 구조는 pipeline으로 구성하기 용이하며, 이 경우 매 cycle에 한 입력 심벌의 encoding이 가능하다.
-
This paper proposed 10Gbps driver with pre-emphasis for high speed transmitter. the proposed driver increase bandwidth using Ft doubler method and design driver block and pre-emphasis block in together. Pre-emphasis functionality confirmed to control VDS of current source o driver, not to control slew rate of termination resistor. The proposed driver is designed in a 1.5V/0.13um 1-poly, 5-metal CMOS mixed-signal process.
-
The finite-field multiplication can be applied to the wide range of applications, such as signal processing on communication, cryptography, etc. However, an efficient algorithm and the hardware design are required since the finite-field multiplication takes much time to compute. In this paper, we propose a radix-4 systolic multiplier on
$GF(2^m)$ with comparative area and performance. The algorithm of the proposed standard-basis multiplier is mathematically developed to map on low-cost systolic cell, so that the proposed systolic architecture is suitable for VLSI design. Compared to the bit-serial and digit-serial multipliers, the proposed multiplier shows relatively better performance with low cost. We design and synthesis$GF(2^{193})$ finite-field multiplier using Hynix$0.35{\mu}m$ standard cell library and the maximum clock frequency is 400MHz. -
This paper describes a high speed interface using redundant multi-valued logic for high speed communication ICs. This circuit is composed of encoding circuit and decoding circuit. Because of the multi-valued data conversion, this circuit makes it possible to achieve higher operating speeds than that of a conventional binary logic. Using this logic, a 1:4 DEMUX (demultiplexer) was designed using a 0.35um standard CMOS technology. Proposed circuit is achieved an operating speed of 4.5Gb/s with a supply voltage of 3.3V and with power consumption of 53mW.
-
본 논문에서는 스테레오 비전 알고리즘을 ARM9 프로세서를 사용하는 SoC의 IP 개념으로 구현하였다. 구현하고자 하는 스테레오 비전 시스템을 기능에 따라서 하드웨어와 소프트웨어 모듈로 나누어서 성능을 최대화할 수 있도록 설계하였다. SAD correlator는 한 쌍의 이미지에 많은 계산을 필요로 하기 때문에 성능을 우선시하여 하드웨어로 구성하였고, 소프트웨어는 프로세서를 초기화 시키고, 인터럽트 처리와 SAD correlator, TFT-LCD controller, 메모리 등의 하드웨어를 제어하는 역할을 하는 firmware로 구성을 하였다. 메모리에 기저장된 영상정보를 스테레오 비전 알고리즘을 이용한 결과를 외부 TFT-LCD 모듈에서 필요로 하는 포맷에 맞게 변환시켜서 depth map을 출력하는 시스템을 ARM922T 프로세서가 내장된 Altera Excalibur를 target으로 설계하여 테스트 보드에서 정상적으로 동작하는 것을 확인하였다.
-
In this paper, we propose a new communication network for on-chip communication. The network is based on a direct sequence code division multiple access (DS-CDMA) technique. The new communication network is suitable for a parallel processing system and also drastically reduces the I/O pin count. Our network architecture is mainly divided into a CDMA-based network interface (CNI), a communication channel, a synchronizer. The network includes a reverse communication channel for reducing latency. The network decouples computation task from communication task by the CNI. An extreme truncation is considered to simplify the communication link. For the scalability of the network, we use a PN-code reuse method and a hierarchical structure. The network elements have a modular architecture. The communication network is done using fully synthesizable Verilog HDL to enhance the portability between process technologies.
-
We propose floating point arithmetic units for geometry operation of mobile 3D graphic processor. The proposed arithmetic units conform to the single precision format of IEEE standard 754-1985 that is a standard of floating point arithmetic. The rounding algorithm applies the nearest toward zero form. The proposed adder/subtraction unit and multiplier have one clock cycle latency, and the inversion unit has three clock cycle latency. We estimate the required numbers of arithmetic operation for Viewing transformation. The first stage of geometry operation is composed with translation, rotation and scaling operation. The translation operation requires three addition and the rotation operation needs three addition and six multiplication. The scaling operation requires three multiplication. The viewing transformation is performed in 15 clock cycles. If the adder and the multiplier have their own in/out ports, the viewing transformation can be done in 9 clock cycles. The error margin of proposed arithmetic units is smaller than
$10^{-5}$ that is the request in the OpenGL standard. The proposed arithmetic units carry out operations in 100MHz clock frequency. -
Mobile devices is getting to include more functions according to the demand of digital convergence. Applications based on 3D graphic calculation such as 3D games and navigation are one of the functions. 3D graphic calculation requires heavy calculation. Therefore, we need dedicated 3D graphic hardware unit with high performance. 3D graphic calculation needs a lot of complicated floating-point arithmetic operation. However, most of current mobile 3D graphics processors do not have efficient architecture for mobile devices because they are based on those for conventional computer systems. In this paper, we propose arithmetic units for special functions of lighting operation of 3D graphics. Transcendental arithmetic units are designed using approximation of logarithm function. Special function units for lighting operation such as reciprocal, square root, reciprocal of square root, and power can be obtained. The proposed arithmetic unit has lower error rate and smaller silicon area than conventional arithmetic architecture.
-
The synthesis of the 3D images is the most important part of the virtual reality. The photon mapping is the best method for reality in the 3D graphics. This paper presents an architecture for photon mapping applications on SOC devices. The proposed architecture reduces the computation time to photonmap search and radiance estimation. Also this architecture is implemented by a SIMD processor which trades parallelism for frequency of operation.
-
GALS (Globally Asynchronous Locally Synchronous) 시스템 기반의 SoC 설계에 필수적인 DI (Delay Insensitive) 데이터 전송방식 중 기존의 전압 모드 기반 설계 방식은 N 비트 데이터 전송에 물리적으로 2N+1 개의 도선이 필요하다. 이로 인한 전력 소모와 설계 복잡성을 줄이기 위해 N+1 개의 도선으로 N 비트 데이터를 전송할 수 있는 전류 모드 다치 논리 회로 기반 설계 방식이 연구되었다. 그러나, static 전력의 비중이 커 데이터 전송 속도가 낮을수록 전력 소모 측면에서 취약하고, 휴지 모드에서도 상당량의 전력을 소비한다. 본 논문에서는 이러한 문제점을 해결할 수 있는 전류 모드 기반 인코더와 디코더 회로를 제안하고, 이에 따른 새로운 전류 인코딩 기법을 설명한다. 마지막으로 기존의 전압 모드 및 전류 모드 방식과 delay, 전력 소비 측면에서 비교 데이터를 제시한다.
-
In this paper, we propose a new current sense amplifier for low-voltage, high-speed SRAM. As a supply voltage is reduced, a sensing delay is increased owing to reduced cell read current. It causes a low-speed operation in SRAM. To overcome this problem, we present a new current sense amplifier which consists of the current-mirror type circuit with feedback structure. For demonstration, a 0.8-V, 256-Kb SRAM incorporating the proposed current sense amplifier has been designed with
$0.18-{\mu}m$ CMOS technology. The simulation results show 15.6ns of the sensing delay reduction in comparison with a previous current sense amplifier and 11.5ns of the sensing delay reduction in comparison with a voltage sense amplifier. -
In this study, the design of advanced LVDS(Low Voltage Differential Signaling) I/O interface circuit with new structural low triggering ESD (Electro-Static Discharge) protection circuit was investigated. Due to the differential transmission technique and low power consumption at the same time. Maximum transmission data ratio of designed LVDS transmitter was simulated to 5Gbps. And Zener Triggered SCR devices to protect the ESD phenomenon were designed. This structure reduces the trigger voltage by making the zener junction between the lateral PNP and base of lateral NPN in SCR structure. The triggering voltage was simulated to 5.8V. Finally, we performed the layout high speed I/O interface circuit with the low triggered ESD protection device in one-chip.
-
This paper presents an AMBA-based IP that can perform the deblocking filtering operations required in the H.264 video compression. The deblocking filter circuit was optimized for area and performance. The AHB wrapper was added to the circuit to interface with the AMBA-based platform. The AMBA-compliant operation of the proposed IP was verified on the platform board with Xilinx Virtex2 XC2V600 FPGA and ARM9 processor.
-
In this paper, an efficient detection algorithm for the flicker, which is caused by mismatching between light frequency and exposure time at CMOS image sensor (CIS), is proposed. The flicker detection can be implemented by specific hardware or complex signal processing logic. However it is difficult to implement on single chip image sensor, which has pixel, CDS, ADC, and ISP on a die, because of limited die area. Thus for the flicker detection, the simple algorithm and high accuracy should be achieved on single chip image sensor,. To satisfy these purposes, the proposed algorithm organizes only simple operation, which calculates the subtraction of horizontal luminance mean between continuous two frames. This algorithm was verified with MATLAB and Xilinx FPGA, and it is implemented with Magnachip 0.18 standard cell library. As a result, the accuracy is 95% in average on FPGA emulation and the consumed gate count is about 7,500 gates (@40MHz) for implementation using Magnachip 0.18 process.
-
This paper proposes a novel structure of output buffer circuits for PDP data drivers. The proposed circuit is free from capacitive coupling effect from the output electrode and suppresses the short circuit currents, which improves the current driving capability and reduces the power consumption.
-
In this paper, we propose a new level shifter circuit for reducing power consumption. The concept of the proposed level shifter is to use capacitive coupling effect to reduce short circuit current. The power consumption of the proposed level shifter is reduced up to 50%, compared to the conventional level shifter. Especially the proposed level shifter circuit works well with low temperature poly-Si (LTPS) TFTs. It can operate on low input voltage even with low-mobility, high and widely-varying threshold voltage of LTPS TFT.
-
In this paper, we designed a vertex shader for mobile devices. Proposed Vertex shader is compatible with the OpenGL ARB & DirectX 8.0 Vertex Shader 1.1 and is organized of modified IEEE-754 24 bits float point SIMD architecture. All float point arithmetic unit process 1 cycle operation with 100Mhz frequency more. We made a vertex shader demo system with Xilinx-Virtex II and get synthesis result that confirm 11M gates size at TSMC 0.13um @ 115MHz.
-
This paper describes the novel immunoassay sensing system for a portable clinical diagnosis system. It consists of a bead cage reactor and a CMOS integrated biosensor. It showed the simple and easy antibody coating method on beads by flow-through avidin biotin complex technology in a microfluidic device. It showed just 90 nL sample consumption and good result for the application of alpha feto protein. The bead cage reactor has the role of the antibody coating, antigen binding and enzyme linking for the electrochemical sensing method. The CMOS biosensor consists of ISFET (ion selective field effect transistor) biosensor and temperature sensor for detecting pH that is the byproduct of enzyme reaction. The sensitivity is 8
$kHz/^{\circ}C$ in a temperature sensor and 33 mV/pH in a pH sensor. After filling the 15 um polystyrene beads in bead cage, antibody flowed and reacted to beads. Subsequently, the biotinylated antigen flowed and bound to the antibody and GOD (glucose oxidase)-avidin conjugate flowed and reacted to the biotin of the biotinylated antigen. After this reaction process, glucose solution flowed and reacted to the GOD on beads. The hydrogen was generated by glucose-GOD reaction. And it was detected by the pH sensor. -
The LGP(Light Guide Panel) for the back light unit that is used to the 2.2" TFT LCD was designed and fabricated. The method of the pattern design which is the most important in the design of the LGP was converted the V-cutting method into the Dot method. This newly developed Dot method provided a good uniformity in the brightness at the LGP, which was a very difficult problem to solove in the V-cutting method. The experiment result of the newly designed LGP shows the brightness uniformity 90% and the brightness 3656
$cd/\;m^2$ which is 20% higher than the commercial products. -
An, Dan;Lee, Bok-Hyung;Lim, Byeong-Ok;Kim, Sung-Chan;Lee, Sang-Jin;Lee, Mun-Kyo;Shin, Dong-Hoon;Park, Hyung-Moo;Park, Hyun-Chang;Kim, Sam-Dong;Rhee, Jin-Koo 765
In this paper, high LO-RF isolation 94 GHz MMIC single-balanced mixer was designed and fabricated using a branch line coupler and a${\lambda}/4$ transmission line. The 94 GHz MMIC single-balanced mixer was designed using the 0.1${\mu}m$ InGaAs/InAlAs/GaAs Metamorphic HEMT(MHEMT) diode. The fabricated MHEMT was obtained the cut-off frequency($f_T$ ) of 189 GHz and the maximum oscillation frequency($f_{max}$ ) of 334 GHz. The designed MMIC single-balanced mixer was fabricated using 0.1${\mu}m$ MHEMT MMIC process. From the measurement, the conversion loss of the single-balanced mixer was 23.1 dB at an LO power of 10 dBm. The LO-RF isolations of single-balanced mixer was obtained 45.5 dB at 94.19 GHz. We obtained in this study a higher LO-RF isolation compared to some other balanced mixers in millimeter-wave frequencies. -
Moon, Yeon-Kug;Seo, Hae-Moon;Park, Yong-Kuk;Won, Kwang-Ho;Lim, Seung-Ok;Kang, Jeong-Hoon;Park, Young-Choong;Yoon, Myung-Hyun;Yoo, June-Jae;Kim, Seong-Dong 769
This paper describes a low-voltage and low-power channel selection analog front end with continuous-time low pass filters and highly linear programmable-gain amplifier(PGA). The filters were realized as balanced Gm-C biquadratic filters to achieve a low current consumption. High linearity and a constant wide bandwidth are achieved by using a new transconductance(Gm) cell. The PGA has a voltage gain varying from 0 to 65dB, while maintaining a constant bandwidth. A filter tuning circuit that requires an accurate time base but no external components is presented. With a 1-Vrms differential input and output, the filter achieves -85dB THD and a 78dB signal-to-noise ratio. Both the filter and PGA were implemented in a 0.18um 1P6M n-well CMOS process. They consume 3.2mW from a 1.8V power supply and occupy an area of$0.19mm^2$ . -
Jang, Ji-Geun;Shin, Se-Jin;Kang, Eui-Jung;Kim, Hee-Won;Seo, Dong-Gyoon;Lim, Yong-Gyu;Chang, Ho-Jung 773
In the fabrication of high performance Blue organic light emitting diode, 2-TNATA[4,4',4"-tris(2-naphthylphenyl-phenylamino)-triphenylamine] as hole injection material and NPB[N,N'-bis(1-naphthyl)-N,N'-diphenyl-1,1'-biphenyl-4,4'-diamine] as hole transport material were deposited on the ITO (Indium Tin Oxide)/Glass substrate by vacuum evaporation. And then, Blue color emission layer was deposited using GDI602 as a host material and GDI691 as a dopant. Finally, small molecule OLED with the structure of ITO/2-TNATA/NPB/GDI602+GDI691/Alq3/LiF/Al was obtained by in-situ deposition of Alq3, LiF and Al as electron transport material, electron injection material and cathode, respectively. Blue OLED fabricated in our experiments showed the color coordinate of CIE(0.14, 0.16) and the maximum luminescence efficiency of 1.06 lm/W at 11 V with the peak emission wavelength of 464 nm. -
모바일 오디오 적용을 위한 저전력
${\Sigma}{\Delta}$ Modulator 에 대한 설계와 layout 을 보였다. 전체 구조는 3 차 단일 피드백 루프이며, 해상도는 16bit 을 갖는다. 샘플링 주파수에 따른 Over-sampling Ratio 는 128(46kHz) 또는 64(96kHz) 가 되도록 하였다. 차동 구조를 사용한 3 차${\Sigma}{\Delta}$ modulator 내의 적분기에 사용된 Op-Amp 는 DC-Gain 을 높이기 위해서 Gain-boosting 기법이 적용되었다.${\Sigma}{\Delta}$ modulator 의 기준 전압은 전류 모드 Band-Gap Reference 회로에서 공급이 되며, PVT(Process, Voltage, Temperature) 변화에 따른 기준 전압의 편차를 보정하기 위하여, binary 3bit 으로 선택하도록 하였다. DAC 에서 사용되는 단위 커패시터의 mismatch 에 의한 성능 감소를 막기 위해, DAC 신호의 경로를 임의적으로 바꿔주는 scrambler 회로를 이용하였다. 4bit Quantizer 내부의 비교기 회로는 고해상도를 갖도록 설계하였고, 16bit thermometer code 에서 4bit binary code 변환시 발생하는 에러를 줄이기 위해 thermometer-to-gray, gray-to-binary 인코딩 방법을 적용하였다. 0.18um CMOS standard logic 공정 내 thick oxide transistor(3.3V supply) 공정을 이용하였다. 입력 전압 범위는 2.2Vp-p,diff. 이며, Typical process, 3.3V supply, 50' C 시뮬레이션 조건에서 2Vpp,diff. 20kHz sine wave 를 입력으로 할 때 SNR 110dB, THD 는 -95dB 이상의 성능을 보였고, 전류 소모는 6.67mA 이다. 또한 전체 layout 크기는 가로 1100um, 세로 840um 이다. -
Twenty four types of thermopile for micro spectrometer infrared sensors were fabricated on low-stress
$Si_3N_4$ membranes with$1.2{\mu}m-thickness$ using MEMS technology. Poly-Si thin film with thickness of 3500${\AA}$ as the first thermocouple material, was deposited by LPCVD method. And aluminum thin film with thickness of 6000${\AA}$ as the second thermocouple material, was deposited by sputtering method. Thermopile were designed and fabricated for optimum conditions by five parameters of thermocouple numbers (16${\sim}$ 48), thermocouple line widths (10${\mu}m$ ${\sim}$ 25${\mu}m$ ), thermocouple lengths (100${\mu}m$ ${\sim}$ 500${\mu}m$ ), membrane areas ($1^2\;mm^2$ ${\sim}$ $2.5^2\;mm^2$ ) and junction areas (150${\mu}m^2$ ${\sim}$ 750${\mu}m^2$ ), respectively. Electromotive forces of fabricated thermopile were measured 1.1 mV${\sim}$ 7.4 mV at$400^{\circ}C$ . It was thought that measurement results could be used for thermopile infrared sensors optimum structure for micro spectrometers. -
In this study, micro-scale, high-performance, solenoid-type RF chip inductors were investigated. The size of the RF chip inductors fabricated in this work was
$1.0{\times}0.5{\times}0.5mm^3$ The material and shape of the core were 96%$Al_2O_3$ and I-type. The material and number of turn of coil were copper (Cu) and 6. The diameter ($40{\mu}m$ ) of coil and length (0.35mm) of solenoid were determined by a Maxwell three-dimensional field simulator to maximize the performance of the inductors. High frequency characteristics of the inductance (L) and quality-factor (Q) of developed inductors were measured using an RF Impedance/Material Analyzer (HP4291B with HP16193A test fixture). The inductors developed have inductances of 10.8nH and quality factors of 25.2 at 250MHz, and show results comparable to those measured for the inductors prepared by CoilCraftTm that is one of the best chip inductor company in the world. The simulated data predicted the high-frequency data of the Land Q of the inductors developed well. -
In this paper, we propose a method that applies pipeline architecture to parallel CRC circuits. We developed a logic partitioning algorithm for applying pipeline architecture. Our algorithm can be used for the polynomial and the input data width, both of arbitrary length and minimize the logic level. Design experiments show the superiority of our approach in reducing the delay in comparison with previous works.
-
In this paper, we propose a method which can improve uniformity of a miniaturized electron beam array for inspection of very small pattern with high speed using vertical interconnection. This method enables the individual control of columns so that it can reduce the deviation of beam current, beam size, scan range and so on. The test device that used vertical interconnection method was fabricated by multiple wafer bonding and metal reflow. Two silicon and one glass wafers were bonded and metal interconnection by melting of electroplated AuSn was performed. The contact resistance was under
$10{\Omega}$ . -
In this paper, the designed 10-bit current steering data driving circuit consists of bias circuits, shift registers, data and line latches, level shifters, and 10-bit D/A converters. This data driving circuit can improve image quality, driving speed, and can reduce process error, DNL error, and glitch noise. To reduce current cells, the 10-bit D/A converter was designed 3+3+4 hybrid type. As a result 49 current cells are decreased. The transient analysis shows that currents flows a few of mA in data line and the currents have 1024 gray levels of current values. Total circuits are designed for 10
${\mu}s$ speed. Thus the designed 10-bit current steering data driving circuit can be usable in HDTV/XGA AMOLED displays. These data driving circuits are designed for 0.35${\mu}m$ CMOS process at 3.3 V and 18 V supply voltage and simulated with HSPICE.. -
In this paper, we designed an 8-bit color adjustor for SDTV using Verilog HDL. The conversion block requires a lot of multiplication. So we adopted Booth algorithm to reduce amount of operation and processing time. To improve speed, we designed the system output as parallel structure. We synthesized the designed system using Xilinx ISE and verified the operation through simulation using Modelsim.
-
In this study, the design of advanced LVDS(Low Voltage Differential Signaling) I/O interface circuit with new structural low triggering ESD(Electro-Static Discharge) protection circuit was investigated. Due to the differential transmission technique and low power consumption at same time. maximum transmission data ratio of designed LVDS transmitter was simulated to 5Gbps, Also, the LIGCSCR(Latch-up Immune Gate Coupled SCR)was designed. It consists of PLVTSCR (P-type Low Voltage Trigger SCR), control NMOS and RC network. The triggering voltage was simulated to 3.6V. And the latch-up characteristics were improved. Finally, we performed the layout high speed I/O interlace circuit with the low triggered ESD protection device in one-chip.
-
In this work, a new method for extracting substrate parameters of RF MOSFETs based on 3-port measurement is presented using device simulation. A T-type substrate resistance network is used. 3-port Y-parameter analyses were performed on the equivalent circuit of RF MOSFETs. All the components in the RF MOSFETs when the device is turned off were extracted directly from the 3-port device simulation data. The small-signal output admittance
$Y_{22}$ can be well modeled up to 40 GHz. From the 3-port simulation and modeling results, it was verified that the proposed equivalent circuit and parameter extraction method was more accurate than the single substrate resistance model. -
In last several years, the need for the right of privacy and mobile banking has increased. The RSA system is one of the most widely used public key cryptography systems, and its core arithmetic operation IS modular multiplication. P. L. Montgomery proposed a very efficient modular multiplication technique that is well suited to hardware implementation. In this paper, the montgomery modular multiplication algorithms(CIOS, SOS, FIOS) , developed by Cetin Kaya Koc, is presented and implemented using radix-16 and Altera FPGA. Also, we undertake comparisons of power dissipation using Quatrus II PowerPlay Power Analyzer.
-
The gate-length dependence of maximun oscillation frequency
$f_{MAX}$ is modeled by using scaling equations of equivalent-circuit parameters extracted from measured S-parameters of Nano-scale MOSFETs. The accuracy of the modeled$f_{MAX}$ is verified by observing good agreements with measured ones. It is observed that the$f_{MAX}$ initially increases with decreasing$L_g$ and then$f_{MAX}$ becomes saturated from$L_g$ less than 65nm. -
Probe storage is one of the strong candidates for future mobile storage device since it has potential of recording density over I
$Tb/in^2$ with r/w speed over 100 Mbps. It also uses silicon-processing technology that suits the purpose of small form factor. In this paper, write characteristics of resistive probe that can rotate the field direction of PZT by field-induced resistance changes in a small resistive region at the apex of the tip will be presented. Also, the relationship between the size of tip and the available write width is investigated for different source bias conditions. For this study, two-dimensional computer simulation ($SILVACO^{TM}$ ) was performed. With optimum design, the width of the writing electric field can be smaller than 50nm -
In this paper, we propose a new nonvolatile unit memory cell and proposal peripheral circuit using the polymer material. Memory that relies on bistable behavior- having tow states associated with different resistances at the same applied voltage - has attracted much interest because of its nonvolatile properties. Such memory may also have other merits, including simplicity of structure and manufacturing, and the small size of memory cells. We have plotted the load line graphs for the use of a polymer memory character, hence we have designed in the band-gap reference shape of a write/erase drive, and then designed in the 2-stage differential amplifier shape of a sense amplifier in the consideration of a low current characteristic of a polymer memory cell. The simulation result shows that is has high gain about 80dB by sensing the very small current.
-
This paper describes a wide-range dual-loop Delay Locked Loop (DLL) using Voltage Controlled Delay Line (VCDL) based on Transmission Gate(TG) inverters. One loop is used when the minimum VCDL delay is greater than a half of
$T_{REF}$ , the reference clock period. The other loop is initiated when the minimum delay is less than$0.5{\times}T_{REF}$ . The proposed VCDL improves the dynamic operation range of a DLL. The DLL with a VCDL of 10 TG inverters provides a lock range from 70MHz to 700MHz when designed using$0.18{\mu}m$ CMOS technology with 1.8 supply voltage. The DLL consumes 11.5mW for locking operation with a 700MHz reference clock. The proposed DLL can be used for high-speed memory devices and processors, communication systems, high-performance display interfaces, etc. -
Nano-gap trench is fabricated by the novel electrochemical etching technique using forward biased PN junction formed at the backside of the wafer. PN junction is formed using boron nitride wafer and the concentration of the boron doping is the high value of
$1{\times}10^{19}$ $cm^{-3}$ . The electro-chemical etching is performed in the 5% HF solution under the forward bias voltage of$1{\sim}2V$ . The relationship between the etch rate of the trench and the voltage of the forward bias is investigated and the dependence of the gap for the voltage also examined. The etch rate increase from 0.027${\mu}m/min$ to 0.031${\mu}m/min$ as the value of the applied voltage increase from 1V to 2V, but the the gap is kept constant value of 40 nm. -
This paper presents 3D graphics lighting processor based on vector processing using pipeline chaining. The lighting process of 3D graphics rendering contains many arithmetic operations and its complexity is very high. For high throughput, proposed processor uses pipelined functional units. To implement fully pipelined architecture, we have to use many functional units. Hence, the number of functional units is restricted. However, with the restricted number of pipelined functional units, the utilization of the units is reduced and a resource reservation problem is caused. To resolve these problems, the proposed architecture uses vector processing using pipeline chaining. Due to its pipeline chaining based architecture, it can perform 4.09M vertices per 1 second with 100MHz frequency. The proposed 3D graphics lighting processor is compatible with OpenGL ES API and the design is implemented and verified on FPGA.
-
Multi-output VC-TCXO (Voltage Controlled-Temperature Compensated Crystal Oscillator) for WCDMA has integrated the additional CMOS inverter, so it can be normal clipped sinewave output and additional CMOS output and it can be satisfied the VC-TCXO Characteristics that WCDMA system required. In this paper, however 26MHz is used for reference frequency, similarly and practically, it is usable from 10MHz to 40MHz, Most important factor to integrate CMOS inverter internally is the isolation between normal output and additional output. For this, it is separated in package design, due to this, when it isn't used additional output, it shows the same electrical performance, when it is used additional output, it has minimum-rized the interference. and then the important characteristics in reference oscillator are met to WCDMA system's requirements, like phase noise and frequency short term stability.
-
This paper describes a 4.224GHz CMOS charge pump PLL for Mode 1 MB-OFDM UWB hopping carrier generation. It includes a qudrature VCO of which the frequency range is from 3.98GHz to 4.47GHz(@ 0.4 to 1.5 V), a divider, a PFD, a loop filter, a charge pump, and a lock detector. Designed in a 0.18um CMOS technology, the PLL draws 6.6mA from a 1.8V supply. The phase noise of the designed VCO is -133dBc/Hz@3MHz.
-
A factorization is an extremely important part of multi-level logic synthesis. The number of literals in a factored from is a good estimate of the complexity of a logic function, and can be translated directly into the number of transistors required for implementation. Factored forms are described as either algebraic or Boolean, according to the trade-off between run-time and optimization. A Boolean factored form contains fewer number of literals than an algebraic factored form. In this paper, we present a new method for a Boolean factorization. The key idea is to identify two-cube Boolean subexpression pairs from given expression. Experimental results on various benchmark circuits show the improvements in literal counts over the algebraic factorization based on Brayton's co-kernel cube matrix.
-
Optimal design of optical compensation film to improve viewing angle characteristics of OCB TFT-LCDswe proposed the optimized conditions of the uniaxial and biaxial compensation films to suppress the light leakage to improve viewing angle characteristics. Compared with conventional OCB mode, the maximum transmittance is increased about 30% and the minimum transmittance is slightly decreased. From here results, we can about OCB mode with wide viewing angle and high contrast ratio.
-
We reported 94 GHz, low conversion loss, and high isolation single balanced active-gate mixer based on 70 nm gate length InGaAs/InAlAs metamorphic high electron mobility transistors (MHEMTs). This mixer showed that the conversion loss and isolation characteristics were 2.5
${\sim}$ 2.8 dB and under -30 dB, respectively, in the range of 93.65${\sim}$ 94.25 GHz. The low conversion loss of the mixer is mainly attributed to the high-performance of the MHEMTs exhibiting a maximum drain current density of 607 mA/mm, a extrinsic transconductance of 1015 mS/mm, a current gain cutoff frequency ($f_t$ ) of 330 GHz, and a maximum oscillation frequency ($f_{max}$ ) of 425 GHz. High isolation characteristics are due to hybrid ring coupler which adopted dielectric-supported air-gapped microstrip line (DAML) structure using surface micromachined technology. To our knowledge, these results are the best performance demonstrated from 94 GHz single balanced mixer utilizing GaAs-based HEMTs in terms of conversion loss as well as isolation characteristics. -
Performing accurate and repeatable low frequency noise measurement is critical for modeling and simulation of flicker noise. Through the accurate and repeatable on-wafer measurement, low frequency noise characteristics of the 0.18
${\mu}m$ n-MOSFETs are discussed. And on-wafer flicker noise measurement system is presented. The on-wafer measurement system consists of cascade probe station, low noise current amplifier (SR570), and dynamic signal analyzer (HP35670A). -
This paper presents a Clock-gating technique that reduces power dissipation of the sequential circuits in the system. The Master Clock of a Clock-gating technique is formed by a quaternary variable. It uses the covering relationship between the triggering transition of the clock and the active cycles of various flip-flops to generate a slave clock for each flip-flop in the circuit. At current RTL designs flip-flop is acted by Master clock's triggering but the Slave Clock of Clock-gating technique doesn't occur trigger when external input conditions have not matched with a condition of logic table. We have applied our clocking technique to UART controller of 8bit microprocess
-
In this paper we present a switch wrapper for an AMBA AXI, which is an efficient on-chip-network interface compared to bus-based interfaces in a multiprocessor SoC. The AXI uses an idea of NoC to provide the increasing demands on communication bandwidth within a single chip. A switch wrapper for AXI is located between a interconnection network and two IPs connecting them together. It carries out a mode of routing to interconnection network and executes protocol conversions to provide compatibility in IP reuse. A switch wrapper consists of a direct router, AHB-AXI converters, interface modules and a controller modules. We propose the design of a all-in-one type switch wrapper.
-
A low noise amplifier(LNA) using electro-magnetic field simulator is designed in standard 0.25um CMOS process. Integrated spiral inductor is simulated using EM field solver. Then LNA is simulated with active device, capacitor and simulated inductor by EM field solver. A S11 and S21 of -15.45dB and 17.8dB at 2.3GHz as simulation results was achieved. A Noise Figure is 2.92dB. And Measurements show a S11 and S21 of -12.4dB and 17.8dB at 2.3GHz. A Noise Figure of 3.3dB was achieved.
-
This paper describes a PLL frequency synthesizer for wireless LNA applications. The design is focused mainly on low-power and low-phase noise characteristics. A 128/129 dual-modulus prescaler has been designed using the proposed TSPC D flip-flops for high-speed operation and low-power consumption The designed synthesizer includes all building blocks for elimination of external components, other than the crystal. Its operating frequency can be programmed by external data. The frequency synthesizer has been designed using a
$0.25{\mu}m$ CMOS process parameters. It operates in the frequency range of 2GHz to 3GHz and consumes 3.2mA at 2.5GHz from a 2.5V supply. -
Kim, Jin-Seok;Park, Jung-Yul;Ryu, Suk-Kyu;Baek, Jeong-Eun;Cha, Jung-Hun;Park, Se-Wan;Kim, Hyeon-Chul;Kim, Byung-Kyu;Park, Suk-Ho;Chun, Kuk-Jin 881
We present a microfabricated three-dimensional (3-D) hybrid biopolymer micro cantilever which can measure the contractile force of cardiomyocytes and analyze the force dependence on different types of surface. To make different conditions of the cell seeding surface, we fabricated the specific type of cantilever which was grooved on the surface. The presented cantilever was facilitated to measure bending of the cantilever and to calculate the contractile force of cardiomyocytes. Also, we demonstrate the dependence of the morphology for the cardiomyocytes seeded on a plain surface and a grooved surface. Finally, we show the dependence of force for the cardiomyocytes on a different surface. -
In this study, we designed an ARM9 compatible RISC microprocessor using VHDL. The microprocessor was designed to support Harvard architecture with separate instruction cache and data cache. The state machine was optimized for multi-cycle instructions. In addition, a data forwarding mechanism was adopted to reduce the stall cycles due to data hazards. Assembly programs were up-loaded into a ROM block for system-level simulation. Proper operation of the designed microprocessor was confirmed by investigating the contents of the internal registers as well as the RAM block. Futhermore, the simulation results clearly indicated that the operation speed of the processor designed in this study is enhanced by reducing the execution cycles required for multiplication related instructions.
-
본 논문에서는 VPN을 위한 IPSec 암호 프로세서의 설계 및 구현에 관하여 기술한다. IPSec 암호 프로세서의 기밀성 서비스를 위한 암호엔진은 DES, 3 DES, SEED, 그리고 AES 알고리듬 등을 사용하여 설계하였고, 인증 및 무결성 보안 서비스를 위한 인증엔진은 HMAC(The Hashed Message Authenticat ion Code)-SHA-1을 기본으로 설계하였다. 제안된 암호 프로세서는 Verilog를 사용하여 구조적 모델링을 행하였으며, Xilinx사의 ISE 6.2i 툴을 이용하여 논리 합성을 수행하였다. FPGA 구현을 위해서 Xilinx ISE 6.2i툴과 Modelsim을 이용하여 타이밍 시뮬레이션을 수행하였다.
-
This paper describes Low Noise Amplifier(LNA) and Single Balanced Mixer(SBM) with monolithic image rejection notch filter using 0.5um MESFET process. LNA, Notch filter, and SBM were integrated on a chip. This chip does not need off chip SAW filter, thereby reducing the overall cost and system volume. The LNA with Notch filter provides a gain of 15dB, noise figure of 1.2dB, and image rejection ratio of -74dB. The SBM has a conversion gain of 6dB.
-
RF linearity of double-gate MOSFETs is investigated using accurate two-dimensional simulations. The linearity has been analyzed using the Talyor series. Transconductance is dominant nonlinear source of CMOS. It is shown that DGMOSFET linearity can be improved by a careful optimization of channel thickness, gate oxide thickness, gate length, overlap length and channel doping concentration. The minimum
$P_{IP3}$ data are compared in each case. It is shown that DG-MOSFET linearity can be improved by a careful optimization of channel thickness, gate oxide thickness, gate length, overlap length and channel doping concentration.. -
Testing of mixed-signal circuit has become a difficult task for test engineers and efficient test solution to these problems are needed. In this paper a new specification-based mixed-signal test method called TSS(Time Slot Specification) using high performance current sensors and a novel test point selection technique without heavy computational overhead are proposed. External output and power nodes are used for test points and accessed by the current sensors in the ATE.
-
본 논문에서는 class AB opamp 를 채용한 384kHz differential PWM 신호를 입력으로 하는 2-channel stereo audio amplifier 블록을 공급전압 3.3V 조건에서 SMIC 0.18um thick oxide 기술을 이용하여 설계한다. 여기서 class AB opamp 는 공정 변화에 따른 quiescent current가 변하는 것을 최소화하기 위하여 adaptive load 를 사용하며, 전체적으로는 3 차 Butterworth lowpass filter 와 differential-to-single converter 로 구성된 2 개의 audio amplifier 와 출력전압이
${\frac{1}{2}}Vdd$ 인 common output 블록으로 구성된다. 이러한 설계를 통하여 32ohm 의 저항 load 를 구동할 수 있는 -60dB THD, 전체 quiescent current 2mA 대인 CMOS class AB stereo audio amplifier 를 구현하였다. -
According to miniaturization trend of rehabilitation medical equipment such as hearing aid, study to replace previous complex system with semiconductor SOC (System-on-Chip) chip becomes lively. In this study, after investigating of existent hearing aid performance in circuit design approach, low electric power consuming, single power supply (1.4V battery) CMOSS OP AMP was designed. Analog circuit design tools such as Hspice and Cadence were used for circuit simulation and implementing layout design. This study shows technical methods particularly for layout design. The work is done in pmos and nmos active element layout design in addition to passive element design such as resister, capacitor and inductor.
-
This paper describes the design, fabrication and characteristics of a piezoelectric valve using MCA(Multilayer ceramic actuator). The MCA valve, which has the buckling effect, consists of three separate structures; MCA, a valve actuator die and an a seat die. The design of the actuator die was done by FEM modeling and displacement measurement, respectively. The valve seat die with 6 trenches was made, and the actuator die, which is driven to MCA under optimized conditions, was also fabricated. After Si-wafer direct bonding between the seat die and the actuator die, MCA was also anodic bonded to the seat/actuator die structure. PDMS sealing pad was fabricated to minimize a leak-rate. It was also bonded to seat die and SUS package. The MCA valve shows a flow rate of 9.13 sccm at a supplied voltage of 100 V with a 50 % duty cycle, maximum non-linearity was 2.24 % FS and leak rate was
$3.03{\times}10^{-8}pa$ .$m^3/cm^2$ . -
This paper presents 3D graphics geometry processor for mobile applications. Geometry stage needs to cope with the large amount of computation. Geometry stage consists of transformation process and lighting process. To deal with computation in geometry stage, the vector processor that is based on pipeline chaining is proposed. The performance of proposed 3D graphics geometry processor is up to 4.3M vertex/sec at 100 MHz. Also, the designed processor is compliant with OpenGL ES that is widely used for standard API of embedded system. The proposed structure can be efficiently used in 3D graphics accelerator for mobile applications.
-
We report low conversion loss and high LO to RF isolation 94 GHz MMIC resistive mixers based on 0.1
${\mu}m$ InGaAs/InAlAs/GaAs metamorphic HEMT technology. The fabricated resistive mixers applied a one-stage amplifier on RF port of the mixer. By using the one-stage amplifier, we obtained the decrement of conversion loss and the increment of LO to RF isolation. So, we can obtain higher performances than conventional resistive mixers. The modified mixer shows excellent conversion loss of 6.7 dB at a LO power of 10 dBm. We also observed an extremely high isolation characteristic from the MMICs exhibiting the LO-RF isolation of 21${\pm}$ 0.5dB in a frequency range of 93.7${\sim}$ 94.3 GHz. The low conversion loss and high LO-RF isolation characteristics of the MMIC modified resistive mixers are mainly attributed to the performance of the MHEMTs exhibiting a maximum transconductance of 654 mS/mm, a current gain cut-off frequency of 173 GHz and a maximum oscillation frequency of 271 GHz. -
In this study, we fabricated the DAMLs using surface micromachining technology as well a low loss coupler for the millimeter-wave band applications using these DAMLs. The structure of DAML is that a signal line is supported on ground plane by dielectric posts. Therefore it has advantages about the loss characteristic and the stable structure. The other advantage of the DAML process is a simple and convenient technique using 4 mask steps, even if it has a micromachining technology. The lowest loss of the fabricated DAML was obtained 2.2 dB/cm at 110 GHz. To obtain the low loss characteristic, couplers were designed and fabricated by using DAMLs. The fabricated ring hybrid coupler has the coupling of 3.58 dB and the thru of 3.31 dB at 60 GHz. We can also obtain the coupling of 3.42 dB, the thru of 3.82 dB from fabricated branch line coupler at 60 GHz.
-
We report a pull-up type RF MEMS switch using double electrode without elastic deformation of the cantilever involved in the actuation. At a voltage of 4.5 V, reliable actuations are achieved such that the movable lower contact pad is pulled up by the electrostatic force to make contact with the upper pad. At a frequency of 50 GHz, an insertion loss of 0.7 dB and an isolation of 50.7 dB are obtained from the switch. The measured transient times for switch-on and switch-off are 120 and 80 us, respectively.
-
Applications of LCD panel are getting more increased for motion-image applications. However, when the motion-images are displayed on LCD panels, they may be blurred due to slow response time of liquid crystal (LC). One of the solutions of the problem is overdrive technique. The technique has a lot of memory usage. In this paper, we propose a reduction method of the frame memory that is required for LCD overdrive. Proposed overdrive architecture consists of line-based lifting integer (5, 3) DWT filter for image data reduction and BLI (Bi-Linearly Interpolation) LUT for pixel value accelerating.
-
In this paper, the design of high current and high performance Regulatior IC using BCD Technology are presented. We design the 5A class regulator IC including the VDMOS Pass Tr. of N-sink array structure. Also, to obtain the high current and low power characteristics, the PMOS and BJT device are adapted for the Pass Tr. It is shown that simulation results of Regulator IC with VDMOS Pass Tr. have the Iout=4.5092A, LDO=7.3mV.
-
In this paper, the analysis of input power matching for CMOS RF Low Noise Amplifier (LNA) design is introduced. With two input power matching techniques, the performance of LNAs is estimated according to gain and noise figure. This process can be expressed easily by theoretical method and using simulation. These analytical methods are useful in that they can provide enough insights for designing CMOS RF LNAs.
-
In wireless and handheld platforms area, performance, power and cost are key metrics for product success. This is driving increasing levels of on-chip integration in state-of-the-art application processors. The purpose of this project is to optimize and design the energy-efficient embedded system that properly displays video and audio in real time. The requirements are for the media player to be capable of decoding real-time streaming video and audio with the least possible energy consumption for a variety of different clips at different resolutions. We implemented this Linux based multimedia player on Intel's PXA27x platform.
-
We propose the hardwired distributed arithmetic which is applied to multiple constant multiplications and the fixed data path in the inner product of fixed coefficient as a result of variable radix-2 multi-bit coding. Variable radix-2 multi-bit coding is to reduce the partial product in constant multiplication and minimize the number of addition and shifts. At results, this procedure reduces the number of partial products that the required multiplication timing is shortened, whereas the area reduced relative to the DA architecture. Also, this architecture shows the best performance for DCT/IDCT and DWT architecture in the point of area reduction up to 20% from reducing the partial products up to 40% maximally.
-
Low voltage actuation and high isolation characteristics are key features to be solved in electrostatic RF switch design. Since these parameters in the conventional parallel plate MEMS switch design are in trade-off relation, both requirements cannot be met simultaneously. In vertical comb design, however, the actuation voltage is independent to the vertical separation distance between the contact electrodes. Then, we can design the large separation distance between contact electrodes to get high isolation. We have designed an RF MEMS switch which has -40dB isolation at 5 GHz and 6 V operation voltages. The characteristics of the fabricated switch are being evaluate.
-
Efficient video data storage and search techniques are essential for DVR-based security systems. We have designed appropriate data structures and search techniques for efficient image storage and search, in this study. The date and time can be saved and searched as a folder form. The overall system is designed for MPEG4 CODEC. It can handle variable sizes of frames (100bytes
$^{\sim}$ 6Kbytes) produced by MPEG4 CODEC without errors. We also have developed image transmission techniques through inter-net networking. -
Previous works related to texture coordinate coding of the three-dimensional(3-D) mesh models employed the same predictor as the geometry coder. However, discontinuities in the texture coordinates cause unreasonable prediction. Especially, discontinuities become more serious for the 3-D mesh model with a non-atlas texture image. In this paper, we propose a new coding scheme to remove discontinuities in the texture coordinates by reallocating texture segments according to a coding order. Experiment results show that the proposed coding scheme outperforms the MPEG-4 3DMC standard in terms of compression efficiency. The proposed scheme not only overcome the discontinuity problem by regenerating a texture image, but also improve coding efficiency of texture coordinate compression.
-
The Music Player MAF Player Format of ISO/IEC 23000-2 FDIS consists of MP3 data, MPEG-7 metadata and one optional JPEG image data based on MPEG-4 File Format. However, the current Music Player MAF format does not allow multiple JPEG image data or timed text data. It is helpful to use timed text data and multiple JPEG images in the various multimedia applications. For example, listening material for the foreign language needs an additional book which has text and images, the audio contents which can get image and text data can be helpful to understand the whole story and situations well. In this paper, we propose the detailed file structure in conjunction with MPEG-4 File Format in order to improve the functionalities, which carry multiple image data and text data with synchronization information between MP3 data and other resources.
-
In this paper, we describe photonic sensor interface in SOPC(System on a programmable chip) platform. This platform uses device that has ARM922T processor and APEX FPGA area on a chip. We use two development kits. The one is embedded kit that using Intel's Xscale device, the another is SOPC kit that using Altera's Excalibur device. We implement some device logic that DMAC, ADCC, etc. and application.
-
Vertical handoff is the process of maintaining a mobile user's active connections in the heterogeneous network. In vertical handoff, many frames can be lost since the connection between a server and a client is broken off during handoff latency. To solve this problem, in this paper, we propose an effective video streaming method for video on demand (VOD) services that provides seamless playout at the client in vertical handoff. Performance evaluations are presented to demonstrate the effectiveness of the proposed method.
-
This paper is to be pre-processing that decides the text recognizability and quality contained in natural image. Differentiated with the existing studies, In this paper, it suggests the application of partially unified color models, Coiflet Wavelet and text extraction algorithm that uses the closed curve edge features of LoG (laplacian of gaussian)operator. The text image included in natural image such as signboard has the same hue, saturation and value, and there is a certain thickness as for their feature. Each color element is restructured into closed area by LoG operator, the 2nd differential operator. The text area is contracted by Hough Transform, logical AND-OR operator of each color model and Minimum-Distance classifier. This paper targets natural image into which text area is added regardless of the size and resolution of the image, and it is confirmed to have more excellent performance than other algorithms with many restrictions.
-
This paper describes a digital convergence platform(DCP) whice is implemented based on the MPEG-21 multimedia framework. The DCP is a newly proposed solution in this research for the convergence service of future home multimedia environment. The DCP is a common platform designed to have the feature of configurability, via means of S/W, which is needed for the convergence service of diverse digital media. A distributed peer to peer service and transaction model is also a new feature realized in the DCP using the MPEG-21 multimedia framework. A prototype DCP is implemented to verify its functions of multimedia service and transactions. The developed DCPs are networked with IP clustering storage systems for the distributed service of multimedia. Successful streaming services of the MPEG-2/4 video and audio are verified with the implemented test-bed system of the DCP.
-
An important issue in the field of face recognitions and man-machine interfaces is an automatic detection of faces in visual scenes. it should be computationally fast enough to allow an online detection. In this paper we describe our ongoing work on face detection that models the face appearance by edge orientation and color distribution. We show that edge orientation is a powerful feature to describe objects like faces. We present a method for face region detection using edge orientation and a method for face feature detection using local color information. We demonstrate the capability of our detection method on an image database of 1877 images taken from more than 700 people. The variations in head size, lighting and background are considerable, and all images are taken using low-end cameras. Experimental results show that the proposed scheme achieves 94% detection rate with a resonable amount of computation time.
-
In the uni-directional broadcasting environments, almost TV programs are scheduled depending on the viewers' popular watching time, and the advertisement contents in these TV programs are mainly arranged by the popularity and the ages of the audience. However, the advertisement programs which support the TV programs the audiences want are not served to the appropriate audiences efficiently. In this paper, we propose the prototype of target advertisement system for the appropriate distribution of the advertisement contents. The proposed target advertisement system estimates the audience's profile without private information and provides the target advertisement contents by using his/her inferred profile. And we show the accuracy of the proposed algorithm, Multistage Classifier, for the target advertisement system and the implementation of our target advertisement system.
-
A task in imprecise computation consists of mandatory part and optional part. The optional part can be executed partially and the quality of service is measured by the amount of the execution. Many paper showed that multimedia systems are good applications of imprecise computation. It is important to guarantee QoS which is a critical factor in multimedia systems. Previous works didn't consider QoS and processor slack were assigned randomly to tasks. This paper presented a systemic slack assignment method according to QoS levels of tasks. A simulation result showed that our method can be a good choice for multimedia systems with QoS requirement.
-
This paper describes an improved Rate-Distortion Optimization Algorithm for JPEG2000. We proposed a new optimal constant setting method and rate allocation method to reduce execution time of the rate control. And we proposed hardware structure of the improved R-D opti. algorithm. Consequently, improved Rate-Distortion Optimization algorithm is faster than conventional rate control scheme in JPEG2000 standard and have nearly same performance.
-
Vertex shader of GPU in personal computer is advanced in functions as to be half of traditional fixed T&L functions. And, capacity of memory for saving resources to process instructions is unlimited. GPU that can be programmed by programmer is needed for mobile system as well as personal computer. In this paper, we implement software virtual machine for vertex shader using C++ Language. Our goal is designing hardware GPU that can apply to mobile system. The virtual machine consists of nVidia GPU instructions. Input Data to virtual machine is generated by Microsoft fxc compiler. That is to say, Input Data is compiled shader program written in HLSL, Cg, or ASM. The virtual machine will be a reference model for designing hardware GPU and can be used for Testbed to test added or modified instruction.
-
This paper presents a fingerprint image registration method. In the fingerprint system, the insufficiency of mutual information between a template and a query fingerprint is one of major factors to deteriorate recognition performance. To overcome this problem, we need to register multiple impressions and integrate their information. Our method matches the ridges from multiple impressions recursively and then registers the impressions to minimize the registration error calculated from the Distance map. Our method use regularized TPS model as the transformation model to compensate for the plastic deformation. We compare our method with 3 prior arts (ICP, Distance Map, Ross's method). Our registration error and its' variance is the smallest and also the average registration error is below 3 pixels.
-
In this paper, we propose a method that extracts an invariant biometric key in order to apply this biometric key to the crypto-biometric system. This system is a new authentication architecture which can improve the security of current cryptographic system and solve the problem of stored template protection in conventional biometric system, also. To use biometric information as a cryptographic key in crypto-biometric system, same key should be generated from the same person. However, it is difficult to obtain such an invariant biometric key because biometric data is sensitive to surrounding environments. The proposed method solves this problem by clustering Iris Codes obtained by using independent component analysis (ICA).
-
In this paper, we introduce about a new class to analysis of partial discharge signal based on Fuzzy model. We can early diagnose life of power cable through detection of partial discharge signal. However, partial discharge signal detector is difficult because of partial discharge signal is very non-linear. Also, it is very difficult work that separate partial discharge signal from noise. We constructed partial discharge accumulation detection system that use Labview for detection of non-linear partial discharge signal. And analyzed partial discharge signal that is detected by Labview system utilizing Fuzzy model.
-
본 논문에서는 현재 활발한 연구개발이 이루어지고 있는 유비쿼터스 컴퓨팅, 센서 네트워크 기술을 적용한 무인 감시/추적 시스템을 제시한다. 본 논문의 무인 감시/추적 시스템은 센서네트워크 기술, 다중센서 융합에 의한 탐지 및 위치 인식기술, 무인 감시/추적 알고리즘으로 구성되어 있다. 센서네트워크는 센싱 데이터를 실시간으로 전송하기 위해 노드의 주소를 기반으로 하는 계층적 멀티홉 라우팅 기법을 제안하였다. 침입자와 추적자의 위치 인식은 자기센서 및 초음파센서를 가진 센서모듈들로부터 얻어진 센싱 정보를 융합하고, 이를 확률적으로 침입자 및 추적자의 위치를 결정하는 Particle Filter를 적용한 위치인식 알고리즘을 통해 이루어진다. 추적 알고리즘은 무인 자율 추적을 위해 이동벡터에 기반한 알고리즘이다.
-
In the ubiquitous computing environment, an intelligent vehicle is defined as a sensor node with a capability of intelligence and communication in a wire and wireless network space. To make it real, a lot of problems should be addressed in the aspect of vehicle mobility, in-vehicle communication, common service platform and the connection of heterogeneous networks to provide a driver with several intelligent information services beyond the time and space. In this paper, we present an intelligent information system for managing in-vehicle sensor network and a vehicle gateway for connecting the external networks. The in-vehicle sensor network connected with several sensor nodes is used to collect sensor data and control the vehicle based on CAN protocol. Each sensor node is equipped with a reusable modular node architecture, which contains a common CAN stack, a message manager and an event handler. The vehicle gateway makes vehicle control and diagnosis from a remote host possible by connecting the in-vehicle sensor network with an external network. Specifically, it gives an access to the external mobile communication network such as CDMA. Some experiments was made to find out how long it takes to communicate between a vehicle's intelligent information system and an external server in the various environment. The results show that the average response time amounts to 776ms at fixed place, 707ms at rural area and 910ms at urban area.
-
We proposed and demonstrated a novel technology for IP network setup in a mobile Ad-Hoc network. The proposed method enables the users to setup connections automatically between Ad-Hoc nodes.
-
This paper introduces a novel sensor network protocol, R-WSLP(Realtime Wireless Sensor Line Protocol), which has extremely low latency characteristic in large-scale WSN. R-WSLP is proposed to implement realtime forest fire monitoring system. We propose Distributed TDMA method for the multiple channel access and Time Synchronized Forwarding Mechanism instead of routing technique to achieve low latency network. Also, R-WSLP provides extremely low power operation which we accomplished by reducing idle listening. In our experimentation, we get successful results at the forest fire monitoring system with our protocol.
-
This paper describes the designs and the implementations of two H/W IPSec Systems, look-aside and inline, on TOE (Transport Offloading Engine). These systems aim for guaranteeing the security of datagram networks while preserving the bandwidth of gigabit networks. The TOE offloads a host CPU from network burdens, so that it makes the gigabit wire speed possible, and then deeper level security architecture of the IPSec guarantees the security of gigabit service network dominated by datagram packets. The focus of this paper is to minimize the TOE's performance degradation caused by the computation-oriented IPSec. The look-aside IPSec system provides a significant improvement in the CPU offload of the IPSec cryptography loads. However, the inline system completely offloads the host CPU from whole IPSec loads, providing significant additional cost saving compared to the look-aside system. In this paper, the implementations of TOE cards including commercial IPSec processors are presented. As the result of performance evaluation with the protocol analyzer, we can get the fact that the inline IPSec system is 8 times faster than the S/W system and 2 times faster than the look-aside system.
-
Yang, Jing-Gil;Bae, Sung-Woo;Jung, Myung-Sub;Jang, Byung-Jun;Kim, Jun-O;Park, Jun-Seok;Seong, Yeong-Rak;Oh, Ha-Ryoung 1039
Recently, a considerable number of studies have been made on the$RFID^{[1-6]}$ systems. RFID is a technique of identifying an object using radio frequency transmission. The technology can be used to identify, track, sort or detect a wide variety of objects. The RFID system is composed of two main elements: a reader and a tag. Tags can either be active (powered by battery) or passive (powered by the reader field). The passive tags communicate back to the reader with a technique called 'backscatter'. RFID technology can be applied to the supply chain, security, logistics industry and etc. Especially, UHF RFID is worth noticing because of its relatively long identification range and commercial UHF RFID systems are under development. In this paper, we designed and implemented a UHF RFID reader firmware for ISO/IEC 18000-6 Type B specification. -
As sensor network is drawing more attention than ever before due to the development of sensors and the technology of communications, various routing-algorithms, to realize a sensor node with low-power and a miniature size, is being introduced in the field. This paper is to discuss a way to find an optimal path by changing a routing- algorithm adequately according to environmental changes. This is also to suggest an appropriate sensor network model in the ubiquitous aera. The result from the experiment that we conducted showed us that as we suggested, an algorithm, changing and adapting itself to each different environment, operated more stably and transmitted data more effectively than the current fixed one. And it was also confirmed that this type of algorithm can provide low-power management, which is very important in sensor network.
-
Lim, Hyung-Mu;Bae, Sung-Woo;Jung, Myung-Sub;Jang, Byung-Jun;Kim, Jun-O;Park, Jun-Seok;Seong, Yeong-Rak;Oh, Ha-Ryoung 1049
With the growth of RFID market in logistics industry, such as post office, airline, shipping etc., development of RFID readers and tags becomes crucial. Especially,$860{\sim}960MHz$ RFID is worth noticing because of its long identification range and low production cost. Therefore, performance tests and verification of reader and/or tag under development are very important. In this paper, a RFID emulator system is designed and implemented using a commercial CAD tool, a signal generator and a data acquisition device. With the RFID emulator system, many parameters such as spectrum masks, transmit power levels, data waveform and other RF characteristics can be analyzed. Furthermore it can be applied to the verification of real RFID Readers or Tags. -
The present paper designed a weight measuring instrumentation system in which data conversion and a series of signal processing were totally equipped. 16 loadcell are incoming sensors and each output of the loadcell was amplified and filtered for proper analog signal processing. Several measuring instrumentation OP amps and general purposed OP amps were used. 12 bits A/D converters converted analog signals to digital bits and a PIC microprocessor controlled the 16 channels of loadcell. RF RS232 modules were used for wireless communication between the PIC microprocessor and an ethernet host for a remote sensor monitoring system development.
-
A hybrid ad-hoc network consists of a wired network and a mobile ad-hoc network connected by the internet gateway. To provide seamless service for internet user in hybrid ad-hoc network, an efficient internet gateway selection technique and a fast handover technique are needed. In this paper, we propose a seamless handoff technique for providing mobility of mobile hosts in hybrid ad-hoc networks. The proposed technique improves general handoff performance by an internet gateway re-registration algorithm for ad-hoc networks. Moreover, it reduces handoff processing time in the range of certain region by using mobility management agent (MMA) and also the packet loss when handoff occurs out of the range of MMA by tunneling technique.
-
Choi, Il-Ho;Bae, Sung-Woo;Jung, Myung-Sub;Jang, Byung-Jun;Kim, Jun-O;Park, Jun-Seok;Seong, Yeong-Rak;Oh, Ha-Ryoung 1063
In this paper, we developed the UHF RFID system for EPC Class1 Generation 2 specification. The RFID is a technique of identifying an object using radio frequency transmission. UHF band RFID system communication between Reader and Tag for provide the power to tag when be active when reader’s command finished the transfer. RFID technology can be applied to the supply chain, security, logistics industry and etc. Especially, UHF RFID is worth noticing because of its relatively long identification rage and commercial UHF RFID systems are under development. -
In this paper, we propose the address allocation algorithm in hybrid Mobile ad-hoc network (MANET). Most of proposed address autoconfiguration algorithms are node based. Node based address autoconfiguration algorithms are inefficient. Because the node based algorithms waste bandwidth and consume much battery in mobile ad-hoc networks. we present the address allocation algorithm using internet gateway based address autoconfiguration by modifing the IPv6 stateless address autoconfiguration protocol. We use the network simulator NS-2 in our experiments. The simulation result shows reducing network traffic and saving battery.
-
This paper suggests how can we guarantee terminal quality of service like ship waiting time ratio and ship residing time applying RFID(Radio Frequence IDentification) technology, raising up rapidly as a fundamental solution of new growing industry, to port information system. Also, lead time of whole port logistics can be decreased for reduction of loading & discharging time resulted from productivity improvement of G/C(Gantry Crane) and YT(Yard Tract) etc as applying RFID technology to terminal operation.
-
Song, Kyo-Sun;Park, Gyeo-Re;Choi, Yu-Raak;Lee, Se-Sang-Pyoung-Hwa;Kim, Min-Young;Kim, Yun-Sam;Cho, Eun-Sun 1075
In this paper, we propose a simple middleware for ubiquitous computing systems. Developed with UPnP in Java, it efficiently organizes runtime interactions of devices. To show the feasibility of this system, we implemented a set of customized services based on this middleware. This prototype allows users to enjoy services at various spatial points even while moving around. -
In this paper, a secure system is studied and designed for omenetworking middleware based on sensor network security algorithm. Many sensor networks have mission-critical tasks, so it is clear that security needs to be taken into account at design procedure. First, We study homenetworking middleware model in Jini. And we design a security system is applied by SPINS algorithm for moddleware model. Then we firgure out proper secrecy, authentication, broadcast authentication mechanisms in this model.
-
Modern superscalar processors exploit Instruction Level Parallelism to achieve high performance by speculative techniques such as branch prediction. The indirect branch target prediction is very difficult compared to the prediction of direct branch target and branch direction, since it has dynamically polymorphic target. We present a accurate and hardware-efficient indirect branch target predictor. It can reduce the tags which has to be stored in the Indirect Branch Target Cache without a sacrifice of the prediction accuracy. We implement the proposed scheme on SimpleScalar and show the efficiency running SPEC95 benchmarks.
-
In all contemporary superscalar processors, the result repositories are implemented as the Reorder Buffer(ROB) slots. In such designs, the ROB is a large multi-ported structure. There are several approaches for reducing the ROB complexity in processors. The one technique relies on a distributed implementation that spreads the centralized ROB structure across the function units(FUs). Each distributed component sized to match the FU workload and with one write port and one read port on each component. We are using M/M/1 Queuing theory to determine the number of entries in each ROB component that the performance of processor depends on. Our schemes are evaluated using the simulation of CPU2000 benchmarks.
-
저가의 개인용 컴퓨터를 고속 네트워크 장비와 시스템 독립적인 통신 라이브러리를 이용하여 연결함으로서 병렬처리 프로그램을 수행할 수 있는 클러스터 시스템을 구축할 수 있다. 클러스터 시스템은 이를 쉽게 구성하는 개별 시스템의 교체 및 추가로 인해서 이기종화 된다. 본 논문에서는 이기종 시스템으로 구성된 클러스터 시스템의 성능 향상을 위해서 MPI Work Packet Manager (WPM) 라이브러리를 제안한다. 실험 결과에 의하면 이기종 시스템으로 구성된 클러스터 시스템에서 WPM 라이브러리를 적용한 병렬처리 프로그램이 이기종 클러스터 시스템의 성능을 적절히 활용하고 있음을 알 수 있다.
-
멀티미디어 데이터의 생성 및 분배가 폭발적으로 증가함에 따라 산재된 메타데이터에 대한 효율적인 검색이 필요하게 되었다. 내용기반의 효율적인 검색을 지원하기 위해 MPEG-7의 표준이 제정되면서 내용기반 영상의 검색의 관심이 높아지고 있다. 본 논문에서는 이 조건들을 해결하기 위해 MPEG-7 디스크립터와 자체 개발한 Compact 디스크립터를 이용하여 Web 기반으로 검색 시스템을 구현하고 바이오 이미지를 위한 디스크립터의 조합을 제공한다. 이미지의 feature 추출 인터페이스와 검색 인터페이스를 웹 어플리케이션으로 구현해 웹 브라우저만으로 이미지의 효율적인 저장, 검색 및 관리를 할 수 있고 XML 형태로 표현된 feature 를 다양한 용도로 확장 할 수 있다.
-
As intelligence of robot is developed, consumer of robot changes by ordinary people. Intelligent service robot is produced to a target of ordinary people. It is risen that need the most service robot business. Among the control mobile robot because using network by real time. Do to appear on mobile phone LCD screen being transmitted image from device that acquire transmit of mobile phone user interface development and real time mobile robot in this study. Use the BREW that is Qualcomm's Mobile platform for mobile phone user interface development. Mobile phone JPEG compression function chooses excellent camera phone and display transmit image which send connection setting screen and mobile robot on LCD screen by real time. At the same time, materialize to make screen that can process button input that can control transfer of robot. Also, Relay Server used to help processing of protocol to control direction of mobile robot.
-
Media service model is divided into 3 models that are Client Pull, Server Push, and IPP(Interleaving Pull & Push) model. In most single VOD(Video On Demand) environment, Client Pull model was sufficient to play the movie Because most media contents has a low bitrate and resolution. But according to an increment of the demand of the high definition media, Client Pull model is not sufficient. Parallel VOD environment is made of several of VOD servers and provides the parallel media stream simultaneously for one client. We compared and analyzed the performance of service models with respect to network delay and data size in buffer in the single and parallel VOD environment and we found that IPP service model keeps the least network delay and stable client buffer state in the parallel VOD environment.
-
The software reliability is defined, and not only the relations between testing time and reliability, but also the relation between duration following failure fixing and reliability are studied in this paper. The release time making the testing cost to be minimum is determined through evaluating the cost for each condition. Also, the release time is determined depending on the conditions of the first reliability, considering the specified reliability. The optimum release time is determined by simultaneously studying two optimum release time issues that determine both the cost related time and the specified reliability related time. And, each condition and limitation are studied. The trend of the optimum time is also examined.
-
최근들어 Radio Frequency Identification(RFID) 태그가 다수의 상품에 부착되고 여러 분야에 적용되기 시작했지만, 편리성이나 비용문제로 인해 인증과 암호화 같은 보안기능은 적용되지 않고있다. 보안 기능이 없는 RFID 시스템은 개인정보 노출, 불법 리더의 접근, 위조 태그의 남용과 같은 심각한 부작용을 초래하지만, 태그 자원의 제약으로 인해 보안기능을 적용하기가 쉽지않다. 현재 여러 기술을 따르는 RFID 시스템 중 EPCglobal 의 EPC Class 1 Generation 2(C1G2) 는 산업계의 여러 분야에서 특히, supply-chain 모델에서 사실상 국제표준으로 여겨진다. 본 논문에서는, RFID 보안 프로토콜 중 EPC C1G2 메커니즘의 Inventory 과정에서 태그가 리더를 인증하는 기법을 제안한다. C1G2 시스템에서는 인증되지 않은 리더의 태그 액세스가 가능한데, 이는 태그의 리더 인증으로서 차단될 수 있다. 또한, EPC C1G2 태그-리더 간의 상호인증 기법을 제안한다. 이 과정에서 태그 ID 는 노출되지 않고 전송되며, 태그 인증을 통해 태그 위변조를 방지할 수도 있다. 제안 메커니즘은 태그를 식별하는 절차에서 인증을 위해 프로토콜 패스 수의 증가가 없다. 다만 리더와 태그에서 Inventory 과정의 ACK command 와 태그의 reply 구현에 약간의 수정을 필요로 한다.
-
위치응용 서비스는 유비쿼터스 컴퓨팅의 구현의 기반이 되는 중요 기술요소 중 하나이다. 특히 스마트 홈, 지능형 단말기, 스마트 스페이스의 구현을 위해서는 광역범위보다는 실내환경에서의 사용자, 오브젝트의 위치인식에 대한 연구기술이 필요하다. 본 논문에서는 무선센서 플랫폼 및 통신기술을 이용한 실내 위치인식 시스템의 설계 및 구현에 관해 기술하고자 한다. 사용자 위치인식을 위한 기반기술로 RF 무선신호와 초음파신호간의 속도차를 이용한 DTOF 기반 거리측정과 삼각측량 기법을 이용하였다.
$3{\sim}10cm$ 의 위치오차를 가지는 정밀 위치계측 기술로, 개발된 시스템은 무선센서 플랫폼과 임베디드 게이트웨이 시스템, 위치계측 서버 시스템으로 구성되며, 시스템의 개발 및 실제환경에서의 테스트를 통하여 실생활 응용에 대한 가능성을 확인할 수 있었다. 또한 오차보정 및 멀티 센서를 통한 위치보정기법에 대해서도 논의한다. -
Edge Histogram Descriptor는 image의 edge 분포 정보를 표현하며 방향성을 가지는 Bio Image 검색에 있어 높은 검색 성능을 나타낸다. 그러나 Bio Image의 객체 분포의 특성으로 인해 지역적 edge 분포 비교는 충분한 검색 성능을 보장하지는 못한다. 본 논문에서는 특징 block을 이용한 효율적인 검색 알고리즘을 제안한다. Local histogram으로부터 Global bin을 얻어 image의 대표 방향성을 선정하고 특징 block을 선정한다. 특징 block의 비교는 edge 분포와 함께 주요 객체의 위치 정보를 더하는 효과를 가진다. Bio Image의 검색 실험에서 제안 알고리즘은 향상된 검색 성능을 보여준다. 또한 Bio image 검색을 위한 descriptor 조합 연구에도 적용 가능하여 검색 효율을 기대할 수 있다.
-
If you're tired of visiting site in search of the type of web documents that interests you, you can use an RSS (Really Simple Syndication) client to organize web content and deliver it to you in a manner that's much quicker and easier to access. This paper gives an overview of RSS technologies and implement a suitable RSS client for educational blogs. In addition to that, this paper propose a method for classification system in order to improve a RSS client.
-
In this paper, we proposed real-time stereoscopic image conversion algorithm using object segmentation and motion parallax. The proposed algorithm separates objects using luminance of image, extracts moving object among objects of the image using motion parallax and generates depth map. Parallax process is done based on the depth map. The proposed method has been evaluated using visual test and APD(Absolute Parallx Difference) for comparing the stereoscopic image of the proposed method with that of MTD. The proposed method offers realistic stereoscopic conversion effect regardless of the direction and velocity of the 2-D image.
-
The proposed high-speed backup and recovery system is a high performance backup software based on multi streaming I/O and high speed/high compression technology, and can realize an increase efficiency of backup volume without any increase of backup H/W equipments since it provides a high performance in data backup of a user and executes high compression very fast. Particularly, it minimizes consumption of network band width through a development of algorism for high compression in connection with backup equipments in a large-scaled system environment, is automated when it is recovered due to danger and troubles, and allows users to conveniently access in various ways since GUI and CLI for execution and management are all provided.
-
유비쿼터스 미디어 공간에서의 상황인지 정보와 사용자 미디어 프로파일에 기반한 미디어 서비스를 위한 디바이스간 연동에 필요한 Sync. Agent 는 사용자 정보, 기호 정보등 디바이스간에 필요한 정보를 사용자의 개입 없이 자동으로 전송 및 수신되어 통신 환경이 이루어질 수 있도록 하는 센서 네트워크 기반의 양방향 소형 통신 모듈을 의미한다. Sync. Agent 프로토콜을 통해 유비쿼터스 미디어 단말과 미디어 서버간 상황인지 정보 융합형 미디어 프로파일 연동을 수행하고, 미디어 단말의 미디어 관련 정보를 미디어 서버에 전송하는 역할을 한다. 본 논문에서는 유비쿼터스 미디어 서비스를 위해 단말 간 자동생성 미디어 정보를 동기화 역할을 수행하는 저전력 무선 Ad-hoc 센서 네트워크 연동 양방향 동기화 프로토콜 설계 및 구현에 관해 논한다.
-
일반 컴퓨터에서 중앙처리장치와 메모리 사이의 병목 현상인 "Von Neumann Bottleneck"을 보이는데 본 논문에서는 이러한 문제점을 해소하고 검색위주의 응용분야에서 우수한 성능을 보이는 확장 가능한 범용 Associative Processor(AP) 구조를 제안하였다. 본 연구에서는 Associative computing을 효율적으로 수행할 수 있는 명령어 세트를 제안하였으며 다양하고 대용량 응용분야에도 적용할 수 있도록 구조를 확장 가능하게 설계함으로써 유연한 구조를 갖는다. 12 가지의 명령어가 정의되었으며 프로그램이 효율적으로 수행될 수 있도록 명령어 셋을 구성하고 연속된 명령어를 하나의 명령어로 구현함으로써 처리시간을 단축하였다. 제안된 프로세서는 bit-serial, word-parallel로 동작하며 대용량 병렬 SIMD 구조를 갖는 32 비트 범용 병렬 프로세서로 동작한다. 포괄적인 검증을 위하여 명령어 단위의 검증 뿐 아니라 최대/최소 검색, 이상/이하 검색, 병렬 덧셈 등의 기본적인 병렬 알고리즘을 검증하였으며 알고리즘은 처리 데이터의 개수와는 무관한 상수의 복잡도 O(k)를 갖으며 데이터의 비트 수만큼의 이터레이션을 갖는다.
-
The sensor network that may be deemed to fall in the field of ubiquitous computing performs the basic function of transmitting sensing data through the autonomous sensing and the Ad hoc network. In order to collect and treat various sensing data at the time of application and manage extremely limited system resources, the sensor network requires the embedded operating system that uses low power, a small cord size and the least hardware resources. In this paper, The operating system having a new structure for constructing the IEEE 802. 15.4 MAC and Zigbee sensor network is suggested and can be formed by reviewing the characteristics and the core structural requirements of the operating system for the sensor network based on operating systems, which have been formed under existing similar conditions, and applying such features and core structural requirements to the design of the operating system for achieving the features and the requirements.
-
This thesis estimates relationships between area and volume of visceral adipose tissue about health risk. Visceral adipose tissue quantity showed the quantitative relationship, where degree of area was similar to the volume.Threrfore, it is more useful to use the area which is simpler,than the volume when using visceral adipose tissue quantity for estimating the degree of danger factors related with fatness.
-
We propose the RSS Channel retrieval system to activate the blog information transmission. The system consists of a web crawler and blog DB. Web Crawler moves in limited breath first searching method and it collects the RSS Channel Address. Blog DB renews information using RSS. The user could be recommended the RSS Channel using the various query.
-
The denoising and reconstruction of color images are increasingly studied in the field of computer vision and image processing. Especially, the denoising and reconstruction of color face images are more difficult than those of natural images because of the structural characteristics of human faces as well as the subtleties of color interactions. In this paper, we propose a denoising method based on PCA reconstruction for removing complex color noises on human faces, which is not easy to remove by using vectorial color filters. The proposed method is composed of the following five steps; training of canonical eigenface space using PCA, automatic extracting of face features using active appearance model, relighing of reconstructed color image using bilateral filter, extraction of noise regions using the variance of training data, and reconstruction using partial information of input images (except the noise regions) and blending of the reconstructed image with the original image. Experimental results show that the proposed denosing method efficiently removes complex color noises on input face images.
-
In this paper, we proposed the technique to estimate the life cycle of Internet content services based on the logistic regression model. In this paper, to define parameters of Internet contents estimating life cycle by logistic regression model, we used market size, traffic amount, page view and session-visit number as the parameters of Internet contents estimating life cycle by logistic regression model. In this paper, to compare the performance of our proposed scheme, we estimated life cycle for the download services of bell sound & character contents in mobile network. As a result, using our proposed logistic regression, we were able to estimate exactly the life cycle of the download services of bell sound & character contents.
-
The video device is used to gain lots of informations in indoor environment. The one of informations is the information to identify the moving object. The methods to identify the moving object are to recognize the face, the gait and to analyze the hue histogram of the clothes. The hue data is effective at the environment of multi-video. In this paper, we describe the existing research about to identify the moving object in the environment of multi-video and find its problems. finally, we present the enhanced methods to solve its problems. In the future, the method will be use for recognizing the location of object in ubiquitous home.
-
In this paper, we propose an improved anti-collision algorithm for multi tag interrogation in ubiquitous sensor network(USN) and show the result of simulation for multi tag interrogation in RFID systems. We have analyzed an EPC(Electronic Product Code) protocol which specifies the physical and logical requirements for a passive-backscatter Reader-Talk-First(RTF) RFID(Radio Frequency Identification) system operating in the
$860MHz{\sim}960MHz$ frequency range. We have also designed and implemented the simulator of the RFID system based on the EPC protocol. Finally, we find that proposed algorithm works better than an existing algorithm. -
Depending on the characteristics of each 3D-DCT block, images can be classified into three types: images with motion and textures, images with high textures and little motion, images with little textures and little motion. In this paper, we propose an adaptive watermarking method using these characteristics of each 3D-DCT block. and the human visual system. The proposed method classifies patterns of 3D-DCT blocks based on the motion and texture information, and classifies the image type according to the ratio of these patterns. The watermark is inserted proportional to the 3D-DCT coefficients by using pattern adaptive JND, which. makes the proposed watermarking robust by inserting watermarks in as many blocks as possible. Experimental results show that the proposed method achieves better performance in terms of invisibility and robustness than the previous method.
-
This paper present a method of constructing the multimedia processor architecture. The proposed multimedia processor architecture be able to handle each text, sound, and video in one chip. Also it have interactive function that is a characteristics of multimedia. Specially, the proposed multimedia processor be able to addressing nodes in memory map without software, and it is completely reconfigurable depend on data. Also it as able to process time and space common that have synchronous/asynchronous and it is able to protect continuous and dynamic media bus collision, and local and overall common memory structure. The proposed multimedia processor architecture apply to virtual reality and mixed reality.
-
In this paper, we proposed small scale real-time operating system for embedded system. Real-time system is characterized by the severe consequences that result if logical as well as timing correctness properties of system are not met. On real-time system, real-time operating system allows real-time applications to be designed and expanded easily. Functions can be added without requiring major changes to the software. We design small scale real-time operating system for preemptive kernel, and design kernel component such as multitasking, scheduler, task priority, semaphore, inter-task communication, clock tick timer, ISR(Interrupt Service Routine) mechanism has low interrupt latency.
-
Recently, ubiquitous computing and sensor networks are making a rapid development. These technology can enable a new way of biomedical signal processing and healthcare. that is, they can improve care giving by a more flexible acquisition of relevant vital sign data, and by providing more convenience for patients. In this paper, we realize the biomedical sensor networks by applying IEEE 802.15.4/Zigbee networks to some various biomedical sensing unit. For address this, we developed minimized zigbee module and set-up procedure using PDA. The main advantages that we achieve are interference-free operation of different body sensor networks in the vicinity, as well as intuitive usage by the nontechnical personnel.
-
본 논문에서는 스케치 연산자를 적용하여 견실한 얼굴인식 방법을 제안한다. 제안된 방법은 인식 대상의 중요한 특성인 에지(edge), 벨리(valley) 및 질감(texture) 성분을 효과적으로 표현하기 위한 방법으로써, BDIP(block difference of inverse probabilities)를 사용하여 얼굴의 특징을 스케치 영상과 같이 나타내는 얼굴 영상을 획득한다. 그리고, BDIP 처리된 얼굴 영상은 입력 데이터의 차원 축소 및 얼굴 특징 벡터의 추출을 위해 PCA(Principal Component Analysis)를 수행한 후, Nearest Neighbor 분류기를 통해 인식을 수행한다. 제안된 방법의 성능을 평가하기 위하여, 일반적으로 많이 사용되는 HE(Histogram equalization)을 사용한 얼굴 인식 방법과의 비교를 수행한다. 실험결과, 본 논문에서 제안한 방법이 고유값이 적은 경우에 가장 높은 인식률을 나타내는 것을 알 수 있었다.
-
In this paper, we presents a new multimedia service transmit method using IPv6. The IPv6 provides the address system of 128 bit and the address space which is infinite it provides. But it will not become the IPv4 and interchange not to be, it uses the DSTM Transition mechanism which will reach and the IPv4 center in the packet header the service type it will be able to support the service class of multi type (TOS) it secures the weak point of data transfer delay it puts a base in the IPv6. The efficiency of this proposed technique have been proven by MPEG-4 streaming video streaming of the IPv6 namely, 6Xtream embodied a order form/live streaming server and the client which it uses to be possible in base and real-time decoding method.
-
Park, Jae-Hun;Jeon, Yang-Seung;Choi, Jong-Ok;Joung, Suck-Tae;Jeong, Young-Sik;Han, Sung-Kook 1197
시맨틱 웹에서 지능형 검색은 잘 구축된 온톨로지의 추론에 의해 가능하다. 온톨로지는 간단히 표현하면 단어와 관계들로 구성된 사전으로서 어느 특정 도메인에 관련된 단어들을 계층적 구조로 표현한다. 이런 구조는 다양한 방법으로 표현이 가능하며 추론에 적합한 구조인 N-TRIPLE로의 변환을 위해 JENA API를 사용한다. -
Whereas conveniences deriving from the development of information and telecommunication technology increase, information outflow and illegal data use are also rapidly on the rise. Consequently, many studies to prevent illegal information outflow are currently under way, and the use of Smart Card is in steep jump. Recently, Java Card is diffused fast as an alternative to complement the technical problems of the Smart Card. This paper designed and materialized the system for multi-users authentication and file access control by user through designing a Java Card applet that is used for information protection and in various application fields. For allowing a file access competence, each user's file access competence is processed via drawing up the access condition table in the applet. Therefore, illegal correction, exposure and destruction of information, which become the concerns when multi-users have an access, can be prevented. In addition, its application becomes possible in the system requiring multi-users certifications.
-
By adding user interface to the usual router, an improved functional router is implemented in this paper. Due to the massive amount of spatial data processing, spatial information processing area has been rapidly grown up in recent years based on powerful computer hardware and software development. Spatial index structures are the core engine of geographic information system(GIS). Analyzing and processing of spatial information using GIS has a lot of applications and the number application will be increased in the future. However, study on the under ground is in its infancy due to invisible characteristic of this information. This paper proposes the sub-surface fault detection system using the sub-surface layer information gathered from elastic wave. Detection of sub-surface fault provides very important information to the safety of above and sub-surface man made structures. Development of sub-surface fault detection system will serve as a pre-processing system assisting the interpretation of the geologist.
-
By using x86 processor for office environment, an improved Network Display System is implemented in this paper. The Network Display System is developed based on the x86 processor, and the system contains ethernet controller that can be used internet by stand alone. The Windows CE.NET is adopted as an operating system, and TFT-LCD monitor system is embedded..
-
In this paper, we suggested the integer linear programming (ILP) models that went through constraint scheduling to simple cycle operation during the delay time. The delayed scheduling can determine a schedule with a near-optimal number of control steps for given fixed hardware constraints. In this paper, the resource-constrained problem is addressed, for the DFG optimization for multiprocessor design problem, formulating ILP solution available to provide optimal solution. The results show that the scheduling method is able to find good quality schedules in reasonable time.
-
In this paper, statistical simulation is applied to a superscalar digital signal processor architecture using DSP kernel and DSP application benchmarks. As a result, the performance of a digital signal processor with several microarchitecture configurations can be estimated with the relative error of 3.7
${\backslash}%$ on the average. -
In this paper, by using MPEC-4 systems, it is easy to create MPEC-4 file and to design multimedia which it understands. Also, by using a MPEG-Pro it is proposed that the user understood the data transfer and expression etc. easily and it added the focus processing function it will be able to design and to be possible individual learning which is easy with the CD and web program. The effectiveness of this new multimedia courseware using MPEG-4 have been proven by experiments in practical teaching-learning method of courseware
-
This paper compares the differences between Java virtual machine and x86 processor in data transfer viewpoint. Memory models of JVM and x86 are analyzed and the data transfer paths are identified. As all operations must be performed to the values on operand stack, a great many data transfer operation is unavoidable in JVM. We also lists the number of data transfer operations necessary for executing some typical high-level language statements including assignment, arithmetic, conditional, and iterative statements.
-
For the purpose of objective and scientific inspection, traffic accidents should be appraised and inspected by righteous material evidences, computer simulation, and studies such as automobile engineering, traveling and collision accident dynamics, road and traffic engineering. In this paper, it displays the results of studying cases with the reasons of traffic accidents by analyzing and studying automobile kinetics, real traffic accidents and the results of in scientific and objective ways. In this paper, it is proved that with compared by dry and wet road surface condition, the transient brake time of wet condition is longer than dry road condition. Moreover, compared with unpacked road condition and packed road condition. unpacked road condition is shorter than packed road condition using computer simulation.
-
This paper supposes the perfect power control at micro-cell and macro-cell. Under this supposition, we calculate SIR and decide the call admission by SIR. When we calculate SIR, we use the ratio of the power received the base station of micro-cell and the power received the base station of macro-cell. We analysis the performance of the system by the computer simulation while changing the ratio of power.
-
본 장은 100MHz 수준의 고속 신호 샘플링을 위해 글리치 최소화 기법을 적용한 8비트 100MHz CMOS D/A 변환기 (Digital - to - Analog Converter : DAC) 회로를 제안한다. 제안하는 DAC는 0.35um Hynix CMOS 공정을 사용하여 설계 및 레이아웃을 하였으며, 응용되는 시스템의 속도, 해상도 및 면적 등의 사양을 고려하여 전류 모드 구조로 적용되었다. D/A 변환기의 선형 특성은 원래의 Spec. 과 유사하였으며,
${\pm}0.09LSB$ 정도의 DNL과 INL오차가 측정되었다. 제작된 칩 테스트 결과에 대한 오동작의 원인을 분석하였으며, 이를 통하여 칩 테스트를 위한 고려사항 등을 제안하였다. -
최근 유비쿼터스 컴퓨팅에 대한 연구와 관심이 증대됨에 따라, 실생활에서 홈 네트워크에서 컴퓨팅 환경을 적용시키기 위한 핵심기술로서 RFID(Radio Frequency Identification) 시스템이 주목 받고 있다. RFID 시스템은 무선 주파수를 이용한 자동인식기술로서 물리적 접촉 없이 태그가 부착된 개체의 정보를 읽거나 기록할 수 있는 시스템이다. 본 논문에서는 RFID 시스템의 태그의 분류, 동작, 구조 등에 관한 일반적인 내용과 900MHz 대의 전파 특성에 관한 연구를 기술하였다.
-
We have developed an electron lithography method, Electron Emission Lithography (EEL), which is capable of printing integrated circuits with an exposure time of only a few seconds. The basic design of the mask, manufactured by standard MIM technology, will be discussed. Patterns printed into e-beam resist by a 1:1 projection system show the applicability of the mask for lithography purposes. The minimum feature size projected so far is 10 um in a system capable of 100 m resolution. Further improvements in resolution to 50 nm are possible.
-
This paper is related to optimization in the image data format, which can make a great effect in performance of data compression and is based on the wavelet transform and JPEG2000. This paper established a criterion to decide the data format to be used in wavelet transform, which is on the bases of the data errors in frequency transform and quantization. This criterion has been used to extract the optimal data format experimentally. The result were (1, 9) of 10-bit fixed-point format for filter coefficients and (9, 7) of 16-bit fixed-point data format for wavelet coefficients and their optimality was confirmed.
-
In this paper, a new intelligent moving target tracking and surveillance system basing on the pan/tilt-embedded stereo camera system is suggested and implemented. In the proposed system, once the face area of a target is detected from the input stereo image by using a YCbCr color model and then, using this data as well as the geometric information of the tracking system, the distance and 3D information of the target are effectively extracted in real-time.
-
In this study, the synthesis and semiconducting properties of cation and defect-doped
$KTaO_3$ film is reported.$KTaO_3$ is an important material for optoelectronic and tunable microwave applications. It is an incipient ferroelectric with a cubic structure that becomes ferroelectric when doped with Nb. While numerous studies have investigated the thin-film growth of semiconducting perovskites, little is reported about semiconducting$KTaO_3$ thin films. In this work, the films were grown on (001) MgO single crystal substrates using pulsed-laser deposition. Semiconducting behavior is achieved by inducing oxygen vacancies in the$KTaO_3$ lattice via growth in a hydrogen atmosphere. The resistivity of semiconducting$KTaO_3:Ca$ films was as low as 10cm, and n-type semiconducting behavior was indicated. Hall mobility and carrier concentration were$0.27cm^2/Vs$ and$3.21018cm^{-3}$ , respectively. Crystallinity and microstructure of the$KTaO_3:Ca$ films were examined using X-ray diffraction and field-emission scanning microscopy. -
In this paper, a new intelligent moving target tracking and surveillance system basing on the pan/tilt-embedded stereo camera system is suggested and implemented. In the proposed system, once the face area of a target is detected from the input stereo image by using a YCbCr color model and then, using this data as well as the geometric information of the tracking system, the distance and 3D information of the target are effectively extracted in real-time.
-
본 논문에서는 공동 공진기를 이용하여 위성 탑재용 2 단 6-pole 대역통과 필터를 설계 제작하였다. 대역통과 필터는 중심 주파수 14.5GHz(Ku-band)에서 대역폭 100MHz로 설계하였으며 응답은 Chebyshev 함수를 이용하였다. 공동 공진기의 공진모드는 TE113 과 TM012 모드를 이용하였다. 공동 공진기간의 결합(intercavity coupling)은 Chebyshev 필터 응답을 구현하기 위하여 TE113 모드의 자계 성분만을 결합하였다. 따라서 원통형 공동 공진기내의 TE모드와 TM모드에 대한 E-,H-field 기본식으로부터 결합계수 수식을 유도하여 이를 intercavity 슬롯의 위치와 크기를 결정하는데 이용하였다. 설계 제작된 2단 6-pole 삼중모드 공동 공진기 대역통과 필터의 통과 대역폭내의 평균 삽입손질은 2.4dB이며 통과 대역의 반사손실 S11은 평균 15dB 이상으로 측정되었다. 본 논문에서 제작된 삼중모드 필터는 위성 탑재 장치내에 채널 필터 및 일반 시스템의 필터 어셈블리를 소형화시키는데 적용가능 할 것으로 판단된다.
-
Maxwell displacement current (MDC) measurement has been employed to study the dielectric property of Langmuir-films. MDC flowing across monolayers is analyzed using a rod-like molecular model. LB layers of Arachidic acid deposited by LB method were deposited onto slide glass as Y-type film. The structure of manufactured device is Au/Arachidic acid/Al, the number of accumulated layers are
$3{\sim}9$ . Also, we then examined of the MIM device by means of I-V. The I-V characteristic of the device is measured from -3 to +3[V]. -
Arc Fault Current is an electric discharge which is occurred in two opposite electrode. In this paper, arc current control algorithm is designed for the interruption of arc fault current which is occurred in the local electric network. This arc is one of the main causes of electric fire. Arc fault in electrical network has the characteristics of low current, high impedance and high frequency. Conventional arc current controller does not have the arc current interrupt function. Hence, Controller of arc current is designed for the interruption of arc fault current which has the modified arc characteristics.
-
This paper represents an conceptual design for the on-line EMS(Energy Management System) database using object-oriented concept. By using object-oriented concept, EMS can meet on-line control operation.
-
In this paper, we prevent a display quality drop for image of characteristics brightness ununiformity depend on LED use to LED vision. It is about that method also a control system development equipped with brightness compensation function of LED vision which is done easily for LED set up of LED vision. Generally, It is calculate driving current value is attended by each brightness to brightness characteristics mathematical function establish by "Y=aX+b", When is doing brightness value for "Y", driving current value for "X", brightness compensation value by using time for "b", characteristics value for "a" ground with characteristics curve of LED. So much, First It is create brightness data of each pixel take a photograph red, green and blue of LED vision. Second It is get average error about each pixel which get average brightness value of entire. Last, It is handle a complicated for about gradationally regulation to color and brightness of image send to LED vision. Also It raise the whole average brightness value of vision adjust for "b" value to solve brightness drop problem of LED using the long time.
-
In this study the gradient method is used to design a mixed
$H_2/H_{\infty}$ controller. It is verified by an example that is BTT missile. We expect through the results of this study that gradient method can be easily applied to diverse optimization problems. -
본 논문에서는 전력선 통신 시스템에서 급격하게 변화는 임피던스 때문에 발생하는 에러율을 개선하기 위하여 제안한 D급 증폭 구동 회로를 적용하였다. 전력선 모뎀에, 일반적인 구동회로인 전압 구동 회로와 전류 구동 회로를 제작하여 실험을 하였다. 그리고 같은 전력선 모뎀에 본 논문에서 제안한 D급 증폭 회로를 이용한 구동 회로를 사용하여 실험을 하였다. 실험 결과 본 논문에서 설계한 구동 회로가 전력선 통신에서 임피던스 변화에 대하여 다른 구동 회로보다 성능이 우수함을 보였다.
-
Recently, the basic research which is supported by engineering has been studied in order to develop oriental medicine scientifically. However, the research only has been limited to quantization, visualization and generalization of biological signal. In this paper, we studied about the effects to 12 Kyungmaks of Body based on meridian theory in oriental medicine after Taichi practice. we measured the heating time on the key measuring point of the meridian of the human body's left and right by using heating machine. After taichi practice than other stimulation, experimental results showed that disharmony state of meridian had changed to harmony state more quickly.