한국진공학회:학술대회논문집 (Proceedings of the Korean Vacuum Society Conference) (Proceedings of the Korean Vacuum Society Conference)
한국진공학회 (The Korean Vacuum Society)
- 반년간
과학기술표준분류
- 물리학 > 광학
한국진공학회 2009년도 제38회 동계학술대회 초록집
-
The surface phonon is defined as a coherent vibrational excitation of surface atoms propagating along the surface. It is characterized by a phonon dispersion curves, which were extensively studied in 1990's using helium atom scattering and high-resolution electron-energy-loss spectroscopy (HREELS)[1].The understanding is mainly based on the theoretical framework of a classical bond model or cluster calculations. The recent sample preparation and first principles calculations open the naval way to deep insight for surface phonon problems. The surface phonon dispersion on the hydrogen-terminated Si(111)-(
$1{\times}1$ ) surface [H:Si(111)] is the typical system and already reported experimentally [2] and theoretically [3], although the understandingis incomplete. The sample contaminated by the oxygen atoms on the surface and the calculations were also classical. In this study, firstly, we have prepared an ultra-clean H:Si(111) surface [4] and measured the surface phonon dispersion curvesusing HREELS. Secondly, we have performed first-principles density functional calculations with the projector augmented wave functionals, as implemented in VASP, using generalized gradient approximations. We used aslab of six silicon layers and both top and bottom surfaces were terminated with hydrogen atoms. Finally, we have compared with the surface phonon dispersion of deuterium-terminatedSi(111)-($1{\times}1$ ) surface[5] and led to our conclusions. The Si-H stretching and the bending modes are observed at 258.5 and 78.2 meV, respectively. These energies are the same as the previously reported values [2], but the energy-loss peaks at the lower energy regions are dramatically shifted. Through this combination study, we have formulated the procedure of preparing ultra-clean H:Si(111)/D:Si(111), which was confirmed by HREELS vibrational analysis. The Si surface will be utilized for further nano-physics research as well as for the materials for nano-fubrication. -
The surface conductive layer (SCL) of chemical vapor deposition (CVD) diamonds has attracting much interest. However, neither photoemission electron microscopic (PEEM) nor micro-spectroscopic (PEEMS) information is available so far. Since SCL retains in an ultra-high vacuum (UHV) condition, PEEM or PEEMS study will give an insight of SCL, which is the subject of the present study. The sample was made on a Ib-type HTHP diamond (001) substrate by non-doping CVD growthin a DC-plasma deposition chamber. The SCL properties of the sample in air were; a few tens K/Sq. in sheet resistance,
${\sim}180\;cm^2/vs$ in Hall mobility,${\sim}2{\times}10^{12}/cm^2$ in carrier concentration. The root-square-mean surface roughness (Rq) of the sample was ~0.2nm as checked by AFM. A$2{\times}1$ LEED pattern and a sheet resistance of several hundreds K/Sq. in UHV were checked in a UHV chamber with an in-situ resist-meter [1]. The sample was then installed in a commercial PEEM/S apparatus (Omicron FOCUS IS-PEEM) which was composed of electro-static-lens optics together with an electron energy-analyzer. The presence of SCL was regularly monitored by measuring resistance between two electrodes (colloidal graphite) pasted on the two ends of sample surface. Figure 1 shows two PEEM images of a same area of the sample; a) is excited with a Hg-lamp and b) with a Xe-lamp. The maximum photon energy of the Hg-lamp is ~4.9 eV which is smaller that the band gap energy ($E_G=5.5\;eV$ ) of diamond and the maximum photon energy of the Xe-lamp is ~6.2 eV which is larger than$E_G$ . The image that appear with the Hg-lamp can be due to photo-excitation to unoccupied states of the hydrogen-terminated negative electron affinity (NEA) diamond surface [2]. Secondary electron energy distribution of the white background of Figs.1a) and b) indeed shows that the whole surface is NEA except a large black dot on the upper center. However, Figs.1a) and 1b) show several features that are qualitatively different from each other. Some of the differences are the followings: the two main dark lines A and B in Fig.1b) are not at all obvious and the white lines B and C in Fig.1b) appear to be dark lines in Fig.1a). A PEEMS analysis of secondary electron energy distribution showed that all of the features A-D have negative electron affinity with marginal differences among them. These differences can be attributed to differences in the details of energy band bending underneath the surface present in SCL [3]. -
Since the discovery of graphene by mechanical exfoliation from graphite[1], various fabrication methods are available today such as chemical exfoliation, epitaxial graphene on SiC substrates, etc. In view of industrialization, the mechanical exfoliation method may not be an option. Epitaxial graphene on SiC substrates, in this respect, is by far more practical because the method consists of conventional thermal treatments familiar to semiconductor industry. Still, the use of the SiC substrate itself, and hence the incompatibility with the Si technology, lessens the importance of this technology in its future industrialization. In this context, we have tackled the problem of forming graphene on Si substrates (GOS). Our strategy is to form an ultrathin (~80 nm) SiC layer on top of a Si substrate, and to graphitize the top SiC layers by a vacuum annealing. We have actually succeeded in forming the GOS structure [2,3,4]. Raman-scattering microscopy indicates presence of few-layer graphene (FLG) formed on our annealed SiC/Si heterostructure, with the G (
$1580\;cm^{-1}$ ) and the G'($2700\;cm^{-1}$ ) bands, both related to ideal graphene, clearly observed. Presence of the D ($1350\;cm^{-1}$ ) band indicates presence of defects in our GOS films, whose elimination remains as a challenge in the future. To obtain qualified graphene films on Si substrate, formation of qualified SiC films is crucial in the first place, and is achieved by tuning the growth parameters into a process window[5]. With a potential for forming graphene films on large-scale Si wafers, GOS is a powerful candidate as a key technology in bringing graphene into silicon technology. -
Supramolecualr ordering has been actively studied due to it's possible applications to the fabrication processes of nano-electronic devices. Van der Waals interaction and hydrogen bonding are frequently studied mechanisms for various molecular structures based on non-uniform charge distributions. Halogen atoms in molecules can have electrostatic interactions with similar strength. Big halogen atoms have strong non-uniform charge distributions. To study molecular orderings formed by hydrogen and halogen interactions, we chose a molecular system containing oxygen, hydrogen, and bromine atoms, a bromo-quinone. A two-dimensional molecular network was studied on Au(111) using a low-temperature scanning tunneling microscope. Bromo-quinonemolecules form self-assembled square grids having windmill structures. Their molecular orderings, chiral structures, and defects are explained in terms of hydrogen and halogen interactions.
-
By using scanning tunneling microscopy/spectroscopy (STM/S), we can make images of various physical properties in nanometer-scale spatial resolutions. Here, I demonstrate imaging of two electron-correlated subjects; screening and superconductivity by STM/S. The electrostatic potential around a charge is described with the Coulomb potential. When the charge is located in a metal, the potential is modified because of the free electrons in the host. The potential modification, called screening, is one of the fundamental phenomena in the condensed matter physics. Using low-temperature STM we have developed a method to measure electrostatic potential in high spatial and energy resolutions, and observed the potential around external charges screened by two-dimensional surface electronic states. Characteristic potential decay and the Friedel oscillation were clearly observed around the charges [1]. Superconductivity of nano-size materials, whose dimensions are comparable with the coherence length, is quite different from their bulk. We investigated superconductivity of ultra-thin Pb islands by directly measuring the superconducting gaps using STM. The obtained tunneling spectra exhibit a variation of zero bias conductance (ZBC) with a magnetic field, and spatial mappings of ZBC revealed the vortex formation [2]. Size dependence of the vortex formation will be discussed at the presentation.
-
Switching an elementary excitation by injecting a single carrier would offer the exciting opportunity for the ultra-high data storage technologies. However, there has been no methodology available to investigate the interaction of low energy discrete carriers with nano-structures. In order to map out the spatial dependency of such single carrier level interactions, we developed a pulse-and-probe algorithm, combining with low temperature scanning tunneling microscopy. The new tool, which we call single carrier spectroscopy, allows us to track the interaction with the target macrostructure with tunneling carriers on a single carrier basis. Using this tool, we demonstrate that it is possible not only to locally write and erase individual bi-solitons, reliably and reversibly, but also to track of creation yields of single and multiple bi-solitons. Bi-solitons are pairs of solitons that are elementary out-of-phase excitations on anti-ferromagnetically ordered pseudo-spin system of Si dimers on Si(001)-c(42) surfaces. We found that at low energy tunneling the single bisoliton creation mechanism is not correlated with the number of carriers tunneling, but with the production of a potential hole under the tip. An electric field at the surface determines the density of the local charge density under the tip, and band-bending. However a rapid, dynamic change of a field produces a potential hole that can be filled by energetic carriers, and the amount of energy released during filling process is responsible for the creation of bi-solitons. Our model based on the field-induced local hole gives excellent explanation for bi-soliton yield behaviors. Scanning tunneling spectroscopy data supports the existence of such a potential hole. The mechanism also explains the site-dependency of bi-soliton yields, which is highest at the trough, not on the dimer rows. Our study demonstrates that we can manipulate not just single atoms and molecules, but also single pseudo-spin excitations as well.
-
Hirahara, T.;Sakamoto, Y.;Saisyu, Y.;Miyazaki, H.;Kimura, S.;Okuda, T.;Matsuda, I.;Murakami, S.;Hasegawa, S. 14
Recently there has been growing interest in topological insulators or the quantum spin Hall (QSH) phase, which are insulating materials with bulk band gaps but have metallic edge states that are formed topologically and robust against any non-magnetic impurity [1]. In a three-dimensional material, the two-dimensional surface states correspond to the edge states (topological metal) and their intriguing nature in terms of electronic and spin structures have been experimentally observed in bulk Bi1-xSbx single crystals [2,3,4]. However, if we want to know the transport properties of these topological metals, high purity samples as well as very low temperature will be needed because of the contribution from bulk states or impurity effects. In a recent report, it was also shown that an intriguing coupling between the surface and bulk states will occur [5]. A simple solution to this bothersome problem is to prepare a topological metal on an ultrathin film, in which the surface-to-bulk ratio is drastically increased. Therefore in the present study, we have investigated if there is a method to make an ultrathin Bi1-xSbx film on a semiconductor substrate. From reflection high-energy electron diffraction observation, it was found that single crystal Bi1-xSbx films (0${\sim}30\;{\AA}A$ can be prepared on Si(111)- $7{\times}7$ . The transport properties of such films were characterized by in situ monolithic micro four-point probes [6]. The temperature dependence of the resistivity for the x=0.1 samples was insulating when the film thickness was$240\;{\AA}A$ . However, it became metallic as the thickness was reduced down to$30\;{\AA}A$ , indicating surface-state dominant electrical conduction. Figure 1 shows the Fermi surface of$40\;{\AA}A$ thick Bi0.92Sb0.08 (a) and Bi0.84Sb0.16 (b) films mapped by angle-resolved photoemission spectroscopy. The basic features of the electronic structure of these surface states were shown to be the same as those found on bulk surfaces, meaning that topological metals can be prepared at the surface of an ultrathin film. The details will be given in the presentation. -
Komeda, T.;Isshiki, H.;Zhang, Y.F.;Katoh, K.;Yoshida, Y.;Yamashita, M.;Miyasaka, H.;Breedlove, B.K. 16
Molecular spintronics has attracted attentions, which combines molecular electronics with the spin degree of freedom in electron transport. Among various molecules as candidates of the molecular spintronics, single molecule magnet (SMM) is one of the most promising material. SMM molecules show a ferromagnetic behavior even as a single molecule and hold the spin information even after the magnetic field is turned off. Here in this report, we show the spin behavior of SMM molecules adsorbed on the Au surface by combining the observation of Kondo peak in the STS and ESR-STM measurement. Kondo resonance state is formed near the Fermi level when degenerated spin state interacts with conduction electrons. ESR-STM detects the Larmor frequency of the spin in the presence of a magnet field. The sample include$MPc_2$ and$M_2Pc_3$ molecules ($M\;=\;Tb^{3+}$ ,$Dy^{3+}$ , and$Y^{3+}$ Pc=phthalocyanine) whose critical temperature as a ferromagnet reaches 40 K. A clear Kondo peak was observed which is originated from an unpaired electron in the ligand of the molecule, which is the first demonstration of the Kondo peak originated from electron observed in the STS measurement. We also observed corresponding peaks in ESR-STM spectra. [1] In addition we found that the Kondo peak intensity shows a clear variation with the conformational change of the molecule; namely the azimuthal rotational angle of the Pc planes. This indicates that the Kondo resonance is correlated with the molecule electronic state. We examined this phenomena by using STM manipulation technique, where pulse bias application can rotate the relative azimuthal angle of the Pc planes. The result indicates that an application of ~1V pulse to the bias voltage can rotate the Pc plane and the Kondo peaks shows a clear variation in intensity by the molecule's conformational change. -
기후변화협약 발효와 유가 급등에 따라 재생 가능 에너지에 대한 관심이 고조되고 있다. 태양광 분야는 지난 10 여 년 동안 매년 40% 이상 성장하여 2008년 약 6 GWp, $400 억 규모에 도달하였으며 2010년에는 약 10 GWp, $600 억 시장을 형성할 것으로 예견되고 있다. 태양광 기술은 태양전지 소자를 이용하여 태양빛을 전기로 변환시켜 에너지를 얻는 시스템 기술로서 반도체 및 디스플레이 기술과 공통점이 많다. 한국이 보유하고 있는 유관산업의 인적, 물적 인프라를 활용하면 빠르게 경쟁력을 갖출 수 있는 분야로 평가되고 있다. 세계 시장의 90% 이상을 차지하고 있는 실리콘 태양전지 산업의 급속한 성장으로 최근 전세계적인 실리콘 수급 불균형 현상이 심화되고 있다. 이에 따라 실리콘 소재를 극소화하는 디자인 및 공정 기술에 대한 연구가 활발하게 수행되고 있으며 다른 한편에서는 박막태양전지 등 실리콘을 대체하는 신기술도 등장하고 있다. 본 발표에서는 국내외 태양광 시장의 동향과 최근 발표되고 있는 신기술의 특징과 시사점 등이 제시되고 한국 태양광 분야의 활성화를 위해 수행되어야 할 과제에 대한 논점이 제시될 것이다.
-
최근 박막 태양전지의 시장 점유율이 전체 태양전지 시장에서 지속적으로 성장하고 있다. 이러한 박막 태양전지의 점유율은 주로 Fist Solar 사의 CdTe 박막 태양전지에 의해 이루어지고 있으며 Si 계 박막 태양전지와 CIGS 박막 태양전지 성장은 비교적 크지 않은 것이 현실이다. CdTe 박막 태양전지는 양산 효율이 약 10.5%에 달하고, 원가는 1$/W 이하 수준에 도달한 것으로 알려져 있으며, 향후 2011년 까지 양산 능력을 2 GW로 확대할 계획을 가지고 있다. Fist solar사는 Cd란 환경유해 물질을 메인 광흡수층에 포함하고 있다는 CdTe 박막 태양전지 제품적인 약점에도 불구하고 원가 절감, 투자비 최소화, 및 제품 성능 향상을 통해 태양전지 시장의 절대적인 강자로 떠오르고 있다. 이러한 성공의 배경에는 단순한 사업 전략적인 성공요인 외에도 제품의 기술적 경쟁력 확보가 무엇보다도 중요한 요소인 것으로 판단된다. Si 계 박막 태양전지의 경우 현재 AMAT 사 및 Oelikon 사와 같은 주요 turn-key 회사를 중심으로 생산량을 확대해가고 있으며, MHI 사, Kaneka 사, 및 Uni-Solar 사와 같은 Si 계 박막 태양전지 전문회사를 중심으로 제품의 효율 향상, 원가 절감, 및 투자비 감소를 위한 연구개발이 진행되고 있다. 본 발표에서는 이러한 박막 태양전지 전반적인 환경을 기반으로 향후 태양전지 시장 및 사업환경의 변화와 주요 박막 태양전지 기술 개발 방향 및 상업적 의미에 대해 논의하고자 하며, Si 계 박막 태양전지가 궁극적으로 세계 최고 수준의 제품이 되기 위해서 필요한 사업적 환경, 기술 개발 방향 및 주요 기술개발 이슈들에 대해 논의하고자 한다.
-
Organic based photovoltaics (OPV) have been received a lot of attention because they are lightweight, inexpensive to fabricate and flexible compare to crystalline Si solar cells. In this seminar, several important progresses in the Polymer PV, such as, formation of bulk heterojunction, development of post annealing technique, tandem cell fabrication will be introduced. In addition that, some efforts to achieve the further improvement in the performance of the Polymer PV will be discussed.
-
CIGS 박막 태양전지는 저가 기판의 사용, 원소재 소비가 적은 박막 증착, 연속공정 적용 등으로 인해 결정질 실리콘 태양전지에 비해 제조단가가 낮다. 변환효율의 경우 실험실 수준에서 최고 20%의 효율이 보고되고 있어 다결정 실리콘 태양전지와 견줄 만하다. 따라서 CIGS 박막 태양전지는 제조단가와 효율 면에서 매우 우수한 경쟁력을 가진 태양전지로 인식되고 있다. 일반적으로 CIGS 박막 태양전지는 Substrate/Mo전극/CIGS 광흡수층/CdS 버퍼층/ZnO 투명전극의 기본 구조를 가지고 있으며 다양한 공정과 디자인을 적용하여 제품이 생산되고 있다. 다양한 소재와 공정들 가운데에서 유리 소재를 기판으로 사용하면서 진공증발이나 스퍼터링과 같은 Physical Vapour Deposition(PVD)을 적용하여 CIGS 광흡수층을 제조하는 기술이 가장 보편적으로 적용되고 있다. 즉 상용화에 가장 근접해 있는 기술이라고 할 수 있으며 현재는 대량생산체제 구축을 위한 기술 개발이 진행되고 있다. 또한 종래의 기판소재와 광흡수층 제조 공정의 단점을 극복하기 위한 기술들도 개발되고 있다. 특히 유리 기판 소재를 금속이나 폴리머 소재를 대체하는 기술, PVD 공정이 아닌 비진공 공정을 적용하여 CIGS 광흡수층을 제조하는 기술 등은 응용성과 제조 단가 측면에서의 파급력이 크다고 할 수 있다. 본 발표에서는 저가 고효율 CIGS 박막 태양전지 개발을 위한 이슈들을 정리하고, 이를 해결하기 위한 국내외의 연구 개발 동향을 살펴보고자 한다. 또한 이를 바탕으로 하여 CIGS 박막 태양전지의 발전방향에 대해서 전망하고자 한다.
-
최근 염료감응형 태양전지(DSSC)는 광변환효율 측면에서 향상 가능성이 높으며, 전기화학적 반응을 바탕으로 하므로 생산단가가 낮아 차세대 태양전지로 관심을 모우고 있다. 염료감응형 태양전지에 있어서 주요 구성성분 중의 하나는 다공성 산화물 광전극 재료이다. 다양한 반도체 물질과 비교할 때
$TiO_2$ 는 전도대의 위치와 전자이동성 면에서 비교적 적합하며, 유기물과의 흡착성 및 안정성 측면에서 대단히 우수하다. 염료감응형 태양전지의$TiO_2$ 광전극이 갖추어야 할 요건은 표면적이 넓어서 염료 흡착량이 많아야 하며, 전자전달 및 전해질 이동을 위한 효율적 구조이어야 한다.$TiO_2$ 광전극 제작을 위한 재료로서는 나노입자가 널리 이용되며, 입자의 크기는 20 nm 부근이 적합한 것으로 알려져 있다. 본 발표에서는 나노입자 외에 나노막대, 나노섬유, 나노튜브, inverse-opal 구조 등과 같이 지금까지 연구되고 있는$TiO_2$ 나노구조 관련연구를 소개 한다. 한편으로 효율적 전극구조를 제작하려면$TiO_2$ 나노구조 제어 외에도, 투명전극과$TiO_2$ 전극과의 계면층(interfacial layer) 제어, 빛의 효율적 이용을 위한 산란층(scattering layer) 및$TiO_2$ 전극에서 전해질로의 전자손실 억제를 위한 blocking layer 도입 등이 필요하다. 이에 대한 기본개념을 설명하고 다른 연구자의 연구결과를 소개한다. 본 연구실의 연구 결과인, 메조 포러스 구조, 다공성 속빈구 구조와 구형구조체를 합성하고 이를 염료감응형 태양전지에 응용한 내용을 소개한다. 다공성 속빈구의 경우, 산란층으로 대단히 우수한 결과를 나타내었고, 다공성 구형구조체는 광전극 주재료로 적합한 특성을 나타내었다. 즉, 다공성 구형구조체를 적용한 광전극은 표면적이 대단히 넓고 또한 효율적 동공구조가 형성되어 전해질 이동에도 매우 효율적이다. -
화합물반도체 태양전지의 경우 선진국에서는 꾸준히 개발을 해온 반면 국내에서는 고가라는 이유로 그 동안 거의 연구가 지원되지도 연구가 진행되지도 않았다. 최근 2~3년 전부터 화합물 반도체 태양전지의 효율이 집광시 40%가 넘어가는 등 최고의 성능이 해외에서 꾸준히 발표되자 국내에서도 화합물반도체 연구자 중심으로 화합물반도체 태양전지의 관심과 초기 연구를 진행하게 되었고 이에 정부에서도 관심과 지원을 시작하는 단계에 이르렀다. 본 발표에서는 지금까지 개발되어 온 다중접합 화합물반도체 태양전지의 기술 현황, 산업화 동향, 나아가 보다 저가화를 위한 다양한 종류의 차세대 화합물반도체 태양전지 기술 등을 소개하고자 한다.
-
Chalcopyrite
$Cu(In,Ga)Se_2$ (CIGS) 화합물 반도체는 고효율 박막태양전지의 광 흡수층으로 사용되는 물질 중 가장 우수한 효율 (19.9%, NREL 2008)을 보유하고 있다. CIGS는 직접천이형 에너지밴드갭 (direct bandgap)을 가지고 있고, 광흡수계수가$1{\times}10^5\;cm^{-1}$ 로서 반도체 중 서 가장 흡수율이 높은 재료에 속하여 두께$1{\sim}2\;{\mu}m$ 의 박막으로도 고효율의 태양전지 제조가 가능하고, 또한 장기적으로 전기광학적 안정성이 매우 우수한 특성을 지니고 있다. 현재 고효율 CIGS 셀생성을 위해 널리 사용되고 있는 CIGS 흡수층 성장공정은 "co-evaporation(동시증발법)"과 2-step 공정이라 불리는 "sputter-selenization(스퍼터-셀렌화)" 방법이다. 동시증발법은 개별원소 Cu, In, Ga, Se 들을 고진공 분위기에서 고온 ($550{\sim}600^{\circ}C$ )기판위에 증착하는 방법으로 소면적에서 가장 좋은 효율(~20%)을 보이는 공정이다. 하지만, 고온, 고진공 공정조건과 대면적 증착시 온도 및 조성 불균일 등의 문제점 등으로 상용화에 어려움이 있다. 스퍼터-셀렌화 공정은 1단계에서 스퍼터링 방식으로 CuGaIn 전구체를 증착하고, 2단계에서 고온($550{\sim}600^{\circ}C$ )하에$H_2Se$ 혹은 Se vapor와 반응시켜 CIGS를 생성한다. 일본의 Showa Shell와 Honda Soltec 등에 의해 이미 상업화 되었듯이, 저비용 대면적으로 상업화 가능성이 높은 공정으로 평가되고 있다. 하지만, 2단계에서 사용되는$H_2Se$ 및 Se vapor의 유독성, 기상 Se과 금속전구체 간의 느린 셀렌화 반응속도, 셀렌화반응 후 생성된 CIGS 박막 두께방향으로의 Ga 불균일분포, 생성된 CIGS/Mo 계면 접착력 저하등의 문제점들이 해결되어야만 상업화에 성공할 수 있을 것이다. 본 Tutorial에서는 CIGS 물질의 열역학 상평형과 반응메카니즘에 대해 설명하고, 다양한 생성 공정들을 소개할 것이다. -
태양광 에너지는 인류의 미래 에너지로서 이미 그 효용성을 전 세계에 드러내고 있다. 각 나라마다 자국의 에너지 전략 및 일조량등 환경적 여건 또는 경제적 수준에 따라 다양한 에너지 수급의 양태를 띠고 있지만 향 후 한세대 내에 전세계 에너지의 30% 정도를 태양에너지로 공급받게 될 것으로 기대하고 있다. 이러한 추세 속에서 태양광 에너지의 방식도 여건에 따라 다양한 형태들이 상호 경쟁과 보완의 과정을 거치며 꾸준히 연구 개발되어오고 있다. 집광형 태양전지는 그 중에서 광전변환효율의 관점에서 41%라는 경이적인 기록을 가지고 있으며 매년 평균 1% 씩의 발전을 꾸준히 달성해 오고 있다. 특히 화합물반도체 태양전지의 경우 1900년대 후반부터 인공위성등의 에너지 모듈로 독자적인 시장을 형성하고 있었지만 경제성등의 이유로 지상 에너지의 대안으로 고려되지 못하고 있었으나 집광시 효율이 높아지는 특이현상과 다중접합을 통한 태양에너지 스펙트럼의 흡수영역 확대등을 통하여 총체적인 효율이 30%를 넘어서면서부터 서서히 대전력 에너지원으로 주목을 받기 시작하였다. 이러한 기술적 경쟁력에도 불구하고 전세계 태양전지 시장의 대부분은 단결정 실리콘 태양전지가 차지하고 있으며 박막형 태양전지 혹은 유기태양전지등 차세대 태양전지 또한 기존의 단결정 실리콘 태양전지를 기준으로 상대적인 가격경쟁력을 높이므로서 기존시장을 잠식하거나 신규시장을 통하여 점유율을 높이는 전략을 고려하고 있다. 본 세미나를 통하여 현재 화합물반도체 집광형 태양전지 시장을 살펴보고 시장 진입의 걸림돌에 대한 분석과 향 후 동향에 대하여 논의하도록 한다.
-
최근 전세계적으로 청정에너지원에 대한 관심이 크게 높아지고 있어서 고분자 유기태양전지에 대한 연구가 활발하게 진행되고 있다. 유기태양전지는 용액공정을 통해 태양전지를 쉽게 제조할 수 있고, 반투명성과 유연성 등의 장점을 가지고 있다. 그런데 아직 전력변환효율이 낮은 문제점이 있다. 실용적인 응용을 위해서는 단위소자에서 최소한 10%이상의 효율을 달성해야한다. 본 세미나에서는 유기태양전지의 동작원리를 간단히 설명하고, 효율을 향상시키기 위해 해결해야 할 주요 해결과제에 대해 논의하고자 한다.
-
최근에 고유가와 지구온난화로 인하여 에너지가 향후 인류의 50년을 좌우할 가장 큰 문제로 대두되고 있어서 지구의 모든 에너지의 근원인 태양광을 이용하는 태양광 발전은 무한한 청정 에너지로 각광받고 있다. 빛을 흡수하여 전기에너지로 변환하는 태양전지는 풍력, 수소연료전지, 조력, 바이오에탄올 등의 신재생에너지 기술 중에서 상품성은 가장 뛰어나지만 발전단가가 가장 높은 것이 단점이다. 태양광 발전단가를 줄여서 기존의 화석에너지를 이용한 발전단가와 견줄 수 있는 그리드 패러티(grid parity)를 달성하려면 태양전지 모듈의 고효율화와 동시에 저가화가 반드시 이루어져야 한다. 현재 태양광 모듈 시장의 90%는 효율이 12-16% 정도로 높은 단결정(single crystalline or monocrystalline) 실리콘이나 다결정(polycrystalline or multicrystalline) 실리콘 등의 벌크(bulk)형 결정질 실리콘 모듈이 차지하고 있으나 원재료인 실리콘 웨이퍼의 제조단가의 50%를 차지하고 있어서 저가화가 어렵다. 반면, 원료가스를 분해하여 대면적 기판에 증착하는 박막(thin-film) 실리콘 태양전지의 경우는 차세대 태양전지로 각광받고 있다. 박막 실리콘 모듈은 매우 적은 실리콘 원재료를 소비한다. 단결정이나 다결정 실리콘 웨이퍼의 두께가
$180-250\;{\mu}m$ 정도인 것에 비해서 박막 실리콘의 두께는$0.3-3\;{\mu}m$ 수준이다. 더불어, 유리, 플라스틱 등의 저가 기판에 저온 대면적 증착이 가능하여 저가양산화에 유리하다. 박막 실리콘 모듈은 벌크형 실리콘 모듈(-0.5%/K) 대비 낮은 온도계수[비정질 실리콘(amorphous silicon; a-Si:H)의 경우 -0.2%/K]와 빛의 세기가 약한 산란광에서도 동작하여 평균발전시간이 증가하므로 외부환경에서 우수한 발전성능을 보이고 있다. 태양전지 모듈은 상온에서의 안정화 효율을 기준으로 가격이 책정되어($/$W_p$ ) 판매되기 때문에 벌크형 실리콘 모듈에 비해서 박막 실리콘 모듈은 가격대 성능비가 우수하다. 따라서 박막 실리콘 모듈은 벌크형 결정 실리콘 모듈의 대안으로 떠오르고 있으며, 레이저 기술을 이용하여 수려한 투광형 건물일체형(building integrated photovoltaic; BIPV) 모듈을 제작할 수 있는 장점도 있다. 이러한 장점에도 불구하고 기존의 양산화된 단일접합 비정질 실리콘 태양광 모듈은 효율이 6-7%로 낮아서 설치면적 및 설치 모듈의 증가가 성장의 걸림돌이 되고 있다. 박막 실리콘 태양전지의 고효율화를 도모하기 위해서 적층형 탄뎀셀로 양산 트렌드가 변화하고 있다. 이에 적층형 박막 실리콘 태양전지 효율의 한계 및 돌파구에 대해서 논의한다. -
Photoelectrochemical solar cells such as dye-sensitized cells (DSSCs), which exhibit high performance and are cost-effective, provide an alternative to conventional p-n junction photovoltaic devices. However, the efficiency of such cells plateaus at 11-12%, in contrast to their theoretical value of 33%. Improvements in efficiency can only occur through a fundamental understanding of the underlying physics, materials, and device designs of DSSCs. A photoelectrode consisting of semiconducting oxide nanoparticles and a transparent conducting oxide electrode (TCO) is a key component of DSSC and design of photoelectrode materials is one of promising strategies to improving energy conversion efficiency. We introduce monodisperesed
$TiO_2$ nanoparticles prepared by forced hydrolysis method and their superiority as photoelectrode materials was characterized with aids of optical and electrochemical analysis. Multi-layered TCO materials are also introduced and their feasibility for use as photoelectrodes is discussed in terms of optical absorption and charge collecting properties. -
기존의 반도체 공정을 기반으로 하는 소자구조는 주로 평면의 웨이퍼상에 만들어져왔다. 그러나, 제작된 소자 어레이를 늘림이 가능한 (stretchable) 폴리머 기판에 프린팅 전이하는 방식을 이용하면 어떤 형태의 곡면에도 소자 제작이 가능해진다. 이러한 프린팅 방식으로, 다양한 곡면에 실리콘 소자 어레이를 제작한 연구결과를 발표하고자 한다. 한 응용 예로 사람의 눈과 같은 반구형 표면에 실리콘 나노 리본으로 만든 광다이오드 어레이를 배열하여 전자 눈 카메라를 제작하여 성능을 확인하였다. 또한 수차를 최소화할 수 있는 포물면에 전자눈 카메라를 제작하여 평면카메라에 비해 이미지의 균일성이 우수함을 보여주고자 한다.
-
최근 전자 분야의 눈부신 발달에 따라 진보된 재료를 도입할 필요성이 있고, 이에 필요한 원료 물질을 개발하는 연구가 필수적이다. 최첨단 전자, 자기, 광재료를 제조하기 위하여 여러가지 금속 전구체를 사용하고 있지만 휘발성, 열적 안정성, 제조의 용이성, 경제성 등 우수한 물성을 갖는 원료 물질에 대한 체계적인 연구가 활발하지 못하여 관련 업계에서는 원료 화합물을 도입하는 공정에서 많은 어려움이 있다. 새로운 첨단 금속 전구체를 개발하기 위하여 분자 수준에서 화합물을 설계하고 합성하는 과정을 통하여 쉽고 경제적인 방법으로 새로운 리간드를 다양하게 합성하였고, 이들 리간드를 도입하여 휘발성, 안정성, 경제성이 훨씬 향상된 새로운 금속 전구체를 개발하고 박막 및 나노 물질 제조 공정에 응용하는 연구를 수행하였다. 이로부터 반도체, 디스플레이 등 첨단 재료 분야에 적용이 가능한 여러 후보 전구체를 도출하였다. 본 발표에서는 새로운 금속 전구체 개발 및 이를 이용한 박막 증착, 나노 물질 합성과 특성 평가에 관하여 토의하고자 한다.
-
In our previous reports [1-3], electron transport for the switching and memory devices using alkyl thiol-tethered Ru-terpyridine complex compounds with metal-insulator-metal crossbar structure has been presented. On the other hand, among organic memory devices, a memory based on the OFET is attractive because of its nondestructive readout and single transistor applications. Several attempts at nonvolatile organic memories involve electrets, which are chargeable dielectrics. However, these devices still do not sufficiently satisfy the criteria demanded in order to compete with other types of memory devices, and the electrets are generally limited to polymer materials. Until now, there is no report on nonvolatile organic electrets using nano-interfaced organic monomer layer as a dielectric material even though the use of organic monomer materials become important for the development of molecularly interfaced memory and logic elements. Furthermore, to increase a retention time for the nonvolatile organic memory device as well as to understand an intrinsic memory property, a molecular design of the organic materials is also getting important issue. In this presentation, we report on the OFET memory device built on a silicon wafer and based on films of pentacene and a SiO2 gate insulator that are separated by organic molecules which act as a gate dielectric. We proposed push-pull organic molecules (PPOM) containing triarylamine asan electron donating group (EDG), thiophene as a spacer, and malononitrile as an electron withdrawing group (EWG). The PPOM were designed to control charge transport by differences of the dihedral angles induced by a steric hindrance effect of side chainswithin the molecules. Therefore, we expect that these PPOM with potential energy barrier can save the charges which are transported to the nano-interface between the semiconductor and organic molecules used as the dielectrics. Finally, we also expect that the charges can be contributed to the memory capacity of the memory OFET device.[4]
-
Recently, a self-organizing process that occurs during the anodization of aluminum in acidic electrolytes has attracted a vast amount of research attentions, coupled with the ever-increasing demand for the development of effective, inexpensive and technologically simple methods for the synthesis of low-dimensional nanostructures over a macroscopic area overcoming many of the drawbacks of conventional lithographic techniques. In this presentation, recent progress in the fabrication of ordered nanoporous anodic aluminum oxide (AAO), including conventional anodization techniques, newly developed pulse anodization, hard anodization processes, and generic approaches to three-dimensional pore structures with periodically modulated diameters. Discussion will also cover the applications of AAO for the development of structurally well-defined extended arrays of low-dimensional nanostructures, such as nanodots, nanotubes, and nanowires, which could be model systems in investigating a diverse range of research problems in chemistry and physics and also be starting materials in realizing advanced electronic devices.
-
Activities of various
$TiO_2$ nanostructures in photocatalytic decomposition of methylene blue and toluene were determined in order to shed light on the relationship between structures and photocatalytic activity. Commercially available P-25 samples were used in the present work. In addition,$TiO_2$ nanostructures were synthesized using atomic layer deposition (ALD). We show that change in the surface structure of$TiO_2$ upon variois surface treatments results in variation in photocatalytic activity. In particular, increase in the number of OH groups on the surface leads to the enhancement in photocatalytc activity. Surface OH groups increases adsorption reactivity of organic reactants, thereby increasing activity in photocatalytic decomposition of methylene blue and toluene. -
Understanding the mechanistic details of heterogeneous catalytic reactions will provide a way to tune the selectivity between various competing reaction channels. In this regard, catalytic decomposition of alcohols over the rutile
$TiO_2$ (110) surface as a model oxide catalyst has been studied to understand the reaction mechanism employing the temperature-programmed desorption (TPD) technique. The$TiO_2$ (110) model catalyst is found to be active toward alcohol dehydration. We find that the active sites are bridge-bonded oxygen vacancies where RO-H heterolytically dissociates and binds to the vacancy to produce alkoxy (RO-) and hydroxyl (HO-). Two protons adsorbed onto the bridge-bonded oxygen atoms (-OH) readily react with each other to form a water molecule at ~500 K and desorb from the surface. The alkoxy (RO-) undergoes decomposition at higher temperatures into the corresponding alkene. Here, the overall desorption kinetics is limited by a first-order decomposition of intermediate alkoxy (RO-) species bound to the vacancy. We show that detailed analysis on the yield and the desorption temperatures as a function of the alkyl substituents provides valuable insights into the reaction mechanism. After the catalytic role of the oxygen vacancies has been established, we employed x-ray photoelectron spectroscopy to further study the surface electronic structure related to the catalytically active defective sites. The defect-related state in valence band has been related to the chemically reduced$Ti^{3+}$ defects near the surface region and are found to be closely related to the catalytic activity of the$TiO_2$ (110) surface. -
유기 반도체는 합성 방법의 다양함, 섬유나 필름 형태로 성형이 용이함, 경량성, 유연성, 전도성, 저렴한 생산비, 높은 생산성 등의 특성을 가지고 있으며, 무기물과 같이 벌크 성질을 이용할 수 있을 뿐만 아니라, 분자 자체가 기능성을 가지므로 초박막의 형태에서도 기능성이 유지되어 새로운 초박막 기능성 전자소자 및 광소자의 개발이 가능하다. 특히 플라스틱과 같이 유연한 기판에 박막을 성형할 수 있기 때문에 기존의 고체 반도체로써 실현할 수 없는 두루마리 TV와 같은 flexible application에 적용할 수 있다. 본 발표에서는 유기반도체를 사용하는 유기소자 중 유기박막트랜지스터(Organic Thin Film Transistor; OTFT)에 대한 전반적인 기술동향과 동작원리 및 소자구조와 성능과의 관련성, 그리고 성능 개선을 위하여 시도되고 있는 여러 가지 공정 및 표면처리의 효과에 대하여 설명한다. 또한 본 연구실에서 수행하고 있는 OTFT 관련 연구현황을 소개하고 OTFT의 발전방향을 예측해 본다.
-
Silicate-silsesquioxane or siloxane-silsesquioxane hybrid thin films are strong candidates as matrix materials for ultra low dielectric constant (low-k) thin films. We synthesized the silicate-silsesquioxane hybrid resins from tetraethoxyorthosilicate (TEOS) and methyltrimethoxysilane (MTMS) through hydrolysis and condensation polymerization by changing their molar ratios ([TEOS]:[MTMS] = 7:3, 5:5, and 3:7), spin-coating on Si(100) wafers. In the case of [TEOS]:[MTMS] 7:3, the dielectric permittivity value of the resultant thin film was measured at 4.30, exceeding that of the thermal oxide (3.9). This high value was thought to be due to Si-OH groups inside the film and more extensive studies were performed in terms of electronic, ionic, and orientational polarizations using Debye equation. The relationship between the mechanical properties and the synthetic conditions of the silicate-silsesquioxane precursors was also investigated. The synthetic conditions of the low-k films have to be chosen to meet both the low orientational polarization and high mechanical properties requirements. In addition, we have investigated a new solution-based approach to the synthesis of semiconducting chalcogenide films for use in thin-film transistor (TFT) devices, in an attempt to develop a simple and robust solution process for the synthesis of inorganic semiconductors. Our material design strategy is to use a sol-gel reaction to carry out the deposition of a spin-coated CdS film, which can then be converted to a xerogel material. These devices were found to exhibit n-channel TFT characteristics with an excellent field-effect mobility (a saturation mobility of
${\sim}\;48\;cm^2V^{-1}s^{-1}$ ) and low voltage operation (< 5 V). These results show that these semiconducting thin film materials can be used in low-cost and high-performance printable electronics. -
Ever since the experimental discovery of graphene exfoiliated from the graphite flakes by Geim et at., this area has drawn a lot of attention for its possible application in IT industry. For the growth of graphene, chemical vapor deposition (CVD) has been widely used to fabricate the large area graphene. The lateral size of this graphene can be easily controlled by the size of the metal substrate though the chemical etching to remove this substrate is somewhat troublesome. Another problem which is hard to avoid is the folding at the grain boundary. We will discuss the origin of the folding first and introduce the way to avoid this folding. To solve this problem, we have used the various types of micro-thin metal foils. The precise control of hydro-carbon and the carrier gas results in the formation of the graphene on top of substrate. The thickness of graphene layers can be controlled with the control of gas flow on top of Cu substrate in contrast to the previously reported self-limiting growth
$behavior^1$ . Uniformity of this graphene layer has been checked by micro-raman spectroscopy and SEM. The size of grain can be enhanced by thermal treatment or use of other metal substrate. The dependence of grain size on the lattice size of the substrate will be discussed. By selecting the shape of substrate, we can grow various types of graphene. We will introduce the micron size graphene tube and its application. -
There have been many efforts to utilize the outstanding properties of graphene for macroscopic applications such as transparent conducting films useful for flexible/stretchable electronics. However, the lack of efficient synthesis, transfer, and doping methods limited the scale and the quality needed for the practical production of graphene films. In this presentation, we introduce ultra-large scale (~30 inch) synthesis and roll-to-roll transfer of graphene films showing excellent electrical and physical properties suitable for practical applications. Considering the outstanding scalability/processibility of roll-to-roll and CVD methods and the extraordinary flexibility/conductivity of graphene films, we expect the commercial production and application electrodes replacing the use of ITO can be realized in near future.
-
Employing synchrotron radiation based photoemission spectroscopy (PES) and scanning tunneling microscopy (STM), our group have investigated Si surfaces, various graphenes and molecular nanolayers. In this talk, I introduce recent results on the surface related systems. All experiments have been performed at the surface science beamlines, 3A2 and 7B1, in Pohang Accelerator Laboratory, where high resolution PES (HRPES) and angle resolved PES (ARPES) are available. Metals or molecules are adsorbed and sometimes extreme ultraviolet is irradiated onto surfaces to give them special functions. I show several examples for surface functionalzation and how to characterize solid surface using the analysis techniques. In particular, lots of ARPES and STM data are provided from graphenes, a strong candidate for replacing Si and conducting oxide currently used in many electronic and optical devices.
-
상온에 준하는 저온의 플라즈마를 발생시키는 장치들이 개발되면서, 저온 플라즈마와 생체조직간의 상호작용에 대한 연구가 큰 관심을 끌고 있다. 플라즈마에서 발생되는 다량의 이온과 활성종, 그리고 UV 등이 박테리아나 세포들과 작용함으로 해서 암세포 사멸, 치아 미백, 박테리아 살균/멸균, 지혈등의 효과들이 나타나고 있으며, 이러한 효과들을 극대화할 수 있는 장치 개발과 플라즈마와 생체조직간의 상호작용 메카니즘을 규명하는 것이 중요한 이슈가 되고 있다. 나노 금입자를 암세포의 막단백질인 FAK의 항체와 결합시킨 중합체를 만들어서, 암세포 표면에 나노 금입자붙이고, 플라즈마를 조사했을 때, 나노 금입자가 부착되지 않았을 경우에 비해서, 5배이상 사멸률이 증가하였다.[1] 변색된 치아에 미백제의 주성분인 과산화수소를 도포하고, 10분간 플라즈마를 조사하게 되면, 과산화수소만 도포했을 때에 비해, 치아 표면의 색이 3배이상 밝아지는 것을 관찰할 수 있었다. 과산화수소를 플라즈마에 노출시켰을 때, 활성종인 OH의 생성이 2배이상 증가하였고, 플라즈마에 의한 OH 생성의 촉진이 치아 미백효과가 증대되는 주된 요인인 것으로 추측된다.[2] 플라즈마에서 발생되는 O,
$O_3$ 와 같은 활성종들은 살균력이 뛰어나기 때문에, 저온 플라즈마를 의료기구의 소독/멸균에 응용할 가능성이 아주 크다. 대장균이나 구강 세균이 플라즈마 처리로 5분이내에 멸균되는 것을 확인하였고, 핸드피스와 같은 의료기구를 오염시켜서 멸균 테스트를 수행하고 있다. -
전기천공(electroporation)은 세포에 nanosecond-millisecond 정도의 폭을 가지는 전기 펄스를 0.4-1.5 kV/cm 의 세기로 인가하여 세포 막 표면에 나노미터 크기의 미세한 기공을 형성하는 기술로서, 1970년대 처음 발견된 이래 수십 년 동안 다양한 생명공학 분야에 적용되어 왔다. 적절한 전기 펄스 조건 하에서 생성된 세포 막 표면의 미세 기공은 일정 시간 후 다시 사라지는 가역적 특성을 가져 이를 가역적 전기천공(reversible electroporation)이라 부르며, 주로 친수성약물, 유전자, 효소, 항체 등의 물질을 세포 내로 주입시키는 데 사용한다. 반면 이보다 강한 전기 펄스 하에 생성된 미세 기공은 사라지지 않고 결국 세포의 생명력을 잃게 하는 기전으로 작용하며, 이를 비가역 전기천공(irreversible electroporation)이라 한다. 비가역 전기천공 기술은 가역적 전기천공 측면에서는 바람직하지 않은 현상으로 인식되기도 하였으나, 최근 들어 그 장점을 이용한 기술적 접근이 이루어지고 있다. 전기천공은 주로 식품산업에서 미생물을 죽이는 기술이나 세포의 체외(in vitro) 유전자 주입 기술에 응용되어 왔으나, 현재는 암을 치료하기 위한 의학적 기술로 큰 주목을 받으며 많은 연구들이 진행되고 있고, 일부 기술은 이미 상용화 단계에 와있다. 본 발표는 전기천공의 기술적 이론적 배경과 함께 다양한 의학적 응용 기술에 대한 정보를 제공하며, 국내외 기초 및 응용 연구 동향 파악을 통해 국내 저변 확대 및 추후 발전 전망에 대해 논의 할 것이다.
-
We have characterized the parametric and functional properties of live cell and cancer cell according to plasma treatment conditions using Atmospheric Pressure (AP) Plasma with uniquely designed low temperature arc-free unit. AP plasma system showed very highly efficient capabilities of reacting and interfacing directly with live and cancer cells. The parametric results with the types of gases, applied power, applied gap, and process times on cells will be presented in accordance with functional studies of the works. The growth of cancer cells is directly influenced by AP plasma exposure with evaluating plasma conditions in several human cancer cells and understanding how plasma exposure alters molecular signaling pathways. The cells exhibit a slower or faster growth rates compared with untreated cells, depending on the cell types. These results strongly support the conclusion that alterations in one or more of each gene are responsible, at least in part, for plasma-induced apoptosis in cancer cells. In addition, it also will be presented that AP plasma has an important role for the improvement of sensor performance due to excellent interface property between enzyme and metal electrode for bio sensor manufacturing process.
-
We are currently conducting studies on culturing and biocompatibility assessment of various cells such as neural stem cells and induced pluripotent stem cells(IPS cells) on carbon nanotube (CNT), on nerve regeneration electrodes, and on silicon wafers with a focus on developing nerve integrated CNT based bio devices for interfacing with living organisms, in order to develop brain-machine interfaces (BMI). In addition, we are carried out the chemical modification of carbon nanotube (mainly SWCNTs)-based bio-nanosensors by the plasma ion irradiation (plasma activation) method, and provide a characteristic evaluation of a bio-nanosensor using bovine serum albumin (BSA)/anti-BSA binding and oligonucleotide hybridization. On the other hand, the researches in the case of "novel plasma" have been widely conducted in the fields of chemistry, solid physics, and nanomaterial science. From the above-mentioned background, we are conducting basic experiments on direct irradiation of body tissues and cells using a micro-spot atmospheric pressure plasma source. The device is a coaxial structure having a tungsten wire installed inside a glass capillary, and a grounded ring electrode wrapped on the outside. The conditions of plasma generation are as follows: applied voltage: 5-9 kV, frequency: 1-3 kHz, helium (He) gas flow: 1-1.5 L/min, and plasma irradiation time: 1-300 sec. The experiment was conducted by preparing a culture medium containing mouse fibroblasts (NIH3T3) on a culture dish. A culture dish irradiated with plasma was introduced into a
$CO_2$ -incubator. The small animals used in the experiment involving plasma irradiation into living tissue were rat, rabbit, and pick and are deeply anesthetized with the gas anesthesia. According to the dependency of cell numbers against the plasma irradiation time, when only He gas was flowed, the growth of cells was inhibited as the floatation of cells caused by gas agitation inside the culture was promoted. On the other hand, there was no floatation of cells and healthy growth was observed when plasma was irradiated. Furthermore, in an experiment testing the effects of plasma irradiation on rats that were artificially given burn wounds, no evidence of electric shock injuries was found in the irradiated areas. In fact, the observed evidence of healing and improvements of the burn wounds suggested the presence of healing effects due to the growth factors in the tissues. Therefore, it appears that the interaction due to ion/radicalcollisions causes a substantial effect on the proliferation of growth factors such as epidermal growth factor (EGF), nerve growth factor (NGF), and transforming growth factor (TGF) that are present in the cells. -
최근 상압 저온 플라즈마에서 발생되는 UV와 화학적 활성종들을 이용한 체내 조직 분해 처리, 피부 및 혈관 표면 처리, 대기 및 액체 정화 처리 등의 생체 의료적 응용이 활발하게 연구되고 있다. 이러한 플라즈마에서는 처리 대상 외의 생체 조직의 손상을 최소화 할 수 있는 기술이 필요하며, 이 조건이 확보된 상태에서 처리 목표 대상에 따른 플라즈마 특성, 즉 선택적 생성종 제어와 플라즈마 온도를 안정적으로 관리할 수 있어야 한다. 인체 내부 조직에 대하여 유효 활성종 등의 직접적인 작용이 필요할 경우 밀리미터 크기 이하의 미세침습성 플라즈마를 활용하게 된다. 이 경우 방전 특성을 간접적으로만 관측 가능하여 주변 조직과 플라즈마 간의 상호 영향 등이 고려되어야 하므로 직접적인 관측이 가능한 인체 외부에서 발생된 플라즈마에 비해서 더욱 정교한 제어가 필요하다. 본 연구에서는 미세 침습성 플라즈마의 발생 메커니즘 및 특성 분석을 수행하여 척추 디스크 탈출 치료 시술에 활용하기 위한 연구를 수행하였다. 처리 대상 조직으로의 접근 시 주변 조직의 손상을 막기 위하여 수 밀리미터 이하의 미세한 전극을 이용하였으며 전기 전도성을 띄는 인체 내부에서 절연공간의 확보를 위해 전극 표면에서 기포를 발생시켜 플라즈마 방전이 가능한 조건을 확보하였다. 또한 플라즈마 방전이 중단되거나 혹은 갑작스런 열 플라즈마로의 천이로 인해 생체에 심각한 열 손상을 초래하는 현상을 방지하기 위하여 발생 플라즈마와 주변 디스크간의 상호 영향을 통한 플라즈마의 동적인 특성 변화 및 안정적인 플라즈마 발생을 위한 조건을 도출하였다. 이를 실제 임상 실험에 활용한 결과를 소개하고 아울러 차세대 의료용 플라즈마 발생 장치 개발을 위한 플라즈마 학계의 관심을 이끌어 보고자 한다.
-
Discharge under the water is very hard and demand considerable high voltage. But specially improved electrode can generate plasma discharge to salty water with relatively low voltage. A round shape ceramic electrode having many pinholes combined with metallic one can generate plasma. 400 volt, 10 kHz and 3 micro second pulse width were applied to repeatedly running synthetic seawater with 10 L/m velocity, containing cultivated E. coli and Bacillus. As a result, 18, 94, 99.97, 100, 100 % disinfection rates to E. coli and 17.1, 17.1, 82.9, 99.4, 99.9 % disinfection rates to Bacillus subtilis were achieved to 1, 2, 3, 4, 5 times repetitive treatment respectively. In the plasma condition, the ions and electrons are separated and new kinds of components are re-synthesized by the intensive movement of the components. Especially chlorine ions are separated and recombined to residual free chlorine like HOCl,
$OCl^-$ . The residual free chlorine concentrations of discharged water were 0.25, 0.88, 1.39, 1.59, 1.66 mg$Cl_2$ /L after 5 times treatment respectively. Another unconfirmed radical and oxidants for example, OH,$H_2O_2$ , and$O_3$ can have an effect on microorganism of course. -
산화물 반도체를 이용한 TFT는 비교적 우수한 특성과 더불어 간단한 구조와 공정으로 양산성 확보에 유리한 측면 때문에 많은 주목을 받고 있다. TFT-LCD의 경우에는 기존에 사용되고 있는 a-Si;H TFT에 비하여 10배이상 우수한 이동도를 가진 산화물 TFT를 이용하여 고속동작 패널을 구현할 수 있을 것으로 보이며, AMOLED의 경우에는 poly-Si TFT에 비하여 대면적 공정에서 유리한 측면이 있을 수 있다. 이러한 산화물 반도체 TFT를 상용 디스플레이 패널에 적용하기 위해서는 소자 안정성을 좀더 확보해야 하는 숙제가 남아있다. 한편, 산화물 반도체 TFT는 가시광선 영역에서 투명한 특성이 가지고 있기 때문에 이를 이용하여 투명 디스플레이(투명 AMOLED)를 개발하는 경우 투과도를 크게 증가시킬 수 있는 장점을 가지고 있다. 기존의 투명 디스플레이는 주로 투사방법을 이용하여 구현되었지만, 최근 AMOLED를 이용한 투명 디스플레이 시제품이 시연되고 있다. 투명한 AMOLED를 구성하기 위해서는 OLED뿐만 아니라 백플레인에서의 투과도 증대를 위하여 해결해야할 여러 가지 문제가 발생하게 된다. 본 발표에서는 산화물 TFT에서의 최근 이슈에 대해 살펴보고, 투명 디스플레이에의 적용에 있어서 해결해야 할 문제점에 대해서도 살펴보고자 한다.
-
Nanopiezotronics is an emerging area of nanotechnology with a variety of applications that include piezoelectric field-effect transistors and diodes, self-powered nanogenerators and biosystems, and wireless nano/biosensors. By exploiting coupled piezoelectric and semiconducting characteristics, it is possible for nanowires, nanobelts, or nanorods to generate rectifying current and potential under external mechanical energies such as body movement (handling, winding, pushing, and bending) and muscle stretching, vibrations (acoustic and ultrasonic waves), and hydraulic forces (body fluid and blood flow). Fully transparent, flexible (TF) nanogenerators that are operated by external mechanical forces will be presented. By controlling the density of the seed layer for ZnO nanorod growth, transparent ZnO nanorod arrays were grown on ITO/PES films, and a TF conductive electrode was stacked on the ZnO nanorods. The resulting integrated TF nanodevice (having transparency exceeding 70 %) generated a noticeable current when it was pushed by application of an external load. The output current density was clearly dependent on the force applied. Furthermore, the output current density depended strongly on the morphology and the work function of the top electrode. ZnO nanorod-based nanogenerators with a PdAu, ITO, CNT, and graphene top electrodes gave output current densities of approximately
$1-10\;uA/cm^2$ at a load of 0.9 kgf. Our results suggest that our TF nanogenerators are suitable for self-powered TF device applications such as flexible self-powered touch sensors, wearable artificial skins, fully rollable display mobile devices, and battery supplements for wearable cellular phones. -
최근 InGaN 양자우물구조에 기초한 청색, 녹색 반도체 발광다이오드 (LED)는 장수명, 고효율, 친환경이라는 장점 때문에 다양한 응용에 사용되기 시작하고 있다. 이러한 가시광 LED들이 고휘도 조명용 광원으로 사용되기 위하여서는 많은 효율향상이 이루어 져야 한다. 이를 위하여서는 LED의 성능을 나타내는 각종 효율들을 상호 분리하여 측정할 수 있어야 한다. 그러나, 아직 이러한 LED 효율을 상호 분리하여 측정할 수 있는 기술들이 아직 정립되어 있지 않은 관계로, 대부분은 실험적으로 찾아 가는 경험론걱인 방법에 의존하고 있다. 한양대학교에서는 LED의 각종 효율들을 상온에서 상호 분리 측정할 수 있는 기술을 세계에서 처음으로 개발하였다. 본 논문에서는 효율분리 측정 기술을 소개하고, 이를 토대로 가시광 LED의 각종 효율들을 증대 시킬 수 있는 방안에 대하여 소개한다. LED의 효율은 주입된 전자 가운데 몇%가 광자로 변환되는가를 나타내는 내부양자효율(IQE)과 활성층에서 생성된 광자 가운데 몇 %가 LED chip 외부로 나오는 가를 나타내는 광추출효율(LEE)에 의하여 정하여 진다. IQE는 주로 결정성장상태에 의하여, LEE는 주로 소자구조에 의하여 정하여 진다. 한편 LED의 광출력 및 신뢰성 향상을 위하여서는 LED 췹내에서의 전류 분포 및 전계분포를 매우 균일 하게 유지하는 것이 중요하다. 그러나, 실제 제작된 LED에서는 공간적인 비대칭으로 인하여 전류가 국부적으로 집중되어 흐르는 현상, 즉 전류집중현상이 발생하게 된다. 본 발표에서는 IQE와 LEE를 순수 실험적으로 분리 측정할 수 있는 방법, 전류집중현상을 측정하고 제어 할 수 있는 방법등을 소개한다.
-
Group III-nitride semiconductors have been widely studied as the materials for growth of light emitting devices. Currently, GaN devices are predominantly grown in the (0001) c-plane orientation. However, in case of using polar substrate, an important physical problem of nitride semiconductors with the wurtzite crystal structure is their spontaneous electrical polarization. An alternative method of reducing polarization effects is to grow on non-polar planes or semi-polar planes. However, non-polar and semipolar GaN grown onto r-plane and m-plane sapphire, respectively, basically have numerous defects density compared with c-plane GaN. The purpose of our work is to reduce these defects in non-polar and semi-polar GaN and to fabricate high efficiency LED on non/semi-polar substrate. Non-polar and semi-polar GaN layers were grown onto patterned sapphire substrates (PSS) and nano-porous GaN/sapphire substrates, respectively. Using PSS with the hemispherical patterns, we could achieve high luminous intensity. In case of semi-polar GaN, photo-enhanced electrochemical etching (PEC) was applied to make porous GaN substrates, and semi-polar GaN was grown onto nano-porous substrates. Our results showed the improvement of device characteristics as well as micro-structural and optical properties of non-polar and semi-polar GaN. Patterning and nano-porous etching technologies will be promising for the fabrication of high efficiency non-polar and semi-polar InGaN LED on sapphire substrate.
-
Amorphous carbon films have a variety of potential applications. In most such applications film properties are crucial and highly dependent on the film growth conditions. We here investigate the atomic structure of the films, which is generated at various incidence angles, using the classical molecular dynamics. Varying incidence angle of the deposited carbon atoms, different level of sp hybridization and porosity of the film are captured in our model. As the incidence angle becomes glancing, subplantation of the deposited carbon in vertical direction is significantly reduced, rather bouncing back of the incident carbon with slight modification of surface structure is mainly occurred at the early stage of the film growth. As the surface becomes rougher, shadowing effect at these glancing incidences also becomes more significant, which tends to cause asymmetrical and columnar structure. We describe incidence angle dependence of the evolution of the atomic structure of the film and its corresponding properties.
-
Car industry has required light-weight steels, but still with strong mechanical strength. To meet this requirement, a variety of researches on Fe-Al alloys have been performed. As Al is being added in a disordered manner, alloys become more ductile and show higher yield stress. At a certain concentration of Al, however, the Fe-Al alloy system falls in a second phase whose mechanical strength is worsened. To understand the microscopic role of Al, we investigate the stability and the elastic properties of various Fe-Al alloys using ab initio density functional theory. At agiven Al concentration, the equilibrium geometry is obtained among several disordered Fe-Al alloy structures by performing the geometry relaxation. The formation energies and elastic properties such as bulk moduli of the equilibrium structures are also computed as a function of Al concentration. We also investigate the effects of different elements such as Si and Mn. Fe-Si alloy systems exhibit unusual mechanical behaviors requiring further investigation to understand their physical origin. Especially, the microscopic role of Mn is investigated to find its physical origin of preventing the Fe-Al alloy system from forming an unfavorable second phase. The effect of manganese on mechanical properties of Fe-based alloys is also explored.
-
Zinc oxide is metal oxide semiconductor with the 3.37 eV bandgap energy. Zinc oxide is very attractive materials for many application fields. Zinc Oxide has many advantages such as high conductivity and good transmittance in visible region. Also it is cheaper than other semiconductor materials such as indium tin oxide (ITO). Therefore, ZnO is alternative material for ITO. ZnO is attracting attention for its application to transparent conductive oxide (TCO) films, surface acoustic wave (SAW), films bulk acoustic resonator (FBAR), piezoelectric materials, gas-sensing, solar cells and photocatalyst. In this study, we synthesized ZnO nanoparticles and defined their physical and chemical properties. Also we studied about the application of ZnO nanoparticles as a photocatalyst and try to find a enhancement photocatalytic activity of ZnO nanorticles.. We synthesized ZnO nanoparticles using spray-pyrolysis method and defined the physical and optical properties of ZnO nanoparticles in experiment I. When the ZnO are exposed to UV light, reduction and oxidation(REDOX) reaction will occur on the ZnO surface and generate
${O_2}^-$ and OH radicals. These powerful oxidizing agents are proven to be effective in decomposition of the harmful organic materials and convert them into$CO_2$ and$H_2O$ . Therefore, we investigated that the photocatalytic activity was increased through the surface modification of synthesized ZnO nanoparticles. In experiment II, we studied on the stability of ZnO nanoparticles in water. It is well known that ZnO is unstable in water in comparison with$TiO_2$ .$Zn(OH)_2$ was formed at the ZnO surface and ZnO become inactive as a photocatalyst when ZnO is present in the solution. Therefore, we prepared synthesized ZnO nanoparticles that were immersed in the water and dried in the oven. After that, we measured photocatalytic activities of prepared samples and find the cause of their photocatalytic activity changes. -
We use ab initio density functional theory to determine the effect of bundling on the equilibrium structure, electronic and magnetic properties of
$Mo_6S_{9-x}I_x$ nanowires with x = 0, 3, 4.5, 6. Each unit cell of these systems contains two$Mo_6S_{6-x}I_x$ clusters connected by S3 linkages to form an ordered linear array. Due to the bi-stability of the sulfur linkages, the total energy of the nanowires exhibits typically many minima as a function of the wire length. We find that nanowires can switch over from metallic to semiconducting by applying axial stress. Structural order is expected in bundles with x=0 and x=6, since there is no disorder in the decoration of the Mo clusters. In bundles with other stoichiometries, we expect structural disorder to occur. We find the optimum inter-wire distance to depend sensitively on the orientation of the wires, but only weakly on x. It is also found that the electronic properties of nanowires are affected strongly due to bundling of nanowires exhibiting very unusual Fermi surfaces. Furthermore, ferromagnetic behaviors are observed in selected stable and many more unstable atomic arrangements in nanowire bundles. -
We report a low-temperature fabrication of organic/inorganic nanohybrid dielectrics for organic thin film transistors. The self-assembled organic layers (SAOLs) were grown by repeated sequential adsorptions of C=C-terminated alkylsilane and metal (Al or Ti) hydroxyl with ozone activation, which was called "molecular layer deposition (MLD)". The
$TiO_2$ and$Al_2O_3$ inorganic layers were grown by ALD, which relies on sequential saturated surface reactions resulting in the formation of a monolayer in each sequence and is a potentially powerful method for preparing high quality multicomponent superlattices. The MLD method combined with ALD (MLD-ALD) was applied to fabricate SAOLs-$Al_2O_3$ -SAOLs-$TiO_2$ nanohybrid superlattices on polymer substrates at relatively low temperature. The MLD method is an ideal fabrication technique for various flexible electronic devices. -
Self assembled
$Zn_{x-1}Cd_xS$ nanowires, synthesized on a Indium tin oxide coated glass substrate with low composition of Cd as x=0.09, were fabricated non-precursor via a co-evaporation method using of solid sources of CdS and ZnS. We studies that ZnCdS nanowires are dislocation-free and the single crystalline hexagonal wurtzite structure showed by transmission electron microscopy and selected area electron diffraction pattern. Cathode luminescence spectra showed an near band edge peak at 383nm originated from nanowires at 80K and 300K. Core level spectra of the Cd 3d, Zn 2p and S 2p in the ZnCdS nanorods were obtained by x-ray photoelectron spectroscopy. Prepared ZnCdS nanorods showed different shape with increase of substrate temperature at the growth. -
Carbon nanotubes (CNTs) have been extensively studied owing to its superior electrical properties, especially high electron mobility, which can be applied to various nano-electronic devices. However, synthesized CNTs have a mixture of metallic and semiconducting tubes so that their separation has been a tremendous obstacle to the practical application in electronic device structures. Among the different separation methods, electrical breakdown process to selectively burn out the metallic tubes has been quite successful though it needs additional process in the fabrication of device structures. Here, we report on the selective but not perfect growth of semiconducting nanotubes via use of diluted ferritin catalyst. SWCNTs were grown on ferritin catalyst, where the concentration of the ferritin solution was changed. In this way, we could fabricate the electrical breakdown free SWCNT thin film transistors on the flexible polyimide (PI) substrate. When we used the ferritin diluted by 1/2000, ~ 60 % of the SWCNT thin film transistors showed a perfect p-type behavior with an on/off current ratio higher than
$10^5$ and on-current greater than$10^{-7}$ A. We will also discuss the photo-response of such formed thin film transistors over both visible and UV light. -
Graphene is a 2-D sheet of
$sp^2$ -bonded carbon arranged in a honeycomb lattice. This material has attracted major interest, and there are many ongoing efforts in developing graphene devices because of its high charge mobility and crystal quality. Therefore clear understanding of the substrate effect and mechanism of synthesis of graphene is important for potential applications and device fabrication of graphene. In a published paper in J. Phys. Chem. C (2008), the effect of substrate on the atomic/electronic structures of graphene is negligible for graphene made by mechanical cleavage. However, nobody shows the interaction between Ni substrate and graphene. Therefore, we have studied this interaction. In order to studying these effect between graphene and Ni substrate, We have observed graphene synthesized on Ni substrate and graphene transferred on$SiO_2$ /Si substrate through Raman spectroscopy. Because Raman spectroscopy has historically been used to probe structural and electronic characteristics of graphite materials, providing useful information on the defects (D-band), in-plane vibration of sp2 carbon atoms (G-band), as well as the stacking orders (2D-band), we selected this as analysis tool. In our study, we could not observe the doping effect between graphene and Ni substrate or between graphene and$SiO_2$ /Si substrate because the shift of G band in Raman spectrum was not occurred by charge transfer. We could noticed that the bonding force between graphene and Ni substrate is more strong than Van de Waals force which is the interaction between graphene and$SiO_2$ /Si. Furthermore, the synthesized graphene on Ni substrate was in compressive strain. This phenomenon was observed by 2D band blue-shift in Raman spectrum. And, we consider that the graphene is incommensurate growth with Ni polycrystalline substrate. -
최근 사람의 피부나 내부 장기처럼 수축과 팽창이 일어나는 부위 등에 이식 가능한 소자 개발에 대한 연구가 많이 보고되었다. 현재 이런 stretchable electronics에 대한 연구는 channel material로서 실리콘이나 유기물, 그리고, 광학 리소그래피가 가능한 micro-electronics 에 국한되어 있다. 우리는 CVD 로 성장된 수십 나노미터의 직경을 갖는
$SnO_2$ 나노선을 슬라이딩 전이하여 실리콘 웨이퍼 상에서 소자화하고 이를 스트레칭이 가능한 PDMS 기판에 전이하여 stretchable nanowire device를 구현하였다. 해당 소자는 윗면과 아랫면 모두 폴리머로 덮여 있고 측정을 위한 전극이 따로 구성되어 있어 소자 특성의 열화가 최소화되게 제작되었으며, 수축과 팽창 시 받는 스트레인 또한 최소화하는 mechanical neutral structure를 갖게 제작되었다. 또한, 소자와 소자 혹은 소자와 전극간의 연결을 S자 형태로 구성하여 기판으로 사용된 PDMS를 수십 % 스트레칭하여도 소자의 전기적 특성이 유지되는 것을 확인하였다. 이처럼 스트레칭이 가능한 나노선 소자는 구김이나 잡아 늘여지게 되는 다양한 표면위에 간단하게는 논리회로뿐만 아니라 나노선의 장점을 이용한 다양한 센서 및 기능 소자로서 응용이 가능할 것으로 예상된다. -
In the present work, we present the optimized the hybrid structures of carbon nanotubes (CNTs) and metal nanocomposites including Cu, Al, Co and Ni using the first principle calculations based on the density functional theory. Introduction of CNTs into a metal matrix has been considered to improve the mechanical properties of the metal matrix. However, the binding energy between metals and pristine CNTs wall is known to be so small that the interfacial slip between CNTs and the matrix occurs at a relatively low external stress. The application of defective or functionalized CNTs has thus attracted great attention to enhance the interfacial strength of CNT/metal nanocomposites. Herein, we design the various hybrid structures of the single wall CNT/metal complexes and characterize the interaction between single wall CNTs and various metals such as Cu, Al, Co or Ni. First, differences in the binding energies or electronic structures of the CNT/metal complexes with the topological defects, such as the Stone-Wales and vacancy, are compared. Second, the characteristics of functionalized CNTs with various surface functional groups, such as -O, -COOH, -OH interacting with metals are investigated.We found that the binding energy can be enhanced by the surface functional group including oxygen since the oxygen atom can mediate and reinforce the interaction between carbon and metal. The binding energy is also greatly increased when it is absorbed on the defects of CNTs. These results strongly support the recent experimental work which suggested the oxygen on the interface playing an important role in the excellent mechanical properties of the CNT-Cu composite[1].
-
근래 실리콘 나노선을 이용한 FET타입의 바이오 센서로의 응용 연구가 활발하다. 본 연구에서는 top-down 방식으로 제작한 실리콘 나노선의 전자수송 특성을 측정 분석하여 실리콘 나노선의 기하학적 변수에 따른 수송 변수를 추출하였다. 두께가 40 nm인 SOI wafer로부터 출발하여 일반적인 포토리소그라피와 건식식각 공정을 통하여 선폭이 100-300 nm 그리고 길이가 2-20 mm인 실리콘 나노선을 제작하고 resistance 및 transconductance를 측정하여 전하농도와 이동도의 선폭에 대한 의존도를 얻었다. 이를 바탕으로 bare surface, OH-activated surface, APTES-treated surface등 실리콘 표면상태에 따른 표면전하의 시간에 대한 진화과정을 모니터 할 수 있었으며, 또한 PBS 용액상태에서 pH를 변화시킴에 따른 전하수송 특성곡선의 변화를 연구하였다.
-
Ability to transport extracted carriers from NQDs is essential for the development of most NQD based applications. Strategies to facilitate carrier transport while preserving NQDs' optical characteristics include: 1) Fabricating neat films of NQDs with modified surfaces either by adapting series of ligands with certain limitations or by applying physical processes such as heat annealing 2) Coupling of NQDs to one-dimensional nanostructures such as single walled carbon nanotubes (SWNTs) or various types of nanowires. NQD-nanowire hybrid nanostructures are expected to facilitate selective wavelength absorption, charge transfer to 1-D nanostructures, and efficient carrier transport. Even with the vast interests in using NQD-SWNT hybrid materials in optoelectric applications, still, no reports so far have clearly elucidated the optoelectric behavior when they were assembled on the FET mainly because the complexity involving in both components in their preparation and characterization. We have monitored the optical properties of both components (NQDs, SWNTs) from the synthesis, to the assembly, and to the device. More importantly, by using pyridine molecules as a linker to non-covalently attach NQDs to SWNTs, we were able to assemble NQDs on SWNTs with precise density control without harming their electronic structures. Furthermore, by measuring electrical signals from the fabricated aligned SWNTs-FET using dielectrophoresis (DEP), we were able to elucidate the charge transfer mechanism.
-
ZnO 나노와이어를 수열합성법에 의하여 합성 하였다. 나노와이어 합성을 위한 Seed layer는 Al 이 2% 도핑된 ZnO타겟을 이용하여 스퍼터링 공정을 통해 증착시켰다. 이 Seed layer박막을 대기압 플라즈마 공정을 통하여 친수처리와 소수처리를 한 후 접촉각을 측정 하여 표면에너지를 관찰하였다. 또한 각각의 표면에너지에 의한 ZnO 나노와이어 합성결과 ZnO 나노와이어의 밀도, 직경, 길이가 표면에너지와 밀접한 관련이 있다는 것을 확인 하였다. 결과적으로 수열합성법에 의해 성장된 나노와이어는 Seed layer의 표면에너지에 큰 영향을 받는 것을 확인하였고 이것은 향후 연구에서 나노 구조체 전반에 밀도, 직경, 길이를 조절할 수 있는 핵심 기술임을 제시한다.
-
pn 접합 소자는 반도체 소자의 매우 중요한 기본 구조이다. 최근 들어 나노선과 반도체 기판으로 구성된 pn 접합소자에 대한 연구가 활발히 진행되고 있으나, 나노선을 이용한 대부분의 접합소자는 나노선을 분산하여 소자를 제작하기 때문에 어레이 구조의 소자를 만들기에는 어려움이 있다. 본 연구에서는 성장된 나노선을 슬라이딩 전이하는 방법으로 정렬된 n-
$SnO_2$ 나노선과 도핑이 된 p-Si 기판으로 이루어진 pn 접합 소자 어레이 구조를 제작하였다. 제작된 소자의 전류-전압 측정을 통해 정류 (rectification) 작용을 확인하였고 rectification ratio은 수천~수만으로 측정되었다. 소자에 UV (254nm) 빛을 조사하여 광전류의 증가를 확인할 수 있었다. 또한 소자에 15V이상의 전압을 걸어주면 접합 부분에서 EL(electroluminescence) 효과인 발광을 확인 할 수 있었다. 이처럼 나노선과 기판으로 구성된 pn 접합 소자는 다이오드, 태양전지 뿐 아니라 레이저와 LED등으로도 응용될 것으로 예상된다. -
$SnO_2$ 나노선은 n-type 반도체 특성을 띄며 트랜지스터, 가스 센서, pH 센서 등 여러 분야에 걸쳐 다양하게 사용되고 있다.$SnO_2$ 나노선은 그 자체만으로 시계방향의 전기적 히스테리시스를 보이며 이것은 나노선 표면에 흡착된 물이나 산소가 발생시키는 전자 갇힘 현상이 가장 큰 원인으로 작용한다. 특히 고분자를 게이트 절연막으로 사용할 경우 게이트 절연막의 전기적 히스테리시스가 소자 특성에 영향을 미치게 되며, 고분자 절연막의 히스테리시스는$SnO_2$ 나노선의 히스테리시스와 반대인 반시계 방향의 특성을 보인다. 고분자 내에서 발생하는 히스테리시스는 고분자 사이에 흡착된 물 분자나 고분자의 높은 극성을 가지는 작용기 등이 원인으로 작용한다. 전기적 히스테리시스는 FET소자를 구동하는데 있어 부적절한 특성으로, 이것의 원인을 이해하는 것은 중요하며 히스테리시스의 방향과 크기를 조절할 수 있는 기술 또한 중요하다. 본 연구에서는 폴리이미드(PMDA-ODA)를 게이트 절연막으로 사용하여 플렉시블 기판을 만들고 그 위에$SnO_2$ 나노선을 슬라이딩 전이 방식으로 정렬하여 플렉시블 FET를 제작하였다. 제작된 소자는$0.7cm\;{\times}\;0.7cm$ 넓이 안에 300개의 FET가 존재하며 SEM 이미지를 통해 넓이$50{\mu}m$ , 길이$5{\mu}m$ 의 FET채널에 약 150개의 나노선이 연결되어 있는 것을 확인했다. 이 소자의 히스테리시스는 폴리이미드의 교차결합 정도에 따라, 그리고 폴리이미드 절연막을 제작할 때의 습도에 따라 변하게 된다. 교차결합이 많아지고 습도가 낮아질수록 폴리이미드 절연막 내부에 흡착되는 물분자가 줄어들게 되고 절연막의 히스테리시스가 사라지며 시계방향의 나노선 히스테리시스가 지배적이 된다. 반대로 교차결합이 줄어들고 습도가 높아질수록 폴리이미드 절연막 내부에 물분자가 늘어 나면서 시계반대방향의 폴리이미드 히스테리시스가 FET의 전기적 특성에서 눈에 띄게 나타난다. 이 실험을 통해 고분자 절연막을 사용한$SnO_2$ 나노선 FET의 전기적 히스테리시스를 조절할 수 있었으며, 소자의 히스테리시스를 없앨 수 있는 가능성에 대해서 논하고자 한다. -
탄소나노튜브(CNT)는 우수한 기계적, 화학적, 전기적 특성으로 인해 다양한 분야에서 차세대 응용재료로서 각광을 받고 있다. 다양한 CNT의 합성방법 중 CNT 구조제어가 가장 용이한 방법으로는 열화학증기증착법(TCVD)와 플라즈마지원(PE) CVD법이 있으며, 대량합성을 위해서는 TCVD가 보다 일반적으로 이용되어지고 있다. 일반적으로 CNT를 합성하기 위해서는 전이금속의 촉매가 필요하며 촉매의 활성화 및 탄소를 포함하는 원료가스의 분해를 위하여 고온공정이 요구된다. 그러나 향후 산업적 응용을 고려한다면 저온합성법의 개발은 시급하게 해결해야 할 과제로 인식되고 있다. 또한 기판 위에 CNT를 합성하는 경우 촉매와 기판재료 사이의 합금화를 방지하기 위하여 산화막층을 삽입하게 되는데, 이는 CNT의 높은 전도성을 이용하고자 할 경우 저해요소로 작용하게 된다. 따라서 CNT를 완충층의 도움 없이 금속기판 위에 직접 성장시키는 기술 역시 향후 CNT응용에 있어서 중요한 과제라 할 수 있다. 상기와 같은 배경으로 본 연구에서는 금속기판 위 CNT의 저온성장을 목적으로 연구를 진행하였다. CNT 합성기판으로는 SUS316L 및 Inconel과 같은 촉매금속을 자체 함유한 금속기판을 선정하였고, 플라즈마 전처리를 통한 기판표면 제어를 통하여 CNT의 저온성장을 도모하였다. 직류전원의 아르곤 플라즈마를 이용하여 금속기판을 처리하였을 때 기판온도 및 플라즈마 파워가 증가함에 따라 기판의 표면조도가 증가하는 것을 AFM분석을 통해 확인할 수 있었다. 아세틸렌 가스를 원료가스로 이용한 TCVD합성에 있어서는 플라즈마 처리한 기판이 무처리 기판보다 동일 합성온도에서 더 두꺼운 CNT박막을 형성하였고, 합성온도는
$400^{\circ}C$ 부근까지 내릴 수 있었다. 이는 플라즈마 처리로 증가된 기판의 표면조도가 저온에서 CNT의 핵생성에 유리하게 작용했음을 추측하게 한다. -
Electroluminescence (EL) characteristics of green-emission ZnS:Cu,Cl-based ac-type inorganic powder electroluminescent structures were examined by inserting carbon nanotubes (CNTs) into or next to the dielectric layer. For the top-emission type EL structure, where the luminescent light was emitted from the top of the structure, was fabricated by assembling in order, a top electrode, an emitting layer, a dielectric layer, and a bottom electrode from the top.
$BaTiO_3$ powder mixed with CNTs was used as a dielectric layer or CNTs were deposited between the bottom electrode and$BaTiO_3$ dielectric layer in order to improve the role of the dielectric layer in the structure. Luminance of an EL structure with CNTs inclusion was greatly enhanced possibly due to the high dielectric constant in the dielectric layer of$BaTiO_3$ /CNTs, which is one of hot research topics utilizing nano-objects for intensifying dielectric constant and reducing dielectric loss at the same time. A variation on the CNTs themselves and their inclusion methods in the dielectric layer has been exhorted, and the underlying mechanism for the role of CNTs in the EL structure will be explained in the poster. In order to extend the flexibility of EL devices, EL devices were fabricated on the paper substrate and their performance was compared other EL devices on the plastic-based substrate. -
The research is the structural and optical characteristics of the Cadmium Sulfide(CdS) film, nanowires and nanobelts grown on the
$Al_2O_3$ substrate using the vapor phase epitaxy method. The field-emission scanning electron microscopy(FE-SEM) were used to identify the shape of the surface of the nanostructures and x-ray diffraction(XRD) and transmission electron microscopy (TEM) were used to evaluate the structural characterisitcs. As a result, the XRD was confirmed the CdS peak and the substrate peak and TEM showed single crystals with wurtzite hexagonal structure on the nanostructures. As for the optical characteristic of the nanostructures, photoluminescence(PL) and micro-raman spectrum were measured. The PL measurements confirmed the emission peak related bound exciton to neutral donor($D^0X$ ) peak and free exciton(FX) peak. The micro-raman spectrum showed that the peak of the nanostructures were similar to the pure crystalline CdS peak and each peak were overtone of LO phonon of the hexagonal CdS of the longitudinal optical(LO) phonon mode. Therefore, it is confirmed that the CdS nanostructures grown in this research have superior crystallinity. -
일차원 나노구조를 갖는 재료는 크기효과 뿐만 아니라 단결정성, 일차원성으로 인해 새로운 물리적, 화학적 성질과 높은 표면적-부피비 등으로 인하여 많은 관심의 대상이 되고 있다. 일차원 나노구조 중에 특히 GaAs 나노와이어의 경우, 미래의 전자 소자 혹은 광자 소자로서의 잠재력 때문에 많은 연구가 이루어지고 있다. GaAs 나노와이어는 MOCVD(Metal-Organic Chemical Vapor Deposition), CBE(Chemical Beam Epitaxy), MBE(Molecular Beam Epitaxy)등의 방법으로 성장시킬 수 있다. 본 연구에서는 아크 방전법으로 합성한 단일벽 탄소나노튜브 템플릿 위에 GaAs를 MBE로 성장시켜 다공성의 GaAs-탄소나노튜브 복합체를 제작하였다. GaAs는 성장온도를
$400^{\circ}{\sim}600^{\circ}C$ 사이로 변화시켜 성장시켰다. 성장온도가$500^{\circ}C$ 미만일 경우에는 GaAs가 탄소나노튜브 위에서 입상구조로 성장이 되었으며$500^{\circ}C$ 이상에서는 탄소나노튜브 위에 나노와이어가 성장되었다. 또한, 제작된 GaAs-탄소나노튜브 복합체를 전자 소스로서의 응용가능성을 보기 위해 전계 방출 특성을 측정하였다. -
최근 나노선의 우수한 전기적, 광학적 특성을 다양한 종류의 전자소자, 광소자, 그리고 센서에 응용하는 연구가 활발히 진행되고 있다. 그 중
$SnO_2$ 나노선은 n-type의 전기특성과 우수한 광 특성을 보이며, 전자소자, 광소자 뿐 아니라 다양한 종류의 가스 센서 제작에 널리 사용되고 있다. 본 연구에서는 화학기상증착법 (Chemical Vapor Deposition)으로$SnO_2$ 나노선을 성장하여 전계방출효과 트랜지스터 (field effect transistor: FET)를 제작하여 전기적 특성을 측정하였다. 나노선의 성장 조건 (온도와 산소 유량) 에 따른 나노선의 구조, 화학조성, 전기적 특성을 체계적으로 조사하였다. 산소의 유량이 낮을 때는 온도에 따라 나노선의 크기와 전기 특성에 변화가 없었으나, 산소의 유량을 높이면 온도에 따라 나노선의 두께와 전기적 특성이 크게 변화하였다. 본 연구에서는 특히, FET 구조에서 on/off current ratio 가$10^5$ 이상으로 매우 높은 나노선 제작이 가능하였다. 전기적 특성과 나노선의 결정구조, 화학적 조성을 함께 비교하여 성장 메커니즘을 이해하고자 한다. -
탄소나노튜브(carbon nanotubes : CNTs)는 뛰어난 전기적, 물리적인 특성을 가지고 있기 때문에 다양한 분야에서 이를 활용하려는 노력들이 활발히 이루어지고 있다. CNTs의 전기적인 특성은 직경에 의해 결정되므로, 직경을 균일하게 제어하는 일이 CNTs를 기반으로 한 전자소자 응용에 가장 중요한 사항이라 할 수 있다. 일반적으로 화학기상증착법(chemical vapor deposition, CVD)으로 합성된 CNTs의 직경은 촉매의 크기에 의존하기 때문에, 촉매의 크기를 제어하기 위한 다양한 연구들이 활발히 진행되고 있다[1-3]. 하지만 CNTs의 성장온도 근처에서 촉매 입자는 표면 확산(surface diffusion)에 의해 응집(agglomeration)되기 때문에 작고 균일한 크기의 촉매를 얻기 어렵다. 본 연구에서는 Si(001) 기판 위에 지지층(supporting layer)인 Al의 두께를 변화시켜 증착하고, 열적산화과정을 통해
$Al_2O_3$ 층을 형성한 후 Fe을 증착하여 CNTs를 합성하였다.$Al_2O_3$ 지지층과 Fe 촉매입자의 구조와 화학적 상태를 원자힘현미경 (atomic force microscopy, AFM), 주사전자현미경 (scanning electron microscopy, SEM), 투과전자현미경 (transmission electron microscopy, TEM), X-선 광전자 분광기(X-ray photoelectron spectroscopy)를 통해 분석하였고, 성장된 CNTs는 SEM, TEM, 라만 분광법 (Raman spectroscopy)을 통해 분석하였다. 그 결과,$Al_2O_3$ 층은 두께에 따라 각기 다른 표면 거칠기(RMS roughness)와 결정립(grain)의 크기를 갖게 되며, 이러한 표면구조가 Fe 촉매입자의 표면확산에 의한 응집에 관여하여 CNTs의 직경에 영향을 미치는 것을 확인하였다. 또한$Al_2O_3$ 지지층의 두께가 15 nm인 경우, Fe의 응집현상이 억제되어 좁은 직경분포를 지닌 고순도 단일벽 탄소나노튜브(Single-walled CNTs)가 성장되는 것을 확인하였다. -
본 연구에서는 성장된 p-형의 단일벽 탄소 나노튜브 (SWCNT)와 폴리머 코팅으로 n-형 특성을 보이는 SWCNT의 접합으로 pn-접합 소자 어레이를 만들고 특성을 분석한 결과에 대해 발표하고자 한다. Y-cut quartz 기판에 0.1 nm 두께의 철 촉매 패턴을 만들고 화학기상증착법으로 잘 정렬된 SWCNT를 성장시킨 후, 열 박리 테이프 (thermal tape)을 이용하여 정렬된 나노선을 실리콘 옥사이드 기판에 전이한다. 전기적(electrical breakdown)으로 금속성의 나노선을 제거하고 p-형의 나노선 배열을 얻을 수 있다. 이 나노선에 국소적으로 폴리머 (polyethyleneimine: PEI) 코팅을 하여 n-형 특성을 갖는 나노선 패턴을 만들 수 있다. 이를 이용하여 만든 소자는 p-형과 n-형이 하나의 나노선 안에 부분적으로 존재하므로 연결부위의 접촉에 관한 문제가 전혀 없으며 소자를 만들기도 유용하다. 이렇게 준비된 p-형 나노선과 n-형 나노선의 접합에서 정류특성을 관찰하였다. 이러한 passive matrix 소자는 터치패드나 유기발광다이오드와 같은 다양한 소자에 응용 가능하다.
-
One-dimensional nanosturctures such as nanowires and nanotube have been mainly proposed as important components of nano-electronic devices and are expected to play an integral part in design and construction of these devices. Silicon carbide(SiC) is one of a promising wide bandgap semiconductor that exhibits extraordinary properties, such as higher thermal conductivity, mechanical and chemical stability than silicon. Therefore, the synthesis of SiC-based nanowires(NWs) open a possibility for developing a potential application in nano-electronic devices which have to work under harsh environment. In this study, one-dimensional nanowires(NWs) of cubic phase silicon carbide(
$\beta$ -SiC) were efficiently produced by thermal chemical vapor deposition(T-CVD) synthesis of mixtures containing Si powders and hydrocarbon in a alumina boat about$T\;=\;1400^{\circ}C$ SEM images are shown that the temperature below$1300^{\circ}C$ is not enough to synthesis the SiC NWs due to insufficient thermal energy for melting of Si Powder and decomposition of methane gas. However, the SiC NWs are produced over$1300^{\circ}C$ and the most efficient temperature for growth of SiC NWs is about$1400^{\circ}C$ with an average diameter range between 50 ~ 150 nm. Raman spectra revealed the crystal form of the synthesized SiC NWs is a cubic phase. Two distinct peaks at 795 and$970\;cm^{-1}$ over$1400^{\circ}C$ represent the TO and LO mode of the bulk$\beta$ -SiC, respectively. In XRD spectra, this result was also verified with the strongest (111) peaks at$2{\theta}=35.7^{\circ}$ , which is very close to (111) plane peak position of 3C-SiC over$1400 ^{\circ}C$ TEM images are represented to two typical$\beta$ -SiC NWs structures. One is shown the defect-free$\beta$ -SiC nanowire with a (111) interplane distance with 0.25 nm, and the other is the stacking-faulted$\beta$ -SiC nanowire. Two SiC nanowires are covered with$SiO_2$ layer with a thickness of less 2 nm. Moreover, by changing the flow rate of methane gas, the 300 sccm is the optimal condition for synthesis of a large amount of$\beta$ -SiC NWs. -
단일벽 탄소나노튜브(SWNT)는 뛰어난 물리적 성질과 화학적 안정성을 가지고 있어서 다양한 분야의 응용이 기대되어 폭넓은 연구가 진행 되고 있다. 특히 SWNT의 전기적 및 기계적 특성들은 SWNT의 직경 및 뒤틀림도(chirality)에 의해 크게 좌우되기 때문에, 합성하는 단계에서 직경 또는 chirality를 제어에 관한 많은 이론적 연구가 진행되어 왔으며, 최근에는 초기 SWNT의 핵생성 단계에서의 촉매의 거동 및 상호 연관성 등에 관한 실험적인 연구결과들이 속속 보고되고 있는 실정이다. 하지만, 아직도 이에 관한 더욱 다양하고 활발한 연구 접근 및 결과들이 필요한 시점이다. 상기 배경을 바탕으로 본 연구에서는 균일한 직경을 갖는 SWNT의 합성을 위한 기초연구로서 SWNT의 직경과 촉매나노입자의 크기의 상호 연관성에 대해 체계적으로 조사하였다. 우선 SWNT합성을 위한 촉매나노입자를 얻기 위해 페리틴(ferritin)용액의 농도 및 스핀코팅 조건을 변화시킴으로써 기판 위에 분산농도를 제어한 후, 대기 열처리를 통하여 촉매나노입자의 농도를 제어하였다. 나노입자의 평균직경은 4 nm 정도로 비교적 균일하였으며, 고농도의 촉매입자는 SWNT의 다발화(bundling)를 유발하였다. 따라서, SWNT와 나노입자 직경의 상호연관성을 조사하기 위해서는 단분산(monodispersed) 된 나노입자를 이용하였으며, 아르곤 분위기에서 추가적으로 고온(
$900^{\circ}C$ ) 열처리를 실시함으로써 나노입자의 크기감소를 도모하였다. 실험결과, 열처리 시간의 증가에 따라 입자크기가 감소함을 확인하였으며, 이는 나노입자의 증발에 의한 것으로 예상된다. 다음으로는 열처리를 통하여 직경이 제어된 나노입자를 이용하여 SWNT를 합성한 후 SWNT와 촉매크기 사이의 크기 관계를 조사하였다. SWNT의 합성은 메탄을 원료가스로 열화학증기증착법을 이용하였고, 합성기판으로는 산화실리콘웨이퍼와 퀄츠기판을 이용하였다. 성장한 SWNT의 직경은 AFM을 이용하여 측정하였으며, 퀄츠기판에 수평배향 성장시킨 SWNT를 3차원 구조의 기판으로 전사(transfer)하여, 라만분석이 용이하도록 하였다. -
Using ab initio density functional calculations, we investigate the structural and electronic properties of porous schwarzite structures formed by
$sp^2$ carbon minimal surfaces with negative Gaussian curvature. We calculate the equilibrium geometries, elastic properties and electronic structure of two systems with cubic unit cells containing 152 and 200 carbon atoms, which are metallic and very rigid. The porous schwarzite structure can be efficiently doped by electron donors as well as accepors, making it a promising candidate for the next generation of alkali ion batteries. Furthermore, the schwarzite structures can be magnetic when doped and thus act as arrays of interconnected quantum spin dots. We also propose that two interpenetrating schwarzite structures be used as a ultimate super-capacitor. -
그래핀(Graphene)은 한 겹(layer)의 2차원 판상 구조에 탄소원자들이 육각형의 기본 형태로 배열되어 있는 나노재료로서, 우수한 역학적 강도와 화학적, 열적 안정성 및 흥미로운 전기 전자적 성질을 가지고 있는 것으로 알려져 있다. 최근, 이러한 특징적이고도 우수한 물성으로 인하여 기초물성 연구에서부터 차세대 응용까지 고려한 각종 연구들이 활발하게 진행되고 있다. 일반적으로 그래핀을 얻는 방법에는 물리 화학적 박리, 열화학증기증착법(TCVD), 탄화규소의 흑연화, 흑연산화물의 환원 등의 방법들이 알려져 있다. 그 중 TCVD법이 두께의 균일성이 높은 그래핀을 합성하는데 가장 적절한 것으로 알려져 있다. 그러나 TCVD법은 탄소를 포함하는 원료가스를 분해하기 위하여 고온의 공정을 필요로 하게 되지만, 향후 산업적 응용을 고려한다면 대면적 그래핀의 저온합성법 개발은 풀어야 할 시급한 과제로 인식되고 있다. 현재는 메탄을 원료가스로 사용하여
$900^{\circ}C$ 이상에서 그래핀을 합성하는 추세이고, 최근 아세틸렌등의 활성원료가스를 이용하여$900^{\circ}C$ 이하에서 저온 합성한 연구결과들도 속속 보고되고 있다. 본 연구에서는 고주파 플라즈마를 이용하여 비교적 저온에서 탄소원료가스를 효율적으로 분해하고, 확산플라즈마 영역에 TCVD 챔버를 결합한 하이브리드 화학증기증착법을 이용하여 그래핀의 저온합성을 도모하였다. 원료가스로는 메탄을 사용하였고, 기판으로는 전자빔증착법으로 증착한 니켈 박막 및 구리포일을 사용하였다. 실험결과, 그래핀은$600^{\circ}C$ 부근의 저온에서도 수 층으로 이루어진 그래핀이 합성된 것을 확인하였다. 합성한 그래핀은 분석의 용이함 및 향후 다양한 응용을 위하여 실리콘산화막 및 투명고분자 기판 위에 전사(transfer)하였다. 합성된 그래핀의 구조평가를 위해서는 광학현미경과 Raman분광기를 주로 사용하였으며, 원자힘현미경(AFM), 주사전자현미경(SEM), 투과전자현미경(TEM) 등도 이용하였다. -
그래핀(Graphene)은 탄소원자가 육각형 벌집(honeycomb)구조로 빼곡히 채워진 2차원의 단원자 층으로 역학적 강도와 우수한 화학적/열적 안정성 및 흥미로운 전기 전도 특성을 가지고 있다. 이러한 그래핀의 우수한 특성으로 인하여 현재 기초연구뿐만 아니라 응용연구 등 많은 연구들이 진행되고 있다. 일반적으로 그래핀의 우수한 물리적 특성들은 그래핀의 층수, 모서리(edge)구조, 결함(defect), 불순물 등에 의해 크게 좌우되는 것으로 알려져 있다. 따라서 그래핀의 구조 및 결함정도를 자유로이 제어하고 그에 따르는 특성 변화를 관찰하는 것은 기초연구의 측면에서 뿐만 아니라 향후 그래핀 응용에 있어서도 매우 중요하다고 할 수 있다. 본 연구에서는 그래핀의 내산화 특성을 연구하기 위하여, 그래핀을 열 및 플라즈마 산화 분위기에 노출시킨 후, Raman 분광법을 이용하여 광학적, 구조적 변화를 분석함으로써 그래핀의 내산화 특성에 대하여 조사하였다. 그래핀은 실리콘 웨이퍼에 전자빔증착법으로 니켈박막을 증착한 후 열화학증기증착법으로 합성하였으며, 메탄가스를 원료가스로
$900^{\circ}C$ 전후에서 합성하였다. 합성한 그래핀은 산화반응 시 기판의 영향을 제거하기 위하여 트렌치 구조의 기판 위에 전사(transfer)함으로써 공중에 떠있는 구조를 구현하였다. 열 산화의 경우, 합성한 그래핀을 대기분위기의 고온($500^{\circ}C$ ) 챔버에 넣고 처리시간에 따른 특성변화를 살펴보았다. 플라즈마 산화의 경우는 공기를 이용하여 직류플라즈마를 발생시킨 후 0.4 W의 낮은 플라즈마 파워를 이용하여 플라즈마 산화처리와 특성평가를 매회 반복하였다. 그래핀의 특성분석은 Raman분광기와 광학현미경, 원자힘현미경(AFM) 등을 이용하여 분석하였으며, 상기 결과들은 향후 산화환경에서의 그래핀 응용소자 개발에 유용할 것으로 예상된다. -
최근, 차세대 디스플레이, 터치스크린, 전자파 차폐 및 흡수 등의 분야에 응용하기 위해서 현재 주로 사용되고 있는 ITO박막을 대체하기 위한 연구가 활발하게 진행 되고 있다. ITO 박막은 희소원소인 인듐에서 기인하는 높은 비용뿐만 아니라 매장량도 한계가 있어 대체 재료의 개발이 시급하게 요구되고 있다. 더구나, 다양한 차세대 응용에 있어서는 투명전도성 뿐만 아니라 휠 수 있는 유연성까지 요구되어 ITO박막을 대체할 새로운 투명전도성 유연 박막의 개발에 관한 연구들이 활발히 이루어지고 있다. 탄소나노튜브(CNT)는 금속을 능가하는 이론적인 전기전도도를 갖고 있으며, 높은 탄성등의 우수한 기계적 성질을 갖고 있어, 전도성 확보 및 유연성 구현이라는 투명전도성 플렉서블 박막소재에 요구되는 사항들을 충족시킬 뿐만 아니라, 최근의 대량 합성법등의 개발로 저가에 공급할 수 있다는 장점들이 있어 ITO대체 재료로서 주목을 받고 있다. 그러나, CNT는 튜브 사이에 강한 반데르발스 인력을 가지고 있어 용매 중에 분산하는데 많은 어려움이 있으며, 액상 분산과정을 통한 CNT기반의 플렉서블 박막 제작에 있어서 큰 과제로 남아있다. 본 연구에서는 플라즈마 기능화 처리를 통하여 CNT에 친수성을 부여하였고, 초음파 처리를 통하여 에탄올 중에 CNT를 균일하게 분산한 후, 스프레이 분사법을 이용해 투명 유연기판인 PET고분자 필름위에 균일 박막을 제작하였다. CNT는 아세틸렌 가스를 이용한 열화학증기증착법으로 1mm 이상의 길이를 갖는 수직배향 CNT를 합성하였으며, 이를 아르곤 및 암모니아 플라즈마로 기능화 처리를 실시하였다. 플라즈마 처리를 통해 기능화 된 탄소나노튜브는 플라즈마 처리되지 않은 탄소나노튜브와 분산 속도에서 현저한 차이를 보였다. 제작한 CNT 기반의 투명전도성 유연박막들은 막두께에 따른 전도도 및 투광도의 관계를 조사하였고, 기판에 분사된 CNT 박막의 표면 특성은 AFM, Raman, 접촉각 실험 등을 통하여 분석하였다.
-
Graphene has attracted tremendous attention for the last a few years due to it fascinating electrical, mechanical, and chemical properties. Up to now, several methods have been developed exclusively to prepare graphene, which include micromechanical cleavage, polycrystalline Ni employing chemical vapor deposition technique, solvent thermal reaction, thermal desorption of Si from SiC substrates, chemical routes via graphite intercalation compounds or graphite oxide. In particular, polycrystalline Ni foil and conventional chemical vapor deposition system have been widely used for synthesis of large-area graphene. [1-3] In this study, synthesis of mono-layer graphene on a Ni foil, the mixing ratio of hydrocarbon (
$CH_4$ ) gas to hydrogen gas, microwave power, and growth time were systemically optimized. It is possible to synthesize a graphene at relatively lower temperature ($500^{\circ}C$ ) than those (${\sim}1000^{\circ}C$ ) of previous results. Also, we could control the number of graphene according to the growth conditions. The structural features such as surface morphology, crystallinity and number of layer were investigated by scanning electron microscopy (SEM) and atomic force microscopy (AFM), transmission electron microscopy (TEM) and resonant Raman spectroscopy with 514 nm excitation wavelength. We believe that our approach for the synthesis of mono-layer graphene may be potentially useful for the development of many electronic devices. -
태양광 또는 자연의 힘을 이용한 에너지의 생산은 가까운 미래에 화석연료의 고갈과 이들의 소모로 인해 발행하는 이산화탄소로 인한 지구 온난화등의 문제로 인하여 그 중요성이 점증되고 있는 실정이다. 특히 태양광으로부터 전기에너지를 얻는 발전 방식은 오래전부터 연구되어 왔고 또한 상용화되어 국부적으로 보조 에너지원으로 이용되어 지고 있다. 동작 원리에 따라 이종접합에서 오는 전위차를 이용하는 방법, 동종 물질의 pn접합을 이용하여 기전력을 얻은 방법 및 연료 감응형 종류가 있다. 이 중에서 물질의 이종접합을 이용하는 방법은 아주 오래된 태양전력을 얻는 방식이나 그 동안 연구가 미비하였던 것이 사실이다. 이에 우리는 새로운 재료인 그래핀을 이용하여 산화구리와의 이종접합 태양전지의 제작및 특성을 분석 하였다. 화학기상증착법 (CVD)을 이용해 그래핀을 구리 박편 표면에 성장하였다. 적절한 온도(섭씨 약 1000도)에서 아주 적은 양의 수소 및 메탄을 흘려 주었을때 손쉽게 단일 원자층의 그래핀이 코팅된 구리박편을 얻을 수 있으며, 이 박편을 고온에서 산화 시키면 그래핀은 산화되지 않고 구리만 산화되어 손쉽게 쇼트키타입 태양전지를 얻을 수 있다. 이때 그래핀은 다른 공정 없이 투명전극의 역할을 한다. 간단한 전극을 부착하여 태양전지를 성능을 평가 하였고 그래핀 및 산화구리의 계면효과를 분석하였다. 효율면에서 III-V족 및 실리콘계의 태양전지에 비해 떨어지나 산화구리의 결정화 순도및 산화구리와 금속간의 계면개선 연구를 통해 극복가능 할 것으로 생각된다.
-
유기 쌍안정성 소자는 비휘발성 기억 소자 중에서 구조가 간단하고 제작비용이 저렴하며 유연성을 가지기 때문에 많은 연구가 진행되고 있다. 현재 유기물/무기물 나노복합소재를 사용하여 소자 성능 향상이 기억소자의 성능 향상을 위하여 여러 가지 유기물/무기물 나노복합소재를 사용하여 제작한 유기 쌍안정성 소자가 유연성을 가진 비휘발성 기억소자로 대두되고 있다. 본 연구에서는 ZnO 나노입자를 포함한 PMMA 복합층을 사용하여 제작한 유기 쌍안정성 기억소자를 제작하여 메모리 특성을 조사하였다. 이와 더불어 활성층에 효과적인 전하주입을 위하여 전극과 PMMA/ZnO 층 사이에
$C_{60}$ 층을 삽입한 구조를 가진 메모리 소자의 성능 향상에 대하여 연구하였다. Indium tin oxide 가 증착된 유리 기판위에$C_{60}$ 층을 스핀코팅 방법으로 적층하였다. 1 wt% ZnO 나노입자와 1 wt% PMMA를 혼합하여 스핀코팅 방법으로$C_{60}$ 층 위에 박막을 형성하였다. 그리고, 전극으로 Al을 열증착으로 형성하였다.$C_{60}$ 층이 있는 유기 쌍안정성 기억 소자와$C_{60}$ 층이 없는 두 가지의 소자에 대하여 전류-전압 (I-V) 특성을 측정하여 각각의 소자에서의 전류 히스테리시스 현상이 발생하는 원인을 규명하였다. I-V 특성 결과와 전자적 구조를 사용하여 유기 쌍안정성 소자에서의 쓰기, 지우기 및 읽기 동작에 대한 과정을 설명하였다. 두 소자의 I-V 특성을 비교하므로$C_{60}$ 층을 사용하여 유기 쌍안정성 소자의 성능이 향상됨을 알 수 있었다. 또한$C_{60}$ 층을 사용하여 제작된 유기 쌍안정성 소자의 성능이 향상된 원인을 규명하였다. -
We report a new direct printing method, called liquid-mediated nanotransfer molding (LB-nTM), that uses a polar liquid-mediated transfer process. LB-nTM is based on the direct transfer of various materials from a stamp to a substrate via a liquid- bridge between the stamp and the substrate. This procedure can be adopted in automated printing machines that generate various material patterns with a wide range of feature sizes (as small as 60 nm) on diverse substrates. To demonstrate its usefulness, the LB-nTM method was applied to prepare ZnO-nanowire and TIPS-pentacene transistors.
-
In this study, we report on the novel lithographic patterning method to fabricate organic-semiconductor devices based on photo and e-beam lithography with well-known silicon technology. The method is applied to fabricate pentacene-based organic field effect transistors. Owing to their solubility, sub-micron sized patterning of P3HT and PEDOT has been well established via micromolding in capillaries (MIMIC) and inkjet printing techniques. Since the thermally deposited pentacene cannot be dissolved in solvents, other approach was done to fabricate pentacene FETs with a very short channel length (~30nm), or in-plane orientation of pentacene molecules by using nanometer-scale periodic groove patterns as an alignment layer for high-performance pentacene devices. Here, we introduce the atomic layer deposition of
$Al_2O_3$ film on pentacene as a passivation layer.$Al_2O_3$ passivation layer on OTFTs has some advantages in preventing the penetration of water and oxygen and obtaining the long-term stability of electrical properties. AZ5214 and ma N-2402 were used as a photo and e-beam resist, respectively. A few micrometer sized lithography patterns were transferred by wet and dry etching processes. Finally, we fabricated sub-micron sized pentacene FETs and measured their electrical characteristics. -
We report a new direct printing method, called liquid-mediated nanotransfer molding (LB-nTM), that uses a polar liquid-mediated transfer process. LB-nTM is based on the direct transfer of various materials from a stamp to a substrate via a liquid- bridge between the stamp and the substrate. This procedure can be adopted in automated printing machines that generate various material patterns with a wide range of feature sizes (as small as 60 nm) on diverse substrates. The patterns have been investigated by scanning electron microscopy(SEM).
-
Micro- and nanoscale texturing and control of surface energy have been considered for superhydrophobicity on polymer and silicon. However these surfaces have been reported to be difficult to meet the robustness and transparency requirements for further applications, from self cleaning windows to biochip technology. Here we provided a novel method to fabricate a nearly superhydrophobic soda-lime glass using two-step method. The first step involved wet etching process to fabricate micro-sale patterns on soda-lime glass. The second step involved application of
$SiO_x$ -incorporated DLC to generate high intrinsic contact angle on the surface using chemical vapor deposition (CVD) process. To investigate the effect of surface roughness, we used both positive and negative micro-scale patterns on soda-limeglass, which is relatively hard for surface texturing in comparison to quartz or Pyrex glasses due to the presence of impurities, but cheaper. For all samples we tested the static wetting angle and transparency before and after 100 cycles of wear test using woolen steel. The surface morphology is observed using optical and scanning electron microscope (SEM). The results shows that negative patterns had a greater wear resistance while the hydrophobicity was best achieved using positive patterns having static contact angle up to 140 deg. with about 80% transparency. The overall experiment shows that positive patterns at etching time of 1 min shows the optimum transparency and hydrophobicity. The optimization of micro-scale pattern to achieve a robust, transparent, superhydrophobic soda-lime glass will be further investigated in the future works. -
We report a new patterning technique of inorganic materials by using thin-film transfer printing (TFTP) with atomic layer deposition. This method consists of the atomic layer deposition (ALD) of inorganic thin film and a nanotransfer printing (nTP) that is based on a water-mediated transfer process. In the TFTP method, the Al2O3 ALD growth occurs on FTS-coated PDMS stamp without specific chemical species, such as hydroxyl group. The CF3-terminated alkylsiloxane monolayer, which is coated on PDMS stamp, provides a weak adhesion between the deposited Al2O3 and stamp, and promotes the easy and complete release of Al2O3 film from the stamp. And also, the water layer serves as an adhesion layer to provide good conformal contact and form strong covalent bonding between the Al2O3 layer and Si substrate. Thus, the TFTP technique is potentially useful for making nanochannels of various inorganic materials.
-
The liquid metal ion sources(LMIS) in FIB system have many advantages of high current density, high brightness, and low ion energy spread. Most FIB systems use LMIS because the beam spot size of LMIS is smaller than of gas field ionization sources(GFIS). LMIS basically consists of a emitter(needle, anode), a reservoir(gallium) and a extractor(cathode). But several LMIS have new electrode called the suppressor. We investigated characteristics of LMIS with a suppressor. The characteristics of the threshold voltage and current-voltage (I-V) were observed under the varying extracting voltage with floated suppressor voltage, and under the varying suppressor voltages with fixed extractor voltage. We also simulated LMIS with the suppressor through CST(Computer Simulation Technology). We can explain characteristics of LMIS with a suppressor using the electric field.
-
최근 우리는 InGaAs 위에 성장한 InAs 양자점에 GaAs를 얇게 덮음으로써 양자고리를 성장하고, 그 광학적 특성을 분석하였다. [1] 이번 연구에서는 이 양자고리 구조의 전자 구조 및 광학적 특성을 전산모사를 통해 계산하였고, GaAs가 구조의 응력, 압전 포텐셜 및 light-hole 분율에 미치는 영향을 분석하였다. 이론적인 분석을 위해, valence force field 방법을 이용하여 이종 물질간의 격자상수 차이에 의한 격자 변형 및 압전 포텐셜의 변화를 계산하였고, 양자고리 내 전자의 양자화 에너지 및 파동함수를 k p 방법을 통해 얻을 수 있었다. 또한 광학적인 특성 등의 다체 효과를 예측하기 위해 configuration interaction 방법을 사용하였다. 이 연구에서 우리는, GaAs가 InAs에 강한 압축 응력을 가할 것이라는 일반적인 예측과 달리, InGaAs 매트릭스 안에서는 격자상수가 작은 GaAs가 InAs 양자고리에 효과적인 압축 응력을 가할 수 없음을 보였다. 특히 GaAs 층의 두께가 얇을 경우, InGaAs 매트릭스에 의해 인장 응력을 받는 GaAs가 InAs의 응력을 해소하기 충분한 공간을 제공하여, 오히려 InAs의 압축 응력을 약화시키는 것을 알 수 있었다. 이 연구 결과는 응력 분포가 단순한 양자우물 등의 2차원 구조와 달리, 응력 분포가 복잡한 3차원 나노 구조에서는 단순히 격자상수만으로 파장 변화 경향을 예측할 수 없음을 나타낸다. 또한 우리는, GaAs의 큰 negative 이방 응력과 InAs의 작은 positive 이방 응력에 의해 전자와 heavy-hole은 InAs에, light-hole은 GaAs에 구속됨을 보였다. 즉, InAs보다 밴드갭이 큰 GaAs가 전자와 heavy-hole에 대해서는 강한 포텐셜 배리어로 작용하지만 light-hole에 대해서는 포텐셜 우물로 작용하는, 반 우물-반 배리어 특성을 가짐을 알 수 있었다. 이로 인해 GaAs가 있는 양자고리의 light-hole 분율이 GaAs가 없을 경우에 비해 2배에서 8배가량 증가함을 보일 수 있었다. 비슷한 특성이 hole에 대해서는 InP나 InGaAsP 위에 성장한 GaAs 층에서 보고된 바가 있으나, 전자는 InAs로, hole은 GaAs로 분리할 수 있는 3차원 나노 구조에 대한 연구는 이 연구가 처음이다. [2]
-
현재 나노크기의 나노소자에 대한 관심과 연구가 활발히 진행 중에 있고, 나노소자 제작을 위한 나노구조체 연구에도 탄력을 받고 있다. 나노구조체 연구 중에서도 탄소나노튜브(CNT)와 실리콘이 많이 연구되고 있으나 CNT의 경우 금속과 반도체 등 전기적 특성이 혼재되어 분리기술이 필요하며, 실리콘 기반의 나노구조체들은 공기 중에 노출되었을 경우 자연 산화막 생성에 대한 문제점들이 대두되고 있다. 이러한 기존 나노구조체들의 문제점들을 극복하기 위해 산화물 계열의(
$InO_3$ , ZnO와$SnO_2$ 등) 나노구조체들이 화학, 광학 및 생화학 센서등의 다양한 응용 연구들이 진행되고 있다. 본 연구에서는 thermal evaporation법으로 tube furnace 장비를 이용하여 온도($500{\sim}900^{\circ}C$ )변화에 따른 ZnO nanorod를 성장시켰다. 성장된 ZnO nanorod의 구조적 특성을 확인하기 위하여 전계방출주사전자현미경(SEM)을 측정한 결과 ZnO nanorod들은 직경 50~80nm, 길이는 400~1000nm 이상까지 다양한 직경과 길이를 가지고 성장되었으며$800^{\circ}C$ 에서 성장된 ZnO nanorod가 가장 곧고 이상적인 nanorod의 형태를 이루는 것을 확인할 수 있었다. Nanorod는 온도가 높아질수록 nanowire로 성장됨에 따라 본 연구에서$800^{\circ}C$ 에서는 nanorod형태를 이루고 있으나$900^{\circ}C$ 에서부터 nanowire의 형태로 성장되었다. 또한 성장된 ZnO nanorod들의 X-선 회절패턴(XRD)을 측정 결과 ZnO의 (002) 우선 배양성 때문에 성장된 nanorod 또한 (002) 방향으로 성장되었음을 확인하였다. 이 연구를 통하여 온도를 조절함으로서 ZnO nanorod의 성장제어가 가능함을 확인하였고, 특성 분석을 통하여 발광소자, Solar Cell로의 응용가능성을 확인하였다. -
Replacing the existing illumination with solid-state lighting devices, such as light-emitting diodes (LEDs) are expected to reduce energy consumption and environmental pollution as they provide better efficiency and longer lifetimes. Currently, white light emitting diodes are composed of UV or blue LED with down-converting materials such as highly luminescent phosphors White light-emitting diodes (LED) were fabricated with multi-shell nanocrystal quantum dots for enhanced luminance and improved stability over time. Multi-shell quantum dots (QDs) were synthesized through one pot process by using the Successive Ionic Layer Adsorption and Reaction (SILAR) method. As prepared, the multi-shell QD has cubic lattice of zinc-blend structure with semi-spherical shape with quantum yield of higher than 60 % in solution. Further, highly fluorescent multi-shell QD was deposited on the blue LED, which resulted in QD-based white LED with high luminance with excellent color rendering properties.
-
Semiconductor nanocrystal quantum dots (NQDs) have recently attracted considerable interest for use in photovoltaics. Band gaps of NQDs can be tuned over a considerable range by varying the particle size thereby allowing enhance absorption of solar spectrum. NQDs, synthesized using colloidal routes, are solution processable and promise for a large-area fabrication. Recent advancements in multiple-exciton generation in NQD solutions have afforded possible efficiency improvements. Various architectures have attempted to utilize the NQDs in photovoltaics, such as NQD-sensitized solar cell, NQD-bulk-heterojuction solar cell and etc. Here we have fabricated CdSe NQDs with the band gap of 1.8 eV to 2.1 eV on thin-layers of p-type organic crystallites (1.61 eV) to realize a donor-acceptor type heterojuction solar cell. Simple structure as it was, we could control the interface of electrode-p-layer, and n-p-layer and monitor the following efficiency changes. Specifically, surface molecules adsorbed on the NQDs were critical to enhance the carrier transfer among the n-layer where we could verify by measuring the photo-response from the NQD layers only. Further modifying the annealing temperature after the deposition of NQDs on p-layers allowed higher conversion efficiencies in the device.
-
Scanning tunneling microscopy (STM) was used to study the electronic structure of cobalt(II) tetraphenylporphyrin (CoTPP) on the Fe/W(110) substrate. Clover-like conformation of CoTPP was observed and showed bias dependent STM images. The central Co(II) ion of this porphyrin was protruded on the positive biases, but it was depressed on the negative biases. On the positive biases, the phenyl rings of CoTPP appeared to be bright contrary to the invisible pyrrole rings. These results were compared the first-principles calculations using GGA and GGA+U to elucidate the influence of the Fe substrate. GGA+U results agreed well with the experimental results; however, GGA did not. These results show that proper treatment of the on-site Coulomb repulsion of the Fe ions is crucial to describe the electronic structure of this system. By the comparison between the GGA+U calculations on the Fe substrate and the gas phase calculations, it can be noted that chemical potential shift occurred accompanying charge transfer from the Fe ions of the substrate to the pyrrole ligand of the porphyrin.
-
나노 크기에서 존재하는 Grain Boundary나 Dislocation과 같은 결함들은 거시적인 물성을 크게 좌우하는 요인들이 되기도 한다. 또한 다결정을 이루고 있는 입도(Grain Size)에 따라 기계적인 강도 특성도 달라지는데, 금속의 경우에 입도가 비교적 큰 영역(d >
$d_c$ )에서는 입도가 작고 균일해지면서 강도가 증가하는 'Hall-Petch 효과'를 보인다. 반면 입도가 작은 영역(d <$d_c$ )에서는 입도가 줄어들 때 강도가 감소하는 경향을 보이는데 이를 '역 Hall-Petch 효과'라고 한다. 본 연구에서는 분자 동역학을 이용한 단결정과 다결정의 Si에 대한 기계적 성질에 대해 조사한다. 입도와 온도 변화에 따른 단결정 Si와 다결정 Si의 Strain-Stress Curve와 Young's Modulus, Yield Point에 대해 관찰하고 특이점을 제시한다. 이를 통해 나노 영역에서의 기계적 성질의 예측과 거시적 영역에서의 성질의 연관성을 추구한다. -
Monodispersed spherical silica-coated ceria nanoparticles were prepared through a sol-gel process using tetraethylorthosilicate (TEOS) and ceria fine particles. In this process, ceria fine particles were also prepared from cerium nitrate. The mean size of ceria particles was 300nm. Silica nanoparticles with narrow particle size distribution were prepared by controlled hydrolysis of TEOS solution. The silica sols were obtained by peptization, the process of redispersing a coagulated colloid, and were coated on ceria particles by the control of the weight ratio of silica/ceria and the pH of the mixture in aqueous solution. The morphologies of particles were characterized with scaning electron microscopy(SEM), transmission electron microscopy(TEM) and atomic force microscopy(AFM). The coating thickness of silica particles obtained by using this method was controlled in the range of 30 - 70nm.
-
We demonstrate the hybrid polymer-quantum dot based multi-functional device (Organic bistable devices, Light-emitting diode, and Photovoltaic cell) with a single active-layer structure consisting of CdSe/ZnS semiconductor quantum-dots (QDs) dispersed in a poly N-vinylcarbazole (PVK) and 1,3,5-tirs- (N-phenylbenzimidazol-2-yl) benzene (TPBi) fabricated on indium-tin-oxide (ITO)/glass substrate by using a simple spin coating technique. The multi-functionality of the device as Organic bistable device (OBD), Light Emitting Diode (LED), and Photovoltaic cell can be successfully achieved by adding an electron transport layer (ETL) TPBi to OBD for attaining the functions of LED and Photovoltaic cell in which the lowest unoccupied molecular orbital (LUMO) level of TPBi is positioned at the energy level between the conduction band of CdSe/ZnS and LiF/Al electrode (band-gap engineering). Through transmission electron microscopy (TEM) study, the active layer of the device has a p-i-n structure of a consolidated core-shell structure in which semiconductor QDs are uniformly and isotropically adsorbed on the surface of a p-type polymer core and the n-type small molecular organic materials surround the semiconductor QDs.
-
Ion beam irradiation has been extensively used for surface modification of polymers, glassy metals and amorphous and crystalline materials at micron and submicron scales. The surface structures created by exposure to an ion beam range from dots, steps and one-dimensional straight wrinkles to highly complex hierarchical undulations and ripples. In general, the morphology of these nanoscale features can be selected by controlling the ion beam parameters (e.g. fluence and acceleration voltage), making ion beam irradiation a promising method for the surface engineering of materials. In the work, we presented that ion beam irradiation results in creation of a peculiar nanoscale dimple-like structure on the surface of polyimide - a common polymer in electronics, large scale structures, automobile industry, and biomedical applications. The role of broad Ar ion beam on the morphology of the structural features was investigated and insights into the mechanisms of formation of these nanoscale features were provided. Moreover, a systematic experimental study was performed to quantify the role of ion beam treatment time, and thus the morphology, on the coefficient of friction of polyimide surfaces covered by nanostructure using a tribo-experiment. Nano-indentation experiment were performed on the ion beam treated surfaces which shows that the hardness as well as the elastic modulus of the polyimide surface increased with increase of Ar ion beam treatment time. The increased of hardness of polyimide have been explained in terms of surface structure as well as morphology changes induced by Ar ion beam treatment.
-
최근 집속이온빔을 이용한 미세회로 교정, MEMS 공정 및 이온 도핑 등에 대한 연구개발이 활발히 이루어지고 있다. 기존에 널리 사용되었던 액체 금속 이온 소스의 경우 비교적 큰 angular divergence 및 Ga 이온 소스에 의한 오염이 문제시 되고 있어 이를 대체할 수 있는 가스 이온 소스에 대한 연구를 진행하였다. 본 연구에서 사용된 가스 이온 소스는 2 turn 안테나(1/4 inch Cu tube)가 감긴 반경 4 cm 석영관 내부에 Ar 가스를 주입 후 RF(13.56MHz)-ICP 타입 방전을 이용하였다. 운전 압력은
$10^{-5}\;Torr$ 범위이며 인가된 RF 전력은 최대 150 W이다. 석영관 내 발생된 플라즈마로부터 Ar 이온을 인출하기 위해 2단 인출 전극 구조가 사용되었으며 상단 전극에 고전압이 인가되고 하단 전극이 접지되는 형태이다. 2단 인출 전극의 최대 인출 전압은 10 kV, 상단 및 하단 전극의 구멍 크기는 각각 0.3 mm, 2 mm이다. 이온빔의 퍼짐을 최소화하기 위해 전극 간 공간 내 이온 거동 전산모사를 통해 전극 구조를 설계하였으며 이를 통해 최대$30\;mA/cm^2$ 의 이온 전류 밀도 값을 얻을 수 있었다. -
Hydrophilic
$TiO_2$ films were deposited on slide glasses using titanium tetraisopropoxide (TTIP) as a precursor by metal-organic chemical vapor deposition (MOCVD). The temperature of substrate was$400^{\circ}C$ and the temperatures of precursor were kept at$75^{\circ}C$ (sample A) and$60^{\circ}C$ (sample B) during the$TiO_2$ film growth. The deposited$TiO_2$ films were characterized by contact angle measurement and uv/vis spectroscopy. The result show that sample B has very low contact angle of almost zero due to superhydrophilic$TiO_2$ surface and transmittance is$76.85%{\pm}1.47%$ at the range of 400 - 700 nm. So, this condition is very optimal for hydrophilic$TiO_2$ film deposition. However, when the temperature of precursor is lower is lower than$50^{\circ}C$ or higher than$75^{\circ}C$ ,$TiO_2$ could not be deposited on the substrate and cloudy$TiO_2$ film was formed due to low precursor temperature and the increase of surface roughness, respectively. -
ZnO shows a direct band gap of 3.37eV, large exciton binding energy (~60 meV), high oxidation ability, high sensitivity to many gases, and low cost, and it has been used in various applications such as transparent electrodes, light emitting diodes (LEDs), gas sensors and photocatalysts. Among these applications ZnO as photocatalyst has considerably attracted attention over the past few years because of its high activities in removing organic contaminants generated from industrial activities. In this research, ZnO nanoparticles were synthesized by spray-pyrolysis method using the zinc acetate dihydrate as starting material at synthesis temperature of
$900^{\circ}C$ with concentration varied from 0.01 to 1.0M. The physical and chemical properties of the synthesized ZnO nanoparticles were examined by X-ray Diffraction (XRD), Scanning Electron Microscopy (SEM), Fourier Transformation Infrared (FT-IR), and UV-vis spectroscopy. The Miller indices of XRD patterns indicate that the synthesized ZnO nanoparticles showed a hexagonal wurtzite structure. With increased precursor concentration, a primary, secondary particle sizes of ZnO nanoparticles increased by 0.8 to$1.5{\mu}m$ and 15 to 35nm, and their crystallinity was improved. Methyleneblue (MB) solution ($1{\mu}M$ ) as a test comtaminant was prepared for evaluating the photocatalytic activities of ZnO nanoparticles synthesized in different precursor concentration. The results show that the photocatalytic efficiency of ZnO nanoparticles was gradually enhanced by increased precursor concentration. -
One or two-dimensional nanostructures such as nanowires or nanomats have been widely uses as building blocks for nanoscale electronic devices. Nanofiber is one of sub-category of nano structures, it is easy to make nano-sized fiber by electrospinning technique. Nanofiber has large surface area as compared with their volume, it could be widely applied to many areas easily. Electrospinning technique is easy to control their structures and morphology safely and cost-effectively. We made nano-rings as a model of one dimensional nanostructures by electrospinning technique. To our knowledge, there were no reports on the preparation and investigation of alumina nano-rings by electrospinning technique. In this study, aluminum oxide nano-rings were produced after electospinning and calcination. The synthesized aluminum oxide nano-rings were characterized by scanning electron microscopy (SEM) to identify the morphology and the diameter of the ring, X-ray diffraction (XRD) to verify the crystallinity of the aluminum oxide, and X-ray photoelectron spectroscopy (XPS) for investigation of the chemical nature of the synthesized nano-rings.
-
저밀도 양자점은 단일 광자 소자의 활성층으로 주목받고 있다. 단일 광자 소자의 연구를 위 해서는 단일광자를 측정하는 것이 필수적이며, 실리콘을 이용한 측정장비를 사용하는 것이 효율적이고 가격면에서 유리하다. 따라서, 일반적으로 적외선 영역에서 발광파장을 가지는 In(Ga)As/GaAs 양자점보다는 800nm보다 짧은 파장영역에서 발광특성을 가지는 양자점을 사용하는 것이 필수적이다. 본 연구에서는 AlGaAs 물질 위에 S-K 성장방법을 이용하여 InAs 양자점을 성장하였고, 그 발광특성을 분석하였다. 저온에서 측정한 PL 결과, InAs 양자점이 없는 시료의 경우 GaAs 기판에 의한 발광특성만 보이는데 비해, 양자점이 있는 시료는 740nm와 788nm에서 추가적인 발광특성을 보이는 것을 알 수 있다.
-
최근 나노 입자가 항체와 호환이 가능하다는 연구가 진행됨에 따라, 외부에서 나노 입자를 비침투식으로 가열할 수 있다면 암세포만을 선택적으로 치료할 수 있기에, 본 연구는 유도결합 고주파 가열 메커니즘을 이용하여 암세포를 제거할 수 있는 새로운 방법에 관한 내용을 다루고 있다. 13.56 MHz의 고주파를 인가하였으며, 카본나노튜브 용액을 유도 결합 고주파 가열시킨 후 용액의 온도 상승 값을 측정하였다. 또한, 인체와 호환이 가능하도록 제조된 특수용액을 이용하여 유도 결합 고주파 가열 실험을 하였으며, 그에 따른 온도 증가를 측정하였다. 용액의 온도는 농도가 짙고, 고주파 전력이 높으며, 그리고 인가 시간이 길수록 온도 상승이 급격해짐이 관찰되었으며, 이러한 온도 상승은 유도 가열에 의한 에너지 전달이 효과적임을 나타낸다. 따라서 이 유도 결합 고주파 가열법은 비침투식, 선택적 암세포 치료에 적용이 가능할 것으로 예상된다.
-
자동차 차체부품에 적용되는 플라스틱 소재는 강도와 내마모성, 내충격성의 충분한 물성확보가 필요하며, 이에 플라스틱 소재의 기계적 특성 향상을 위해 유리 섬유가 다량 함유된 복합소재적용이 증가하고 있다. 반면 플라스틱이 고강도화함에 따라 제품 성형을 위한 사출 금형을 손상시키는 사례가 빈번하게 발생하고, 소재의 유동성 저하에 따른 사출 불량이 증가하고 있어 고강성 플라스틱 복합소재에 대응하는 고경도, 고내마모 특성이 부여된 사출 금형의 개발이 시급한 실정이다. 특히 사출 금형에 사용되는 소재는 기존 소재에 비해 우수한 내마모성과 함께 고광택을 유지하는 것이 더욱 중요해졌으며, 이에 따라 유럽, 일본과 국내 연구진에 의해 다양한 연구가 진행되고 있다. 그 중에서도 일본에서 개발되어 국내에도 소개된 래디칼 질화는 기존 질화법에 표면의 화합물 층만을 제어하는 것으로 다소의 표면 광택 효과는 있으나, 플라스틱 사출에 그대로 적용하기에는 무리가 따르므로 그 용도가 극히 제한적이다. 본 연구에서 적용한 나노 질화기술은 0.1torr 이하의 고진공에서 고밀도의 플라즈마 에너지를 발생시키는 방법으로 화합물층이 없는 나노 크기의 질화층을 소재 표면에 형성시키는 기술로서, 처리 후에도 표면의 색상 및 광택의 변화가 없는 것을 특징으로 한다. 또한 표면 경도 및 피로 특성을 향상시킴으로써 금형의 내구 수명을 향상시킬 것으로 기대된다. 본 연구에서는 KP4 금형 소재를 사용하여 플라즈마 이온 질화 시험 조건에 따른 소재의 경도 및 내마모 특성을 파악하고, 미세 조직 분석 및 XRD 분석 등을 통해 내마모 특성 향상에 대한 기본 특성을 평가하였다. 또한 인장시험을 통해 인장강도, 항복강도 및 연신율을 파악하고, 이를 토대로 고주기 피로시험을 실시함으로써 S-N curve를 얻고, 이를 통해 피로 강도 및 피로 수명에 미치는 나노 질화 처리의 영향을 파악하고자 하였다. 플라즈마 이온 질화 시험은 질소와 수소 비율(
$N_2:H_2$ ), 진공도, Screen bias voltage, Bias voltage를 변화시켰으며, 챔버 온도는$400^{\circ}C$ 로 고정하였으며, 처리시간도 3시간으로 고정하였다. 질소와 수소의 비율은 3:1일 때 최고의 내마모 특성을 보였으며, 진공도는 내마모 특성에 큰 영향을 미치지 않는 것으로 관찰되었다. KP4의 초기 경도값은 약 302 Hv인 반면 최적의 나노 질화처리를 거친 시편에서는 800Hv 이상의 Vickers 경도값을 보였다. SEM 미세조직 분석과 EPMA를 통한 성분 분석을 시행한 결과 표층으로부터 약$1.5{\mu}m$ 의 나노질화층을 확인할 수 있었다. -
CuO 나노입자는 전기화학적 전지, 가스 센서 및 태양전지와 같은 나노 전자소자에 응용할 수 있는 대단히 유용한 물질이다. CuO 나노구조를 형성하기 위한 방법은 솔-겔법, 전기 화학적 방법 및 전구체의 열적 탈착방법 등으로 연구되어 왔으나 CuO 나노입자의 열처리 효과는 상대적으로 연구가 미흡하다. 본 연구에서는
$Al_2O_3$ 기판 위에 스핀 코팅법과 열처리를 사용하여 형성한 CuO 나노입자의 물리적 성질을 살펴보았다. CuO 나노 입자를 형성하기 위해 methanol에 Cu(I) acetate (5 wt%) 을 적절히 분산한 용액을$Al_2O_3$ 기판 위에 7000 rpm으로 스핀 코팅을 한 후$300^{\circ}C$ ,$500^{\circ}C$ 및$700^{\circ}C$ 로 각각 1 시간 동안 산소 분위기에서 열처리를 하였다. X-선 회절법 결과는 CuO의 (200)$K_{\alpha}$ 와 (400)$K_{\alpha}$ 회절에 해당하는 피크가 나타났고 주사 전자현미경 상의 결과는 CuO 나노입자가 형성되었음을 확인하였다. 나노입자의 크기는 고배율 투과 전자현미경상에 의하여 3-5 nm 인 것으로 확인하였고 300 K에서 측정한 광루미네선스 스펙트럼은 CuO의 주된 스펙트럼 피크가 푸른색 영역에서 나타남을 알 수 있었다. X-선 광전자 분광법 스펙트럼은 Cu$2p_{3/2}$ 와 O 1s의 전자상태를 보여주었으며 복잡한 산화상태를 갖는 CuO는 Cu-O 결합과 산소의 화학적 흡착상태를 가지는 것으로 확인되었다. 이러한 결과는$Al_2O_3$ 기판 위에 최적화된 CuO 나노 입자의 형성 방법과 구조적, 광학적 및 전자적 특성을 이해하는데 도움을 제공해 줄 것이다. -
ZnO는 상온에서 에너지 밴드갭이 3.37 eV 이고 엑시톤 속박에너지가 60 meV 인 넓은 에너지 띠를 가진 반도체이다. ZnO 반도체는 고에너지 영역에서 광투과율 및 에너지 수집율이 큰 특성을 가지고 있기 때문에 단파장 영역에서 작동하는 발광 다이오드나 반도체레이저 소자 응용에 사용되고 있다. 이와 더불어 액정디스플레이, 유기발광소자 및 태양전지에서 투명 산화물 전극으로 많은 응용이 되고 있다. 본 연구에서는 p-type Si 기판 위에 ZnO 나노입자 형성과 구조적 성질과 전자적 성질에 대하여 조사하였다. ZnO 나노입자를 형성하기 위해 ethanol에 zinc acetate dehydrate (5 wt%)을 적절히 분산시킨
$Zn(CH_3COO)_2H_2O\;+\;CH_3OH$ 용액을 스핀 코팅하여 산소 분위기에서 각각$300^{\circ}C$ ,$500^{\circ}C$ ,$700^{\circ}C$ 및$900^{\circ}C$ 로 각각 2시간 동안 열처리 하였다. X-ray 회절 실험 결과는 열처리 온도에 관계없이 ZnO (0001)의 피크가 관측되었다. 원자힘 현미경 이미지상으로 열처리 온도에 따른 ZnO 나노입자의 표면상태의 변화와 나노입자의 크기의 변화를 확인하였다. X-ray 광전자 분광 스펙트럼 결과는 Zn$2p_{3/2}$ 와 O 1s의 전자상태 스펙트럼을 분석하여 ZnO 나노입자가 형성됨을 보여주었다. 본 연구를 통하여 용액방법을 사용하여 제작된 ZnO 나노입자의 열처리 온도변화에 따른 구조적 성질과 전자적 성질을 이해하는데 도움을 줄 것이다. -
최근 과학 전 분야에서 나노 스케일에서의 연구가 활발하게 진행되고 있다. 이에 따라 컴퓨터를 이용하여 실험에서 발견되는 현상을 해석하거나 일어날 일을 예측하는 일이 중요해지고 있다. 이러한 전산모사를 수행하기 위하여, 원자구조의 모델링이 선행되어야 한다. 현재 본 그룹에서는 Python 언어를 기반으로 모델링을 위한 패키지를 개발하고 있다. 이 패키지에는 최근 나노과학에서 빈번히 사용되는 Graphene이나 Carbon Nano Tube, 실리콘 표면 등을 생성하는 기능과 만든 모델을 편집하여 연구자가 원하는 모델을 편집하는 기능이 포함되어 있다. 향후 Python을 모르는 사람들도 쓸 수 있는 GUI (graphic User Interface) 를 구현할 예정이다.
-
$Er^{3+}$ ion shows a stable and efficient luminescence at 1.54mm due to its$^4I_{13/2}\;{\rightarrow}\;^4I_{15/2}$ intra-4f transition. As this corresponds to the low-loss window of silica-based optical fibers, Er-based light sources have become a mainstay of the long-distance telecom. In most telecom applications,$Er^{3+}$ ions are excited via resonant optical pumping. However, if nanocluster-Si (nc-Si) are co-doped with$Er^{3+}$ ,$Er^{3+}$ can be excited via energy transfer from excited electrical carriers in the nc-Si as well. This combines the broad, strong absorption band of nc-Si with narrow, stable emission spectra of$Er^{3+}$ to allow top-pumping with off-resonant, low-cost broadband light sources as well as electrical pumping. A widely used method to achieve nc-Si sensitization of$Er^{3+}$ is high-temperature annealing of Er-doped, non-stoichiometric amorphous thin film with excess Si (e.g.,silicon-rich silicon oxide(SRSO)) to precipitate nc-Si and optically activate$Er^{3+}$ at the same time. Unfortunately, such precipitation and growth of nc-Si into Er-doped oxide matrix can lead to$Er^{3+}$ clustering away from nc-Si at anneal temperatures much lower than${\sim}1000^{\circ}C$ that is necessary for full optical activation of$Er^{3+}$ in$SiO_2$ . Recently, silicon-rich silicon nitride (SRSN) was reported to be a promising alternative to SRSO that can overcome this problem of Er clustering. But as nc-Si formation and optical activation$Er^{3+}$ remain linked in Er-doped SRSN, it is not clear which mechanism is responsible for the observed improvement. In this paper, we report on investigating the effect of separating the nc-Si formation and$Er^{3+}$ activation by using hetero-multilayers that consist of nm-thin SRSO or SRSN sensitizing layers with Er-doped$SiO_2$ or$Si_3N_4$ luminescing layers. -
본 실험에서는 Silicon (001) 기판을 사용하여 silicon 기판상에 modified Stranski-Krastanow(S-K) 방식으로 InAs quantum dot (QD) 을 성장하고 그 위에 InSb layer를 형성하였다. 기판온도
$390^{\circ}$ 에서 In injection period를 4번 반복하여 제작된 InAs quantum dot layer를 buffer로 사용하였으며, QD layer의 밀도는$1{\mu}m^2$ 당 600개, height가$6.2\;{\pm}\;2.0\;nm$ , width가$36.1\;{\pm}\;9.2\;nm$ 정도이다. 성장된$2.8{\mu}m$ 두께의 InSb film의 특성을 분석해 보면 AFM 상에서의 root mean square (rms) roughness는 5.142nm정도이며, electron mobility는 340 K 에서$41,352cm^2/Vs$ , 1.8 K에서는$4,215cm^2/Vs$ 정도를 나타내었다. 본 실험에서는 다른 실험과는 달리 InAs QD 을 buffer layer로 사용하였으며, silicon기판도 아무런 처리가 되지 않은 (001)기판을 사용하였으므로 기존의 다른 연구 결과와는 차별성을 가진다. 또한 buffer로 사용된 InAs quantum dot layer의 종류를 한 가지로 고정하고 실험을 하였지만 추후 더욱 다양한 밀도와 크기의 quantum dot layer를 적용시키고, 기존의 다른 논문에서 적용된 방법들을 추가로 적용시켜 본다면 mobility값은 더욱 증가할 것으로 생각된다. 이러한 연구를 통해 값이 싸고 구하기 쉬운 silicon기판상에 silicon에 비하여 더 좋은 특성을 갖는 III-V족 화합물 반도체 소자를 구현 할 수 있을 것으로 생각된다. -
최근 전자 소자의 집적기술은 기존의 2차원에서 System on package (SOP) 개념에 기반을 둔 3차원 집적 기술로 발전 되어가고 있다. 소자의 3차원 실장을 실현시키는 과정에서 세라믹의 여러 유용성이 언급되어져 왔지만, 취성이 매우 크다는 등의 단점이 있었다. 이러한 이유로 연성을 가지는 폴리머와 세라믹을 합성한 복합체 기판에 대하여 많은 연구가 되고 있다. 그러나 세라믹 제작을 위해서는 높은 공정온도가 요구되고 있고 이러한 높은 공정상에서의 온도는 3차원 실장에 있어서 문제점이 되고 있다. 이러한 문제점을 극복하기 위하여 상온에서 치밀한 세라믹 후막을 제작할 수 있는 공정인 Aerosol Deposition Method (ADM)방법으로 세라믹-폴리머 후막의 제조를 시도하였다. 일반적으로 ADM은 수백 나노의 출발 파우더를 사용하여 치밀한 세라믹 막을 형성하는데 사용된다. 본 연구에서는 ADM으로 100 nm미만의 나노 세라믹 파우더를 사용하여 다공성의 세라믹 후막을 제조한 후 resin을 함침시키는 방법으로 세라믹-폴리머 후막의 제조를 시도하였다. 그 결과 운송가스, aerosol 농도 등의 공정조건을 변화시켜 다공성의
$Al_2O_3$ 후막을 제조하였고, 이 다공성 후막은 반투명의 특성을 보이며 고충전율로 형성되었다. 이렇게 제조된 나노 다공성$Al_2O_3$ 후막에 cyanate ester resin을 함침시키는 방법을 사용하여$Al_2O_3$ -cyanate ester 복합체 후막을 제조하였으며, 이의 비유전율 및 품질계수는 각각 1 MHz에서 6.7, 1000으로 우수한 유전특성을 보임이 확인되었다. -
최근 세라믹스의 고온소결과정 없이 상온 후막제조가 가능한 에어로졸 데포지션법이 개발되어 이를 응용한 다양한 연구들이 진행되고 있다. 본 연구에서는 차세대 3차원 초고밀도 집적용연성(flexible)기판재료로서
$Al_2O_3$ -PTFE(polytetrafluoroethylene) 복합체를 에어로졸 데포지션을 이용하여 상온제조 하였으며, 제조된 복합체 내의$Al_2O_3$ 함량계산에 관한 연구를 진행하였다. 제조된 복합체는 기존의 세라믹만의$Al_2O_3$ 후막에 비하여 PTFE의 첨가로 인한 잔류응력 감소효과가 있음이 확인되었으며 SEM, TEM 등 미세구조 분석을 통하여 충격고화 시 파우더의 미립화감소를 확인할 수 있었다. 또한, 공정의 최적화를 위한 분석 시 중요한 요소인 복합체 내의 세라믹 함량을 간편한 전기적 특성 측정을 통하여 계산하는 방법에 대한 연구를 진행하였다. 이를 위하여 이종 물질의 혼합에 관한 이론인 Hashin-Shtrikman bound theory와 3차원 정전장 해석 시뮬레이션을 병행하여 계산의 오차범위를 산출하고 실제 제조된 복합체 내의$Al_2O_3$ 함량을 5 vol.% 이내의 오차로 측정할 수 있었다. -
유비쿼터스 시대를 맞이하여 현재의 전자제품은 초고주파 환경에서의 소형화된 마이크로파 소자를 요구하고 있다. 마이크로파 대역에서 세라믹 소재는 대부분의 폴리머 소재에 비해 낮은 유전손실 값을 보이고 있어 향후 확대되는 고주파화에 적합한 소재로 평가되고 있다. 하지만 세라믹 재료는 깨지기 쉬운 특성을 가지고 있어 공정 및 취급이 어려우며 높은 소결온도를 가지고 있어 융점이 낮은 재료와의 집적화에 있어서 난점을 가지고 있다. 이를 위해 본 연구실에서는 실온에서 세라믹을 비롯한 금속 및 폴리머 재료의 치밀한 코팅막의 성막 및 이종 접합이 가능한 Aerosol Deposition (AD 법)에 주목하였고 마이크로파 소자 제작 공정으로서 AD 법의 응용 가능성을 연구하였다. 마이크로파 소자의 기판으로서는 AD 법을 이용하여 유전손실이 낮고 플렉서블한
$Al_2O_3$ -PTFE 혼합 기판을 제작하고 적용하였다. 금속 선로 패터닝 제작 공정으로는 도금법이 대표적이지만 고비용 및 복잡한 공정 절차, 폐화학용액으로 인한 환경문제 등의 단점을 지니고 있어 이를 대체하는 금속 선로 패터닝 공정이 절실히 요구되고 있다. 이를 위해 본 연구에서는 AD 법을 이용하여 금속 필름을 제작하고 대체 공정으로서의 가능성을 확인하였다. 하지만 AD 법을 이용한 세라믹 필름 제작에 관한 연구는 크게 활성화되어 있는 반면에 금속 필름의 제작, 특성 측정 및 개선에 관한 연구는 그에 비해 미비한 수준이다. 이를 위해 이번 연구에서는 AD 법을 이용하여 금속 필름을 성막 시에 영향을 미치는 요인을 고찰하였으며 또한 마이크로파 소자의 도체 손실에 크게 관계되는 금속 필름의 비저항 특성의 측정 및 개선에 관한 연구를 수행하였다. 이를 위해 본 연구에서는 정전장 시뮬레이션을 활용하여 AD 법으로 성막된 금속 필름의 정밀한 비저항 측정에 관한 연구방법을 마련하고 후열처리를 통한 비저항 특성을 개선시키는 연구를 진행하였다. -
최근 고용량의 디커플링 캐패시터를 기판에 내장하여 고주파 발생의 원인인 배선길이와 실장 면적을 획기적으로 줄이는 임베디드 디커플링 캐패시터에 대한 연구가 활발히 진행되고 있다. 하지만 기존의 공정들은 높은 공정온도와 같은 공정상의 한계를 가지고 있어 상온 저 진공 분위기에서 세라믹 분말을 기판에 고속 분사시켜 기공과 균열이 거의 없는 치밀한 나노구조의 세라믹 제작이 가능한 후막코팅기술인 Aerosol Deposition Method (ADM)에 착목하였으며, 이 ADM을 박막공정으로 응용하여
$BaTiO_3$ 박막을 제작하고 고용량의 디커플링 캐패시터 제작을 실현하고자 한다. 하지만, Cu 기판 상에 성막 된$0.5\;{\mu}m$ 이하의$BaTiO_3$ 박막에서는$BaTiO_3$ 분말 내에 존재하는 평균입자 보다 큰 입자와 응집분말로 인해 발생하는 pore, crater, not-fully-crushed particles와 같은 거시적인 결함들에서의 전류 통전과 울퉁불퉁한$BaTiO_3$ 박막과 기판 사이의 계면에서의 전계의 집중에 의한 전류의 증가로 인하여 큰 누설전류 발생하는 문제에 봉착하였다. 이러한 문제를 해결하기 위하여 제시된 효과적인 방법으로 Stainless steel 기판과 같이 표면경도가 높은 기판을 사용하는 것이며, 이를 통해$0.2\;{\mu}m$ 의 두께까지 유전$BaTiO_3$ 박막을 성막 할 수 있었으며, 치밀한 표면 미세구조와 줄어든$BaTiO_3$ 박막과 기판 사이의 계면의 거칠기를 확인하였다. 하지만,$BaTiO_3$ 박막 내에 발생하는 누설전류의 근본원인을 확인하기 위해서는 누설전류에 대한 미시적인 접근이 더욱 요구된다. 이에 본 연구에서는 누설전류 발생원인의 미시적 접근을 위해 두께에 따른$BaTiO_3$ 박막의 누설전류 전도기구에 대한 조사하였으며, 이를 통해$BaTiO_3$ 박막내 발생하는 누설전류의 원인은$BaTiO_3$ 막 내에서 donor로서 역할을 하는 oxygen vacancy와 불균일한 전계의 집중으로 인한 전자의 tunneling 현상임을 확인할 수 있었다. 또한, Nano-indenter와 Conductive atomic force microscopic를 이용한 정밀 측정을 통해 표면경도의 중요성을 재확인하였으며$BaTiO_3$ 박막의 두께가$0.2\;{\mu}m$ 이하로 더욱 얇아지게 되면 입자간 결합 문제 또한 ADM을 박막화 하는데 있어 중요한 요소임을 확인하였다. -
광 결정 발광 다이오드를 제작하는데 있어서 문제가 되는, 표면 비 발광 재결합을 줄이기 위해서
$(NH_4)_2S_x$ 패시베이션 효과를 연구하였고, 실제 소자를 공정하였다.$(NH_4)_2S_x$ 패시베이션의 영향을 알아보기 위해서, GaAs 기판위에 10쌍 다중 양자 우물 구조를 가진, 에피탁시를 이용하여 광 결정 다이오드를 제작하였고, 그 후 패시베이션 처리를 하였다. 광 결정 격자 상수는 600 nm 였고, 전자 빔 노광기법을 이용하여 패턴을 만들었다. 패시베이션효과는 시분해 발광 측정을 이용하여 캐리어 라이프 타임의 변화를 통해 확인 할 수 있었다. 광 결정 구조가 없는 발광 다이오드에서의 라이프 타임은 2206 ps였고, 광 결정 구조를 가진 발광다이오드에서의 라이프타임은 831ps였다. 이는 식각된 구멍의 표면에서 비 발광 재결합이 증가했다는 것을 의미한다. 패시베이션 처리된 광 결정 발광다이오드의 라이프 타임은 1560 ps 으로 광 결정 구조의 표면에서 발생된 비 발광 표면 재결합이 상당히 줄었음을 알 수 있다. 상용 에피탁시에 실제 소자에 적용 가능한 광 결정 발광 다이오드를 제작하였다. 상용 에피탁시는 20쌍의 다중 양자우물과, 16쌍의 Distributed Bragg Reflector를 가진 구조이다. 이 상용 에피탁시에 광 결정 구조를 만들기 위해서 니켈 크롬 (NiCr) 마스크를 사용하였고, 기존 식각 시간보다 세배 길어진 식각 시간을 달성하였다. -
단일 양자점의 특성 분석 및 이를 활용한 단광자 광원 등으로의 응용에 있어서 표면밀도 및 크기 등이 의도대로 조절된 양자점 성장이 필수적이며, 이와 관련하여 근적외선 파장 영역에서 발광 성분을 갖는 InGaAs/GaAs 양자점 시료를 MEE (Migration Enhanced Epitaxy) 기법으로 성장하였다. 이 때, 30 초 120 초 사이의 migration enhancing time 변화에 의하여 약
$350\;QDs/{\mu}m^2$ 에서$3\;QDs/{\mu}m^2$ 사이의 범위로 양자점 표면 밀도가 조절되었으며 양자점의 크기도 변화하는 것을 확인하였다. 별도로 capping layer를 성장하지 않은 양자점 층에 대한 AFM 측정을 통하여 양자점의 크기를 예측하였으나, 실제 시료의 양자점 크기는 capping layer 성장시의 온도 및 압력에 따른 영향이나 물질 조성의 불균일성 등으로 인해 달라질 수 있으므로 비파괴 검사방법인 광발광 측정으로써 실제 양자점의 특성을 검증할 필요성이 존재한다. 먼저 양자점의 크기가 커짐에 따라 기저상태의 에너지 밴드갭 크기가 감소하는 경향이 있음을 확인하였다. 이는 양자점이 클수록 양자구속 효과가 작아지는 일반적인 경향과 일치한다. 또한, 양자점의 크기 차이에 따른 기저상태 및 고차 여기 상태의 에너지 밴드갭 차이의 변화 경향을 분석하였다. 일반적으로 양자점의 크기가 줄어들면 양자구속효과 또한 빠르게 증가하다가 결국에는 에너지 장벽(barrier)의 에너지 준위에서 포화상태에 도달하게 된다. 이러한 양자점 크기에 따른 양자구속효과 크기의 변화는 고차 여기 상태일수록 더욱 빠르며, 결국에는 양자 구속효과가 없어지는 상태(unbound exciton)에 이르기도 한다. 따라서 기저상태의 에너지 밴드갭은 양자점이 커짐에 따라 단조감소 경향을 보이나, 변화율의 차이 때문에 기저상태와 1차 여기상태의 에너지 차이인 level spacing 값은 단조감소 경향이 아닌 종 모양의 경향성을 보이며 측정 결과 또한 이와 일치하였다. 이와 같이 migration enhancing time의 조절로 광자와 상호작용하는 실질적인 양자점의 크기가 의도대로 조절되었음을 비파괴 광측정법으로 확인하였다. -
나노입자 제조 기술이 점차 발전하면서 금속산화물, 반도체용 및 태양전지용, 신소재 등 다양한 응용분야에 사용하고 있다. 따라서 이와 같은 나노입자 제조방법으로는 펄스 레이저 용사법(pulsed laser ablation), 플라즈마 아크 합성법(plasma arc synthesis), 열분해법(pyrolysis), plasma-enhanced chemical vapor deposition (PECVD)법 등과 같은 기상공정이 많이 사용되고 있다. 기상공정은 기존의 공정에 비해 고순도 입자의 대량 생산, 다성분 입자의 화학적 균질성 유지, 비교적 간단하고 깨끗한 공정 등의 장점을 가지고 있다. 기상공정에서 일반적인 입자 형성 메커니즘은 기체 상태의 화학 물질이 물리적 공정 혹은 화학 반응에 의해 과포화상태에 도달하게 되며, 이 때 동질 핵생성(homogeneous nucleation)이 일어나고 생성된 핵(nuclei)에 기체가 응축되고 충돌, 응집하면서 입자는 성장하게 된다. 열분해법은 실리콘 나노입자를 생산하는 기상공정 중 하나이다. 일반적으로 열분해 공정은 지속적으로 열이 가해지는 반응기 내에 반응기체인
$SiH_4$ 을 주입하고, 운반기체는 He,$H_2$ , Ar,$N_2$ 등을 사용하였을 때, 높은 열로 인해$SiH_4$ 가 분해되며, 이 때 가스-입자 전환 현상(gas to particle conversion)이 일어나 실리콘 입자가 형성된다. 그러나 입자 형성과정은$SiH_4$ 농도, 유량, 작동 압력, 온도 등 매우 다양한 요소에 영향을 받는다. 고, 복잡한 화학반응 메커니즘에 의해 명확히 규명되지는 못하고 있다. 이에 본 연구에서는 복잡한 화학반응을 해석하는 상용코드 CHEMKIN 4.1.1을 이용하여 열분해 반응기 내에서의 실리콘 입자 형성, 성장, 응집, 전송 모델을 만들고 이를 수치해석하였다. 표면 반응, 응집, 전송에 의한 입자 성장 메커니즘을 포함하고 있는 aerosol dynamics model을 method of moment법으로 해를 구하였으며, 이를 실험 결과와 비교하여 모델링을 검증하였다. 또한 반응기의 온도, 압력, 가스 농도, 유량 등의 요소를 고려하여 실리콘 나노입자를 형성하는 최적의 조건을 연구하였다. -
현재 반도체 제조 공정 중 많은 비중을 차지하는 식각 및 증착 공정에는 대부분 플라즈마를 사용하고 있으며, 이러한 반도체 장비내의 공정 부분품들은 수율과 생산성을 향상시키기 위하여 내플라즈마 특성이 우수한 세라믹 또는 세라믹 코팅막으로 구성되어 있다. 더욱이 최근에는 미세공정을 위해 고밀도 플라즈마 공정이 요구되면서, 노출된 세라믹 층이 침식되어 파티클이 떨어져 나오거나 모재와 세라믹 막 사이의 박리현상과 같은 심각한 문제들이 발생되고 있다. 따라서 보다 우수한 내플라즈마 특성을 갖는 세라믹 코팅 기술 개발이 시급한 실정이다. 현재 내플라즈마성 세라믹 코팅막 제조를 위한 코팅기술로서는 주로 용사법이 이용되고 있으나 기공률이 높고 치밀하지 못한 등의 문제점으로 인하여 사용수명이 짧다는 한계에 봉착하였다. 이에 본 연구에서는 상온에서 치밀하고 고속으로 세라믹 후막 형성이 가능한 Aerosol Deposition (AD)법과 AD법의 단점인 edge, corner, hole에서 코팅이 잘 안 되는 점을 보완할 수 있는 Arc Plasma Anodizing (APA)법을 조합하여, 상용화된 Al 모재위에 APA법을 사용하여
$Al_2O_3$ 후막 중간층을 형성한 뒤 그 위에 AD법으로 치밀한$Al_2O_3$ 후막 성막함으로써 내 플라즈마 향상을 위한 새로운 개념의 제조기술개발을 시도하였다. 이를 위해 우선 Al 모재 위에 APA를 사용하여 중간층인$Al_2O_3$ 막을 제조하였으며, 중간층의 두께에 따른 특성을 확인한 결과,$Al_2O_3$ 중간층의 두께가 두꺼워질수록 표면조도가 증가함을 확인 할 수 있었다. AD법으로$Al_2O_3$ 중간층 위에 치밀한$Al_2O_3$ 막을 제조하는데 있어 중요인자를 확인하기 위해, AD법으로 중간층 위에$Al_2O_3$ 막을 제조한 후 성막특성을 관찰하였다. 그 결과, 중간층의 표면조도가$0.8-1\;{\mu}m$ 인 경우에는 수${\mu}m$ 의 두께로 성막 되었으나, 표면조도가$1\;{\mu}m$ 이상인$Al_2O_3$ 중간층 위에서는 성막 되지 않았다. 이를 통해 AD법으로 치밀하고 두꺼운$Al_2O_3$ 후막을$Al_2O_3$ 중간층 위에 성막하기 위해서는 표면조도가 중요인자임을 확인하였다. -
We report strong exciton transition and exciton-phonon couplings in photoluminescence (PL) of ZnO thin films grown on MgO/sapphire (buffer/substrate) by plasma-assisted molecular beam epitaxy. The PL spectra at 10 K showed the intensity of the dominant emission, donor-bound exciton transition of front surface (top surface, the latter part in growth) is found to be about 100 times higher than that of back surface (in-depth bottom area, the initial part), while the room temperature PL spectra showed dominant contributions from the free exciton emissions and phonon-replicas of free excitons for front surface and back surface, respectively, It could be attributed to the strong contributions of exciton-phonon coupling. Time resolved PL spectra reveal that the life time of exciton recombination from the front surface are longer than those from back surface. This is most probably due to the fact that reduction of non-radiative recombination in the front surface. This investigation indicates that the existence of native defects or trap centers which can be reduced by the proper initial condition in growth and the exciton-phonon interaction couplings play an important role in optical properties and crystal quality of ZnO thin films.
-
최근 투명전도성 산화물(Transparent Conductive Oxide, TCO) 박막은 액정 표시소자(LCD), 플라즈마 디스플레이 패널(PDP), 압전소자 및 태양전지의 투명소자로 사용되어지고 있다. 현재 가장 널리 사용되어지고 있는 투명전극물질인 인듐주석산화물(indium tin oxide, ITO)은 낮은 비저항과 높은 투과율을 가지고 있지만, 높은 원자재의 가격 및 수소플라즈마 처리시 In과 Sn이 환원되어 전기적, 광학적으로 불안정한 문제점들이 지적되고 있다. 이러한 문제점들을 해결하기 위해 최근 적외선 및 가시광선 영역에서 높은 투과도 및 전기 전도성과 수소플라즈마에 대한 화학적 안정성을 갖는 ZnO를 기반으로 3족 원소를 첨가한 새로운 투명 전도막에 대한 연구가 활발하다. 본 연구에서는 RF-Magnetron Sputtering법을 이용하여
$Ga_2O_3$ 혼합비에 따라 제작된 ZnO(GZO) 박막들의 전기적, 광학적, 구조적인 특성들을 분석하였다. 측정결과,$Ga_2O_3$ 의 첨가량이 7 wt.%인 GZO 박막이 가시광선영역에서 80%이상의 높은 투과율과$50.5\;\Omega/\Box$ 의 가장 낮은 면저항을 나타내었다. 이는 Ga원소가 다른 3족 원소와 격자결합을 비교할 때, 이온의 크기가 Zn원소와 비슷하여 최적화된 혼합율을 가지는 경우 격자결합을 최소화시켜 캐리어 밀도의 증가로 인해 높은 전도성을 가지며, 고온에서도 전기적 특성 및 내구성이 향상되기 때문이다. 또한 기판온도에 따른 열처리 특성으로서 기판의 온도를$100^{\circ}{\sim}400^{\circ}C$ 까지 변화를 주어 실험하였다. X-선 회절패턴 분석결과 기판온도가 증가함에 따라 ZnO (002) 방향이 감소하는 반면 ZnO(103) 방향이 증가하였으며, 기판온도가$300^{\circ}C$ 일 때$17.1\;\Omega/\Box$ 의로 가장 낮은 면저항이 나타났다. 이는 SEM 이미지를 분석한 결과, 실온에서 제작된 박막과 비교해 300 에서 증착된 GZO 박막이 결정립의 크기가 크고 밀도도 조밀해져 전하의 이동도가 향상되었기 때문이다. -
Li, Song-Mei;Kwon, Bong-Joon;Kwack, Ho-Sang;Jin, Li-Hua;Cho, Yong-Hoon;Park, Young-Sin;Han, Myung-Soo;Park, Young-Sik 121
ZnO is a promising material for the application of high efficiency light emitting diodes with short wavelength region for its large bandgap energy of 3.37 eV which is similar to GaN (3.39 eV) at room temperature. The large exciton binding energy of 60 meV in ZnO provide provides higher efficiency of emission for optoelectronic device applications. Several ZnO/ZnMgO multiple quantum well (MQW) structures have been grown on various substrates such as sapphire, GaN, Si, and so on. However, the achievement of high quality ZnO/ZnMgO MQW structures has been somehow limited by the use of lattice-mismatched substrates. Therefore, we propose the optical properties of ZnO/ZnMgO multiple quantum well (MQW) structures with different well widths grown on lattice-matched ZnO substrates by molecular beam epitaxy. Photoluminescence (PL) spectra show MQW emissions at 3.387 and 3.369 eV for the ZnO/ZnMgO MQW samples with well widths of 2 and 5 nm, respectively, due to the quantum confinement effect. Time-resolved PL results show an efficient photo-generated carrier transfer from the barrier to the MQWs, which leads to an increased intensity ratio of the well to barrier emissions for the ZnO/ZnMgO MQW sample with the wider width. From the power-dependent PL spectra, we observed no PL peak shift of MQW emission in both samples, indicating a negligible built-in electric field effect in the ZnO/$Zn_{0.9}Mg_{0.1}O$ MQWs grown on lattice-matched ZnO substrates. -
ZnO is a widely investigated material for the blue and ultraviolet solid-state emitters and detectors. It has been promoted due to a wide-band gap semiconductor which has large exciton binding energy of 60 meV, chemical stability and low radiation damage. However, there are many problems to be solved for the growth of p-type ZnO for practical device applications. Many researchers have made an efforts to achieve p-type conductivity using group-V element of N, P, As, and Sb. In this letter, we have studied the optical characteristics of the antimony-doped ZnO (ZnO:Sb) thin films by means of photoluminescence (PL), PL excitation, temperature-dependent PL, and time-resolved PL techniques. We observed donor-to-acceptor-pair transition at about 3.24 eV with its phonon replicas with a periodic spacing of about 72 meV in the PL spectra of antimony-doped ZnO (ZnO:Sb) thin films at 12 K. We also investigate thermal activation energy and carrier recombination lifetime for the samples. Our result reflects that the antimony doping can generate shallow acceptor states, leading to a good p-type conductivity in ZnO.
-
3족 질화물계 물질은 발광다이오드와 같은 광전자소자적용에 있어서 매우 우수한물 질이다.일반적으로, GaN 에피 성장에 있어서 저온 중간층을 삽입한 2 단계 성장 방법은 낮은 결함밀도와 균일한 표면을 얻기 위해 도입된 기술이다. 특히 AlN 중간층은 GaN 중간층과 비교하였을 때 결정성뿐만 아니라 높은 온도에서의 열적안정성, GaN 기반의 자외선 검출기서의빛 흡수 감소 등의 장점을 가지고 있다. 또한 패턴 사파이어 기판위 GaN 에피 성장은 측면성장 효과를 통해 결함 밀도 감소와 광 추출 효율을 향상시키는 것으로 알려져 있다.또한 열응력으로 인한 기판의 휨 현상은 박막성장중 기판의 온도 분포를 불균일하게 만드는 원인이 되며 이는 결국 박막 조성 및 결정성의 열화를 유도하게 되고 최종적으로 소자특성을 떨어 뜨리는 원인이 되는데 AlN 중간층의 도입으로 이것을 완화시킬 수 있는 효과가 있다. 하지만, AlN 중간층이 패턴된 기판 위에 성장시킨 GaN 에피층에 미치는 영향은 명확하지 않다. 본 연구팀은 일반적인 c-plane 사파이어 기판과 플라즈마 건식 에칭을 통한 렌즈 모양의 패턴된 사파이어 기판을 이용해서 AlN 중간층과 GaN 에피층을 유기금속 화학기상증착법으로 성장하였다. 특히, 렌즈 모양의 패턴된 사파이어 기판은 패턴 모양과 패턴 밀도가 성장에 미치는 영향을 연구하기 위해 두가지 패턴의 사파이어 기판을 이용하였다. AlN 중간층 두께를 조절함으로써 최적화된 GaN 에피층을 90분까지 4단계로 시간 변화를 주어 성장 양상을 관찰한 결과, GaN 에피박막의 성장은 패턴 기판의 trench 부분에서 시작하여 기판의 패턴부분을 덮는 측면 성장을 보이고있다. 또한 TEM과 CL을 통해 GaN 에피박막의 관통 전위를 분석해 본 결과 측면 성장과정에서 성장 방향을 따라 옆으로 휘게 됨으로 표면까지 도달하는 결정결함의 수가 획기적으로 줄어드는 것을 확인함으로써 고품질의 GaN 에피층을 성장시킬 수 있었다. 그리고 패턴밀도가 높고 모양이 볼록할수록 측면 성장 효과로 인한 결정성 향상과 난반사 증가를 통한 임계각 증가로 광추출 효율이 향상 되는 것을 확인할 수 있었다. 이러한 결과를 바탕으로 최적화된 AlN 중간층을 이용하여 패턴 기판위에서 고품질의 GaN 에피층을 성장시킬 수 있었다.
-
Much interest has been focused on InGaN-based materials and their quantum structures due to their optoelectronics applications such as light emitting diode (LED) and photovoltaic devices, because of its high thermal conductivity, high optical efficiency, and direct wide band gap, in spite of their high density of threading dislocations. Build-in internal field-induced quantum-confined Stark effect in InGaN/GaN quantum well LED structures results in a spatial separation of electrons and holes, which leads to a reduction of radiative recombination rate. Therefore, many growth techniques have been developed by utilizing lateral over-growth mode or by inserting additional layers such as patterned layer and superlattices for reducing threading dislocations and internal fields. In this work, we investigated various characteristics of InGaN multiple quantum wells (MQWs) LED structures grown on selectively wet-etched porous (SWEP) GaN template layer and compared with those grown on non-porous GaN template layer over c-plane sapphire substrates. From the surface morphology measured by atomic force microscope, high resolution X-ray diffraction analysis, low temperature photoluminescence (PL) and PL excitation measurements, good structural and optical properties were observed on both LED structures. However, InGaN MQWs LED structures grown on SWEP GaN template layer show relatively low In composition, thin well width, and blue shift of PL spectra on MQW emission. These results were explained by rough surface of template layer, reduction of residual compressive stress, and less piezoelectric field on MQWs by utilizing SWEP GaN template layer. Better electrical properties were also observed for InGaN MQWs on SWEP GaN template layer, specially at reverse operating condition for I-V measurements.
-
극성 [0001] 방향으로 성장된 질화물 기반의 LED (light emitting diode) 는 분극현상에 의해 발생하는 강한 내부 전기장의 영향을 받게 된다. 이러한 내부 전기장은 양자우물 내의 전자와 정공의 공간적 분리를 야기하고 quantum confined Stark effect (QCSE)에 의한 발광 파장의 적색 편이가 발생하며 양자효율의 저하를 가져오게 된다. 이러한 문제를 해결하기 위해 InGaN/GaN이나 AlGaN/GaN 양자 우물구조를 GaN의 m-plane (1
$\bar{1}$ 00) 이나 a-plane (11$\bar{2}$ 0) 등 비극성면 위에 성장하려는 시도를 하고 있다. 그러나 비극성 면의 비등방성 (anisotropy) 으로 인하여 결정성이 높은 비극성 GaN을 성장하는 데에는 많은 어려움이 있다. GaN 층의 표면을 평탄화하고 결정성을 향상시키기 위해서 저온 GaN 또는 AlN 버퍼층을 성장하는 2단계 방법이나 고온 버퍼층을 이용하여 성장하는 연구들이 많이 진행되고 있다. 본 연구에서는 고온 GaN 버퍼층을 이용하여 기존의 2단계 성장과정을 단순화한 비극성 a-plane GaN을 r-plane 사파이어 기판위에 유기금속 화학증착법 (MOCVD)으로 성장하였다. 사파이어 기판위에 AlN 층을 형성하기 위한 nitridation 과정 후 1030 도에서 두께 45 ~ 800 nm의 고온 GaN 버퍼층을 성장하고 총 박막 두께가 2.7 ~ 3 um 가 되도록 a-plane GaN을 성장하여 표면 양상의 변화와 결정성을 확인하였다. 또한 a-plane GaN 박막 성장 시에 성장 압력을 100 ~ 300 torr 로 조절하며 박막 성장의 변화 양상을 관찰하였다. 고온 GaN 버퍼층 성장 두께가 감소함에 따라 결정성은 증가하였으나 표면의 삼각형 형태의 pit 밀도가 증가함을 확인하였다. 또한 성장 압력이 감소함에 따라 표면 pit은 감소하였으나 결정성도 감소하는 것을 확인하였다. 성장 압력과 버퍼층 성장 두께를 조절하여 표면에 삼각형 형태의 pit이 존재하지 않는 RMS roughness 0.99 nm, 관통전위밀도$1.78\;{\times}\;10^{10}/cm^2$ , XRD 반가폭이 [0001], [1$\bar{1}$ 00] 방향으로 각 798, 1909 arcsec 인 a-plane GaN을 성장하였다. 이 연구를 통해 고온 GaN 버퍼 성장방법을 이용하여 간소화된 공정으로 LED 소자 제작에 사용할 수 있는 결정성 높은 a-plane GaN을 성장할 수 있는 가능성을 확인하였다. -
본 연구에서는 catalyst-free 유기금속 화학증착법 (MOCVD)를 이용하여 사파이어 (0001)면 위에 직접 InN nanorods를 성장하였다. InN 박막의 성장에서 TMIn과
$NH_3$ 를 전구체로 사용하였으며, 캐리어 가스로는 질소를 사용하였다. 성장 전, 기판에$1100^{\circ}C$ 에서 3분간 nitridation 처리를 거친 후 온도를 낮춰$630{\sim}730^{\circ}C$ 의 온도범위 에서 InN 박막을 성장하였다. 이때$710^{\circ}C$ 의 온도에서 박막은 columnar growth의 특성을 보였으며 동일조건에서 80분간 성장시킨 결과 InN nanorods가 성장되었다. 성장시킨 InN nanorod는 X-선 회절 측정법, 주사 전자 현미경 그리고 투과 전자 현미경을 이용하여 그 특성을 분석하였다. 투과 전자 현미경을 통한 분석결과 지름이 150~200 nm이며 그 길이는 수${\mu}m$ 인 InN nanorod가 성공적으로 성장되었음을 확인하였다. 또한 X-선 회절 측정법과 주사 전자 현미경을 통한 분석에서 이들 nanorods가 대부분 c 방향으로 수직하게 정렬되어 있음을 확인하였다. 또한 Ti/Au (120/80 nm)를 전극으로 사용하여 개개의 nanorod의 전기적 특성을 분석한 결과 linear한 I-V특성이 관찰되었으며 비저항은 평균적으로$0.0024\;{\Omega}cm$ 이었다. transfer 특성의 측정결과 -50V까지 게이트 전압을 인가하여도 드레인 전류의 변화는 매우 적어 doping level이 상당히 높다고 예상가능하다. 또한 mobility는$133\;cm^2/Vs$ 로 도출되었다. -
Photodetection Mechanism in Mid/Far-Infrared Dual-Band InAs/GaSb Type-II Strained-Layer SuperlatticeOwing to many advantages on indirect intersubband absorption from the hole miniband to the electron miniband based on the type-II band alignment in InAs/GaSb strained-layer superlattice (SLS), InAs/GaSb SLS infrared photodetector (SLIP) has emerged as a promising system to realize high-detectivity quantum photodetector operating up to room temperature in the spectral range of mid-infrared (MIR) to far-infrared (FIR). In particular, n-barrier-n (n-B-n) structure designed for blocking the majority-carrier dark current makes it possible for MIR/FIR dual-band SLIP whose photoresponse (PR) band can be exclusively selected by the bias polarity. In this study, we present the MIR and FIR photoresponse (PR) mechanism identified by dual-band PR spectra and photoluminescence (PL) profiles taken from InAs/GaSb SLIP. In the MIR/FIR PR spectra measured by changing bias polarity, each spectrum individually shows a series of distinctive peaks related to the transitions from the hole subbands to the conduction one. The PR mechanism at each polarity is discussed in terms of diffusion current, and a superposition of MIR-PR in the FIR-PR spectrum is explained by tunnelling of electrons activated in MIR-SLS. The effective FIR-PR spectrum decomposed into three curves for HH1, LH1, and HH2 has revealed the edge energies of 120, 170, and 220 meV, respectively, and the temperature variation of the MIR-PR edge energies shows that the temperature behavior of the SLS systems can be approximately expressed by the Varshni empirical equation.
-
중적외선 영역은 장애물에 의해서 파장의 흡수가 거의 일어나지 않기 때문에 적외선 소자에서 널리 이용되고 있다. 현재 대부분의 중적외선 소자에는 HgCdTe (MCT)가 사용되고 있지만, 3성분계 화합물이 가지는 여러 문제를 가지고 있다. 반면에, 2성분계 화합물인 인듐안티모나이드 (InSb)는 중적외선 영역 (
$3-5\;{\mu}m$ ) 파장 대에서 HgCdTe와 대등한 소자 특성을 나타냄과 동시에 낮은 기판 가격, 소자 제작의 용이성, 그리고 야전과 우주 공간에서 소자 동작의 안정성 때문에 HgCdTe를 대체할 물질로 주목을 받고 있다. InSb는 미국과 이스라엘과 같은 일부 선진국을 중심으로 연구가 되었지만, 국방 분야의 중요한 소자로 인식되었기 때문에 소자 제작에 관한 기술적인 내용은 국내에 많이 알려지지 않은 상태이다. 따라서 본 연구에서는 InSb 소자 제작의 기초연구로 절연막과 pn 접합 형성에 대한 연구를 수행하였다. 절연막의 특성을 알아보기 위해, InSb 기판위에$SiO_2$ 와$Si_3N_4$ 를 PECVD (Plasma Enhanced Chemical Vapor Deposition)로 증착을 하였다. 절연막의 계면 트랩 밀도는 77K에서 C-V (Capacitance-Voltage) 분석을 통하여 계산하였으며, Terman method 방법을 이용하였다.[1]$SiO_2$ 는$120-200^{\circ}C$ 의 온도 영역에서 계면 트랩 밀도가$4-5\;{\times}\;10^{11}cm^{-2}$ 범위를 가진 반면,$240^{\circ}C$ 의 경우 계면 트랩 밀도가$21\;{\times}\;10^{11}cm^{-2}$ 로 크게 증가하였다.$Si_3N_4$ 는$SiO_2$ 절연막에 비해서 3배 정도의 높은 계면 트랩 밀도 값을 나타내었으며. Remote PECVD 장비를 이용하여$Si_3N_4$ 절연막에 관한 연구를 추가적으로 진행하여$7-9\;{\times}\;10^{11}cm^{-2}$ 정도의 계면 트랩 밀도 값을 구할 수가 있었다. 따라서 InSb에 대한 절연막은$200^{\circ}C$ 이하에서 증착된$SiO_2$ 와 Remote PECVD로 증착 된$Si_3N_4$ 가 적합하다고 할 수 있다. 절연막 연구와 더불어 InSb 소자의 pn 접합 연구를 진행하였다. n-InSb (100) 기판 ($n\;=\;0.2-0.85\;{\times}\;10^{15}cm^{-3}$ @77K)에$Be^+$ 이온 주입하여 p층을 형성하여 제작 되었으며, 열처리 조건에 따른 소자의 특성을 관찰 하였다.$450^{\circ}C$ 에서 30초 동안 RTA (Rapid Thermal Annealing)공정을 진행한 샘플은 -0.1 V에서$50\;{\mu}A$ 의 높은 암전류가 관찰되었으며, 열처리 조건을 60, 120, 180초로 변화하면서 소자의 특성 변화를 관찰하였다. -
적외선 검출소자(Infrared Photodetector)는 근적외선에서 원적외선 영역에 이르는 광범위한 파장 범위의 적외선을 이용하는 기기로서 대상물이 방사하는 적외선 영역의 에너지를 흡수하여 이를 영상화할 수 있는 장비이다. 적외선 관련 기술은 2차 세계대전 기간에 태동하였으며, 현재에는 원거리 감지기술 등과 접목되면서 그 활용 분야가 다양해지고 있다. 특히 능동형 정밀 타격무기를 비롯한 감시 정찰 장비 및 지능형 전투 장비 시스템 등에 대한 요구를 바탕으로 보다 정밀하고 신속한 표적 감지 및 정보처리 기술에 관한 연구가 선진국을 통해서 활발히 진행되고 있다. 기존의 Bolometer 형식의 열 감지 소자는 반응 속도가 느리고 측정 감도가 낮은 단점이 있으며, MCT(HgCdTe)를 이용한 적외선 검출기의 경우 높은 기계적 결함과 77K 저온에서 동작해야하기 때문에 발생하는 추가 비용 등이 문제점으로 지적되고 있다[1]. 이에 반해 화합물 반도체 자기조립 양자점(self-assembled quantum dot)을 이용한 적외선 수광소자는 양자점이 가지는 불연속적인 내부 에너지 준위로 인하여, 높은 내부 양자 효율과 온도 안정성을 기대할 수 있으며, 고성능, 고속처리, 저소비전력 및 저소음의 실현이 가능하다. 본 연구에서는 적층 InAs/InGaAs dot-in-a-well 구조를 유기금속화학기상증착법을 이용하여 성장하고 이를 소자에 응용하였다. 균일한 적층 양자점의 성장을 위해서 원자현미경(atomic force microscopy)을 이용하여, 각 층의 양자점의 크기와 밀도를 관찰하였고, photoluminescence (PL)를 이용하여 발광특성을 연구하였다. 각 층간의 GaAs space layer의 두께와 온도 조절 과정을 조절함으로써 균일한 적층 양자점 구조를 얻을 수 있었다. 이를 이용하여 양자점의 전도대 내부의 에너지 준위간 천이(intersubband transition)를 이용하는 n-type GaAs/intrinsic InAs 양자점/n-type GaAs 구조의 양자점 적외선수광소자 구조를 성장하였다. 이 과정에서 상부 n-type GaAs의 성장 온도가 600도 이상이 되는 경우 발광효율이 급격히 감소하고, 암전류가 크게 증가하는 것을 관찰하였다. 이는 InAs 양자점과 주변 GaAs 간의 열에 의한 상호 확산에 의하여 양자점의 전자 구속 효과를 저해하는 것으로 설명된다.
-
Thin Si films were grown by a plasma-enhanced chemical vapor deposition (PECVD, SNTEK, Korea) system. Two different deposition condition were applied and formed a fully amorphous Si (a-Si) film and a micromorph mixing of microcrystalline Si (mc-Si) and a-Si film. Under one sun illumination, the micromorph device provided the enhanced open circuit voltage and fill factor values. It presents the fabrication of the micromorph Si film and the a-Si film by modulating a deposition condition. The performances of the Si thin film Schottky solar cells are discussed.
-
We compared the electrical, optical, structural, and interface properties of indium zinc oxide (IZO)-Ag-IZO and IZO-Au-IZO multilayer electrodes deposited by linear facing target sputtering system at room temperature for organic photovoltaics. The IZO-Ag-IZO and IZO-Au-IZO multilayer electrodes show a significant reduction in their sheet resistance (4.15 and 5.49 Ohm/square) and resistivity (
$3.9{\times}10^{-5}$ and$5.5{\times}10^{-5}$ Ohm-cm) with increasing thickness of the Ag and Au layers, respectively. In spite of its similar electrical properties, the optical transmittance of the IZO-Ag-IZO electrode is much higher than that of the IZO-Au-IZO electrode, due to the more effective antireflection effect of Ag than Au in the visible region. In addition, the Auger electron spectroscopy depth profile results for the IZO/Ag/IZO and IZO/Au/IZO multilayer electrodes showed no interfacial reaction between the IZO layer and Ag or Au layer, due to the low preparation temperature. To investigate in detail the Ag and Au structures on the bottom IZO electrode with increasing thickness, a synchrotron x-ray scattering examination was employed. Moreover, the OSC fabricated on the IZO-Ag-IZO electrode shows a higher power conversion efficiency (3.05%) than the OSC prepared on the IZO-Au-IZO electrode (2.66%), due to its high optical transmittance in the wavelength range of 400-600 nm, which is the absorption wavelength of the P3HT:PCBM active layer. -
3-5족 화합물 반도체는 직접천이형 반도체이며, 여러가지 우수한 특성으로 인하여 고효율의 태양전지물질로 각광을 받고 있다. 또한 3중접합 구조를 이용한 집광형태양전지의 경우, 40% 이상의 높은 효율을 보인다고 보고 되고 있다. 이러한 고효율 태양전지를 실리콘 기판위에 성장할 경우, 대면적에서의 태양전지제작이 가능해지며, 단가절감이 가능할 것이라고 예상된다. 하지만, 하부셀로 사용되는 게르마늄과 실리콘의 4.2%의 격자상수차이로 인하여, 고품질의 게르마늄 박막을 실리콘 기판위에 성장하는 데에 있어서 많은 문제점이 있으며, 이러한 문제점을 극복하기 위하여, 저온에서 성장한 게르마늄 박막을 완충층으로 사용하는 2단계 성장법이 제안되었다. 하지만, 2단계 성장법에서 저온 완충막의 성장조건이 게르마늄 박막에 미치는 영향은 명확하지 않다. 본 연구팀은 초고진공 화학기상증착법을 이용하여 순수 게르마늄 박막을 실리콘 기판 위에 성장하였으며, 저온 완충막의 두께를 20 nm에서 120 nm까지 변화시켜서, 완충막의 두께가 게르마늄박막에 미치는 영향에 대해서 연구해 보았다. 그 결과, 40 nm이하의 두께를 갖는 완충막을 사용할 경우, 박막 내부에 실리콘 게르마늄을 형성하면서, 거친 표면이 형성되었다. 반면에, 40 nm보다 두꺼운 완충막을 사용할 경우 평탄한 표면을 갖는 순수게르마늄박막이 형성되었다. 이를 통해서, 순수 게르마늄박막 성장을 위해서는 일정 두께 이상의 저온 완충막이 사용되어야함을 알 수 있었다. 또한 게르마늄박막의 관통 전위 밀도를 분석해 본 결과 완충막의 두께가 80 nm까지 두꺼워짐에 따라서 초기에는 관통전위밀도가
$1.2\;{\times}\;10^6\;cm^{-2}$ 까지 감소하는 경향을 보였으나, 완충막의 두께가 더욱 증가할 경우 관통전위밀도가 증가하였다. 이러한 결과를 바탕으로 저온 완충막의 두께를 조절함으로써 최적화된 게르마늄의 성장이 가능함을 확인할 수 있었다. -
3-5족 화합물 반도체를 이용한 집광형 삼중 접합 태양전지는 35% 이상의 광변환 효율로 주목을 받고 있다. 일반적으로 삼중 접합 태양전지는 넓은 영역대의 파장을 흡수하기 위해 밴드갭이 다른 InGaP, GaAs, Ge이 사용된다. 그 중 하부셀은 기계적 강도가 높고 장파장을 흡수할 수 있는 Ge이 사용되는데, p-type Ge 기판위에 III-V 결정막 성장 시 5족 원소가 확산되어 pn접합을 형성하게 된다. 이러한 구조를 가진 Ge 하부셀이 효율적으로 홀-전자 쌍을 형성하기 위해서는 두꺼운 베이스와 얇은 에미터 접합이 필요하다. InGaP의 phosphorus는 낮은 확산계수로 인해 GaAs의 arsenic에 비해 얇은 접합이 형성 가능하며, Ge표면 에칭효과가 더 적다는 장점이 있다. 이를 고려해 우리 연구그룹에서는 metalorganic chemical vapor depostion(MOCVD)을 이용하여 Ge기판위에 성장한 InGaP layer의 특성을 관찰해 보았다. <111>로
$6^{\circ}$ 기울어진 p-type Ge(100) 기판위에 MOCVD를 통해 InGaP layer를 형성하였고, 성장된 layer를 atomic force microscope(AFM)와 high-resolution x-ray diffraction(HRXRD)을 이용하여 표면형상, 조성, 응력상태 등을 각각 관찰하였다. 또한 phosphorus 확산에 의해 형성되는 도핑농도는 electrochemical capacitance-voltage(ECV)을 이용하여 관찰하였다. 성장된 Ge기판위의 InGaP layer의 경우 특징적으로 높이 50 nm, 밑변 길이$1\;{\mu}m$ 의 경사진 표면을 관찰할 수 있었으며, 이러한 구조는 TMIn과 TMGa의 비율이 증가 할수록 감소하였다. 따라서 이러한 경사진 형태의 구조는 격자 불일치 때문인 것으로 판단된다. 추가적으로 V/III ratio의 최적화를 통해 1.3 nm의 표면 거칠기를 갖는 InGaP layer를 얻을 수 있었다. ECV를 통해 Ge 하부셀의 pn접합 형성을 관찰한 결과 약 160 nm에서 접합이 형성되는 것을 관찰할 수 있었다. 또한, 같은 성장 조건의 샘플을 1000 초 열처리 후에 접합깊이의 변화를 관찰한 결과 180 nm에서 접합이 관찰되었지만, GaAs의 arsenic에 의한 pn접합은 열처리 후에 그 깊이가 170 nm에서 300 nm로 증가 하였다. 따라서 삼중접합 태양전지의 제작 공정을 고려할 경우 phosphorus에 의한 접합 형성이 Ge 하부셀의 동작 특성에 유리할 것으로 판단된다. -
Ga-In-Zn-O 물질은 비정질상태에서 높은 전하 운동성을 가지고 있으며 차세대 투명전극 thin film transistor 대안 소재로 각광받고 있다. 그런데 이 물질은 ion sputtering에 따라 전기적인 특성에 큰 변화가 관찰되고 있으며, 이는 표면에서의 화학적 상태가 전기적 특성을 좌우할 것이라는 것을 의미한다. 또한 보다 안정적이고 신뢰적인 소자를 구현하기 위해서는 ion sputtering에 의한 표면에서의 화학적 특성 변화를 이해하는 것이 매우 중요하다는 것을 의미한다. 본 연구에서는
$Ga_2O_3:In_2O_3$ :ZnO의 비율이 각각 1:1:1, 2:2:1, 3:2:1 그리고 4:2:1인 시료를$Ne^+$ 이온을 이용하여 sputtering하면서 표면에 민감한 분광분석 기법인 x-ray photoelectron spectroscopy와 x-ray absorption spectroscopy를 이용하여 분광정보의 변화들을 연구하였다. 실험에 의하면, Ga 3d의 양에 비해서 In 4d, Zn 3d의 양은 sputtering 시간에 따라서 각 각 양이 줄어들었으며, 전체적으로 보다 산화가가 높은 경향을 보였으며, valence band maximum 근처에 subgap state를 형성하는 것을 관찰하였다. 또한 sputtering을 계속하는 경우 In 3d, In 4d, 및 Fermi energy 근처에 metallic state가 형성되는 것을 관찰하였다. 이러한 subgap state와 metallic state의 관측은 각기 sputtering에 따라서, 아직 명확하지는 않지만, surface state의 형성 및/혹은 oxygen interstitial의 형성 그리고 metallic In의 형성 및/혹은 oxygen defect의 형성이 이루어지는 것을 의미한다. -
In Mn-Ge equilibrium phase diagram, many Mn-Ge intermetallic phases can be formed with difference structures and magnetic properties. The MnGe has the cubic structure and antiferromagnetic(AFM) with Neel temperature of 197 K. The calculation predicted that the
$MnGe_2$ with$Al_2Cu$ -type is hard to separate between the paramagnetic(PM) states and the AFM states because this compound displays PM and AFM configuration swith similar energy. Mn-doped Ge showed the FM with Currie temperature of 285 K for bulk samples and 116 K for thin films. In addition, the$Mn_5Ge_3$ compound has hexagonal structure and FM with Curie temperature around 296K. The$Mn_{11}Ge_8$ compound has the orthorhombic structure and Tc is low at 274 K and spin flopping transition is near to 140 K. While the bulk$Mn_3Ge_2$ exhibited tetragonal structure ($a=5.745{\AA}$ ;$c=13.89{\AA}$ ) with the FM near to 300K and AFM below 150K. However, amorphous$Mn_3Ge_2$ ($a-Mn_3Ge_2$ ) was reported to show spin glass behavior with spin-glass transition temperature (Tg) of 53 K. In addition, the transition of crystalline$Mn_3Ge_2$ shifts under high pressure. At the atmospheric pressure,$Mn_3Ge_2$ undergoes the magnetic phase transition from AFM to FM at 158 K. The pressure dependence of the phase transition in$Mn_3Ge_2$ has been determined up to 1 GPa. The transition was found to occur at 1 GPa and 155 K with dT/dP=-0.3K/0.1 GPa. Here report that Ferromagnetic$Mn_3Ge_2$ thin films were successfully grown on GaAs(001) and GaSb(001) substrates using molecular beam epitaxy. Our result revealed that the substrate facilitates to modify magnetic and electrical properties due to tensile/compressive strain effect. The spin-flopping transition around 145 K remained for samples grown on GaSb(001) while it completely disappeared for samples grown on GaAs(001). The antiferromagnetism below 145K changed to ferromagnetism and remained upto 327K. The saturation magnetization was found to be 1.32 and$0.23\;{\mu}B/Mn$ at 5 K for samples grown on GaAs(001) and GaSb(001), respectively. -
$Ge_2Sb_2Te_5$ (GST)는 광학 스토리지 및 PRAM(Phase-change Random Access Memory)에 적용 가능한 대표적인 상변화 물질이며 상변화 거동에 대한 다양한 연구가 진행되고 있다. 차세대 비휘발성 메모리로 각광을 받고 있는 PRAM의 경우 저전력 그러나 향후 고집적, 고성능 PRAM 소자구현을 위해서는 Reset 전류 감소를 통한 소비 전력 감소, 인접 셀간의 'cross talking'을 방지할 수 있는 열적 안정성 개선 등의 문제점들을 해결해야 한다. GST 물질의 전기적, 열적 특성을 조절하여 이러한 문제를 해결하기 위하여 GST 물질에 이종의 원소를 첨가하는 연구가 활발히 진행되고 있으며, 특히 질소 첨가에 의해 결정 성장 억제를 통한 결정화 온도 증가, 결정질의 저항 증가 등의 보고가 있었다. 본 연구에서는 질소를 첨가한 N-doped$Ge_2Sb_2Te_5$ (NGST) 박막의 상변화 거동을 규명하고 GST 박막과 비교하여 첨가된 질소의 영향을 분석하고자 한다. D.C Magnetron sputtering 방법으로 증착된 GST와 NGST 박막을 등온으로 유지하여 각 온도별로 열처리 시간 증가에 따른 비저항을 실시간으로 측정하여 GST와 NGST 박막의 상분율을 계산하고 Kissinger 모델을 이용하여 effective activation energy ($E_a$ )를 구하였다. GST와 NGST 박막의$E_a$ 는 각각$2.08\;{\pm}\;0.11\;eV$ 와$2.66\;{\pm}\;0.12\;eV$ 로 계산되었다. 따라서 첨가된 질소에 의해 NGST 박막의 결정화를 위하여 GST 박막의 경우보다 더 큰 활성화 에너지가 필요하다. -
Copper electroplating is a very popular and important technology for depositing high-quality conductor interconnections, especially in through silicon via (TSV). As this advanced packaging technique developing, a mass of copper and chemical solution are used, so attention to these chemical materials into the utilization and costs can not be ignored. An economical and practical real-time chemical solution monitoring has not been achieved yet. Either Red-green-blue (RGB) or optical emission spectroscopy (OES) color sensor can successfully monitor the color condition of solution during the process. The reaction rate, uniformity and quality can map onto the color changing. Hidden Semi Markov model (HSMM) can establish mapping from the color change to upper indicators, and artificial neural network (ANN) can be integrated to comprehensively determine its targets, whether the solution inside the container can continue to use.
-
플렉서블 디스플레이에 사용되고 있는 기판은 광학적 투과율이 우수하고 휘어지는 폴리머 기판이 많이 활용되고 있으나 대기중의 수분 및 산소와 같은 가스성분들이 기판을 통해 침투하여 디스플레이의 수명을 감소시킨다. 이러한 문제점을 해결하기 위해 투명하면서 절연특성이 뛰어나고 수분투과 방지 특성이 뛰어난 실리콘 화합물을 기반으로 하는 가스차단막의 연구가 활발히 진행되고 있다. 본 실험에서는 기존의 스퍼터링 장치에 비해 저온공정이면서 구조적으로 뛰어난 박막특성을 얻을 수 있는 대향타겟식 스퍼터링(Facing Targets System, FTS)법을 이용하여 PEN기판 위에
$SiO_x$ ,$SiO_xN_y$ ,$(SiO_2)_x(ZnO)_{100-x}$ (SZO)를 단일층또는 다층으로 증착한 박막들의 광학적, 구조적 및 수분 투과 방지 특성들을 알아보았다.$SiO_x$ ,$SiO_xN_y$ , SZO박막들의 광학적 특성을 알아보기 위해 Shimadzu사의 UV-VIS spectrophotometer 장비를 사용하여 측정한 결과 가시광 영역에서 80%이상의 높은 광투과율을 나타내었다. 박막의 수분투과 방지 특성으로 Mocon사의 Permatran W3/31 system을 이용한 투습율을 측정결과 가스 차단막이 없는 Bare PEN에 비해 PEN기판 위에$SiO_x$ 와$SiO_xN_y$ 박막들을 단층 또는 다층으로 증착한 박막의 투습율이 감소한 것을 확인 할 수 있었다. 특히 SZO 박막의 경우 다른 가스차단막들과 비교해 가장 낮은 수분투과율을 나타내었다. 이는 향후 SZO를 기반으로 한 단층박막이나 무기/무기 또는 유기/무기의 다층 박막 형태를 가지는 가스차단막이 플렉서블 디스플레이에 적용 가능할 것이라 사료된다. -
금속 실리사이드 나노입자는 열적 및 화학적 안정성이 뛰어나고, 절연막내에 일함수 차이에 따라 깊은 양자 우물구조가 형성되어 비휘발성 메모리 소자를 제작할 수 있다. 그러나 단일
$SiO_2$ 절연막을 사용하였을 경우 저장된 전하의 정보 저장능력 및 쓰기/지우기 시간을 향상시키는 데 물리적 두께에 따른 제한이 따른다. 본 연구에서는 터널장벽 엔지니어링을 통하여 물리적인 두께는 단일$SiO_2$ 보다는 두꺼우나 쓰기/지우기 동작을 위하여 인가되는 전기장에 의하여 상대적으로 전자가 느끼는 상대적인 터널 절연막 두께를 감소시키는 방법으로 동작속도를 향상 시킨$SiO_2/Si_3N_4/SiO_2$ 및$Si_3N_4/SiO_2/Si_3N_4$ 터널 절연막을 사용한 금속 실리사이드 나노입자 비휘발성 메모리를 제조하였다. 제조방법은 우선 p-type 실리콘 웨이퍼 위에 100 nm 두께로 증착된 Poly-Si 층을 형성 한 이후 소스와 드레인 영역을 리소그래피 방법으로 형성시켜 트랜지스터의 채널을 형성한 이후 그 상부에$SiO_2/Si_3N_4/SiO_2$ (2 nm/ 2 nm/ 3 nm) 및$Si_3N_4/SiO_2/Si_3N_4$ (2 nm/ 3 nm/ 3 nm)를 화학적 증기 증착(chemical vapor deposition)방법으로 형성 시킨 이후, direct current magnetron sputtering 방법을 이용하여 2~5 nm 두께의$WSi_2$ 및$TiSi_2$ 박막을 증착하였으며, 나노입자 형성을 위하여 rapid thermal annealing(RTA) system을 이용하여$800{\sim}1000^{\circ}C$ 에서 질소($N_2$ ) 분위기로 1~5분 동안 열처리를 하였다. 이후 radio frequency magnetron sputtering을 이용하여$SiO_2$ control oxide layer를 30 nm로 증착한 후, RTA system을 이용하여$900^{\circ}C$ 에서 30초 동안$N_2$ 분위기에서 후 열처리를 하였다. 마지막으로 thermal evaporator system을 이용하여 Al 전극을 200 nm 증착한 이후 리소그래피와 식각 공정을 통하여 채널 폭/길이$2{\sim}5{\mu}m$ 인 비휘발성 메모리 소자를 제작하였다. 제작된 비휘발성 메모리 소자는 HP 4156A semiconductor parameter analyzer와 Agilent 81101A pulse generator를 이용하여 전기적 특성을 확인 하였으며, 측정 온도를$25^{\circ}C$ ,$85^{\circ}C$ ,$125^{\circ}C$ 로 변화시켜가며 제작된 비휘발성 메모리 소자의 열적 안정성에 관하여 연구하였다. -
Non-mass analyzed ion shower doping (ISD) technique with a bucket-type ion source or mass-analyzed ion implantation with a ribbon beam-type has been used for source/drain doping, for LDD (lightly-doped-drain) formation, and for channel doping in fabrication of low-temperature poly-Si thin-film transistors (LTPS-TFT's). We reported an abnormal activation behavior in boron doped poly-Si where reverse annealing, the loss of electrically active boron concentration, was found in the temperature ranges between
$400^{\circ}C$ and$650^{\circ}C$ using isochronal furnace annealing. We also reported reverse annealing behavior of sequential lateral solidification (SLS) poly-Si using isothermal rapid thermal annealing (RTA). We report here the importance of implantation conditions on the dopant activation. Through-doping conditions with higher energies and doses were intentionally chosen to understand reverse annealing behavior. We observed that the implantation condition plays a critical role on dopant activation. We found a certain implantation condition with which the sheet resistance is not changed at all upon activation annealing. -
The effect of thermal anneal on the characteristics of structural properties and the enhancement of luminescence and photovoltaic (PV) characteristics of silicon-rich silicon-nitride films were investigated. By using an ultra high vacuum ion beam sputtering deposition, B-doped silicon-rich silicon-nitride (SRSN) thin films, with excess silicon content of 15 at. %, on P-doped (n-type) Si substrate was fabricated, sputtering a highly B doped Si wafer with a BN chip by N plasma. In order to examine the influence of thermal anneal, films were then annealed at different temperature up to
$1100^{\circ}C$ under$N_2$ environment. Raman, X-ray diffraction, and X-ray photoemission spectroscopy did not show any reliable evidence of amorphous or crystalline Si clusters allowing us concluding that nearly no Si nano-cluster could be formed through the precipitation of excess Si from SRSN matrix during thermal anneal. Instead, results of Fourier transform infrared and X-ray photoemission spectroscopy clearly indicated that defective, amorphous Si-N matrix of films was changed to be well-ordered thanks to high temperature anneal. The measurement of spectral ellipsometry in UV-visible range was carried out and we found that the optical absorption edge of film was shifted to higher energy as the anneal temperature increased as the results of thermal anneal induced formation of$Si_3N_4$ -like matrix. These are consistent with the observation that higher visible photoluminescence, which is likely due to the presence of Si-N bonds, from anneals at higher temperature. Based on these films, PV cells were fabricated by the formation of front/back metal electrodes. For all cells, typical I-V characteristic of p-n diode junction was observed. We also tried to measure PV properties using a solar-simulator and confirmed successful operation of PV devices. Carrier transport mechanism depending on anneal temperature and the implication of PV cells based on SRSN films were also discussed. -
본 논문은 단결정 및 다결정 실리콘 기판 상에 아산화질소 플라즈마 처리를 통하여 형성한 초박형 실리콘 옥시나이트라이드 박막의 특성과 이의 어플리케이션에 관한 것이다. 초박형 절연막은 현재 다양한 전자소자의 제작과 특성 향상을 위하여 활용되고 있으나 일반적인 화학 기상 증착 방법으로는 균일도를 확보하기 어려운 문제점을 가지고 있다. 디스플레이의 구동소자로 활용되는 박막 트랜지스터의 특성 향상과 비휘발성 메모리 소자의 터널링 박막에 응용하기 위하여 초박형 실리콘 옥시나이트라이드 박막의 증착과 이의 특성을 분석하였고, 실제 어플리케이션에 적용하였다. 실리콘 산화막과 실리콘 계면상에 존재하는 질소는 터널링 전류와 결함 형성을 감소시키며, 벌크 내에 존재하는 질소는 단일 실리콘 산화막에 비해 더 두꺼운 박막을 커패시턴스의 감소없이 이용할 수 있는 장점이 있다. 아산화질소 플라즈마를 이용하여 활성화된 질소 및 산소 라디칼들이 실리콘 계면을 개질하여 초박형 실리콘 옥시나이트라이드 박막을 형성할 수 있다. 플라즈마 처리 시간과 RF power의 변화에 따라 형성된 실리콘 옥시나이트라이드 박막의 두께 및 광학적, 전기적 특성을 분석하였다. 아산화질소 플라즈마 처리 방법을 사용한 실리콘 옥시나이트라이드 박막을 시간과 박막 두께의 함수로 전환해보면 초기적으로 증착률이 높고 시간이 지남에 따라 두께 증가가 포화상태에 도달함을 확인할 수 있다. 아산화질소 플라즈마 처리 시간의 변화에 따라 형성된 박막의 전기적인 특성의 경우, 플라즈마 처리 시간이 짧은 실리콘 옥시나이트라이드 박막의 경우 전압의 변화에 따라 공핍영역에서의 기울기가 현저히 감소하며 이는 플라즈마에 의한 계면 손상으로 계면결합 전하량이 증가에 기인한 것으로 판단된다. 또한, 전류-전압 곡선을 활용하여 측정한 터널링 메카니즘은 2.3 nm 이하의 두께를 가진 실리콘 옥시나이트라이드 박막은 직접 터널링이 주도하며, 2.7 nm 이상의 두께를 가진 실리콘 옥시나이트라이드 박막은 F-N 터널링이 주도하고 있음을 확인할 수 있다. 결론적으로 실리콘 옥시나이트라이드 박막을 활용하여 전기적으로 안정한 박막트랜지스터를 제작할 수 있었으며, 2.5 nm 두께를 경계로 터널링 메커니즘이 변화하는 특성을 이용하여 전하 주입 및 기억 유지 특성이 효과적인 터널링 박막을 증착하였고, 이를 바탕으로 다결정 실리콘 비휘발성 메모리 소자를 제작하였다.
-
다결정 실리콘 (Poly-Si)은 LPCVD를 이용하여
$750^{\circ}C$ 에서 증착하였다. 증착된 실리콘 박막은 실란, 수소 및 헬륨 가스를 이용하여 증착하였다. 성장된 poly-Si의 특성은 Raman spectroscopy 및 SEM을 이용하여 분석하였다. 헬륨 가스의 양을 15 sccm으로 고정하고 실란과 수소의 가스비를 60:0에서 20:40까지 가변시켰다. 활성화 에너지는 전류-전압 측정을 통해 Arrhenius plot을 이용하여 계산하였다. 박막 트랜지스터는 quartz 기판 위에 제작되었다. 게이트 절연막으로 TEOS$SiO_2$ 를 이용하였으며 source 및 drain 전극으로 Al을 이용하였다. 이 때 제작된 박막 트랜지스터의 전류 점멸비, 전계 효과 이동도, SS 및 문턱 전압은 각각$10^5$ ,$76\;cm^2/V-s$ , 167 mV/decade 및 1.43 V이었다. -
다결정 실리콘 박막트랜지스터 (poly-Si TFTs)는 벌크실리콘을 이용한 MOSFET소자에 비해 실리콘 박막의 형성이 간단하므로 대면적의 공정이 가능하며 다양한 기판위에 적용이 가능하여 LCD, OLED 등의 디스플레이 기기에 많이 이용되고 있다. 또한 poly-Si TFT는 3차원으로 적층된 소자의 제작이 가능하여 고집적의 한계를 극복할 소자로 주목받고 있다. 최근, DRAM은 캐패시터의 축소화와 구조적 공정이 한계점에 도달했으며 이를 극복하기 위하여 SOI 기판을 사용한 하나의 트랜지스터로 DRAM의 동작을 수행하는 1T-DRAM의 연구가 활발히 진행 중이다. 이러한 1T-DRAM 소자를 대면적과 다층구조의 공정이 가능한 poly-Si TFT를 이용하여 구현하면 초고집적의 메모리 소자를 제작 가능할 것이다. 따라서, 본 연구에서는 다결정 실리콘 박막트랜지스터 (poly-Si TFTs)를 이용한 1T-DRAM의 동작 특성을 연구하였다. 소자의 제작 방법으로는 200 nm의 열산화막이 성장된 p-type 실리콘 기판위에 상부실리콘으로 사용될 비정질 실리콘 박막을 LPCVD 방법으로 증착하였다. 다음으로 248 nm의 파장을 가지는 KrF 레이저를 이용한 eximer laser annealing (ELA) 공정을 통하여 결정화된 상부실리콘층에 TFT 소자를 제작하여 전기적 특성을 평가하였다.
-
반도체 소자의 크기가 수십 나노미터 영역으로 줄어들면서, 메모리 소자 또한 미세화를 위해 새로운 기술을 요구하고 있다. 1T DRAM은 하나의 트랜지스터와 하나의 캐패시터 구조를 가진 기존의 DRAM과 달리, 캐패시터 영역을 없애고 하나의 트랜지스터만으로 동작하기 때문에 복잡한 공정과정을 줄일 수 있으며 소자집적화에도 용이하다. 또한 SOI (Silicon-On-Insulator) 기판을 사용함으로써 단채널효과와 누설전류를 감소시키고, 소비전력이 적다는 이점을 가지고 있다. 1T DRAM은 floating body effect에 의해 상부실리콘의 중성영역에 축적된 정공을 이용하여 정보를 저장하게 된다. floating body effect를 발생시키기 위해 본 연구에서는 SOI 기판을 사용한 MOSFET을 사용하였는데, SOI 기판은 불순물 도핑농도에 따라 상부실리콘의 공핍층 두께가 결정된다. 실제로 불순물을
$10^{15}cm^{-3}$ 정도 도핑을 하게 되면 완전공핍된 SOI 구조가 된다. 이는 subthreshold swing값이 작고 저전압, 저전력용 회로에 적합한 특성을 보이기 때문에 부분공핍된 SOI 구조보다 우수한 특성을 가진다. 하지만, 상부실리콘의 중성영역이 완전히 공핍되어 정공이 축적될 공간이 존재하지 않게 된다. 이를 해결하기 위해 기판에 전압을 인가 후 kink effect를 확인하여, 메모리 소자로서의 구동 가능성을 알아보았다. 본 연구에서는 상부실리콘의 두께가 감소함에 따라 1T DRAM의 메모리 특성변화를 관찰하고자, TMAH (Tetramethy Ammonuim Hydroxide) 용액을 이용한 습식식각을 통해 상부실리콘의 두께가 각기 다른 소자를 제작하였다. 제작된 소자는 66 mv/dec의 우수한 subthreshold swing 값을 나타내며 빠른 스위칭 특성을 보였다. 또한 kink effect가 발생하는 최적의 조건을 찾고, 상부실리콘의 두께가 메모리 소자의 쓰기/소거 동작의 경향성에 미치는 영향을 평가하였다. -
일반적인 MOSFET (Metal-Oxide-Semiconductor-Field-Effect-Transistor)은 소스와 드레인의 형성을 위해서 불순물을 주입하고 고온의 열처리 과정을 거치게 된다. 이러한 고온의 열처리 과정 때문에 녹는점이 낮은 메탈게이트와 게이트 절연막으로의 high-k 물질의 사용에 제한을 받게된다. 이와 같은 문제점을 보완하기 위해서 소스와 드레인 영역에 불순물 주입공정 대신에 금속접합을 이용한 Schottky Barrier Tunnel Transistor (SBTT)가 제안되었다. SBTT는
$500^{\circ}C$ 이하의 저온에서 불순물 도핑없이 소스와 드레인의 형성이 가능하며 실리콘에 비해서 수십~수백배 낮은 면저항을 가지며, 단채널 효과를 효율적으로 제어할 수 있는 장점이 있다. 또한 고온공정에 치명적인 단점을 가지고 있는 high-k 물질의 적용 또한 가능케한다. 본 연구에서는 p-type SOI (Silicon-On-Insulator) 기판을 이용하여 Pt-silicide 소스와 드레인을 형성하고 전기적인 특성을 분석하였다. 또한 본 연구에서는 기존의 sidewall을 사용하지 않는 새로운 구조를 적용하여 메탈게이트의 사용을 최적화하였고 게이트 절연막으로써 실리콘 옥사이드를 스퍼터링을 이용하여 증착하였기 때문에 저온공정을 성공적으로 수행할 수 있었다. 이러한 게이트 절연막은 열적으로 형성시키지 않고도 70 mv/dec 대의 우수한 subthreshold swing 특성을 보이는 것을 확인하였고,$10^8$ 정도의 높은 on/off current ratio를 갖는 것을 확인하였다. -
태양전지의 효율 향상을 위해 웨이퍼 표면에 반사방지막 코팅을 위한 패시베이션 물질들에 대한 연구가 활발히 진행 되고 있다. 이 과정에서 널리 사용하는 ARC 물질로 SiNx 박막이 있다. SiNx 박막은 PECVD 법으로 저온에서 실리콘 기판 위에 증착 가능한 장점이 있다. 플라즈마 분위기 가스로 아르곤 또는 질소 사용하며 SiNx 박막의 광학적, 전기적인 특성은 화학적 조성비에 의해 결정되며 증착온도 가변에 박막의 특성이 변화하며 이를 이용하여 태양전지의 효율을 향상 시킬 수 있다. 본 연구에서는 SiNx 박막을 형성하는데 질소 가스 분위기에서 PECVD를 이용하여 증착하고 그 특성을 분석하였다. 박막은 0.8 Torr의 압력에서
$150^{\circ}C\;{\sim}\;450^{\circ}C$ 의 기판온도로 증착되었으며 이때의 RF power은 100W ~ 300W로 가변 되었다. 증착된 박막은 1.94 에서 2.23의 폭넓은 굴절률 값을 가지고 있었다.$SiH^4/NH_3$ 가스 비의 증가에 따라 박막 두께와 굴절률이 감소함을 확인할 수 있었다.증착된 SiNx 박막의 소수반송자 수명 측정 결과 굴절률 2.23인 박막의 경우 약 87 us의 수명을 나타냈으며, 굴절률이 1.94로 줄어듦에 따라 소수 반송자 수명 역시 79 us로 감소하였다. SiNx 박막은 n-rich 보다 Si-rich 인 경우 effective 반송자 수명을 증가시켜 표면 재결합 속도를 줄이는데 유용함을 확인하였다. 또한 증착온도가 증가할수록, RF power가 증가 할수록 소수 반송자 수명 역시 증가하였다. 반사도의 경우$SiH_4$ 의 비율이 증가할수록 반사도가 감소함을 확인 하였으며, 증착온도 증가에 따라, RF power 증가에 따라 반사도가 감소하였다. 결과적으로$450^{\circ}C$ 의 기판온도와 300W의 RF power에서 증착된 SiNx 박막의 경우 가장 우수한 전기적, 광학적 특성을 보여주었다. -
플래시 메모리로 대표되는 비휘발성 메모리는 IT 기술의 발달에 힘입어 급격한 성장세를 나타내고 있지만, 메모리 소자의 크기가 작아짐에 따라서 그 물리적 한계에 이르러 차세대 메모리에 대한 요구가 점차 높아지고 있는 실정이다. 따라서, 이러한 문제점에 대한 대안으로서 고속 동작 및 정보의 저장 시간을 향상 시킬 수 있는 nano-floating gate memory (NFGM)가 제안되었다. Nano-floating gate에서 사용되는 nanocrystal (NCs) 중에서 Si nanocrystal은 비휘발성 메모리뿐만 아니라 발광 소자 및 태양 전지 등의 매우 다양한 분야에 광범위하게 응용되고 있지만, NCs의 크기와 밀도를 제어하는 것이 가장 중요한 문제로 이를 해결하기 위해서 많은 연구가 진행되고 있다. 또한, 소자의 소형화가 이루어지면서 기존의 플래시 메모리 한계를 극복하기 위해서 터널베리어에 관한 관심이 크게 증가했다. 특히, 최근에 많은 주목을 받고 있는 개량형 터널베리어는 크게 VARIOT (VARIable Oxide Thickness) barrier와 CRESTED barrier의 두 가지 종류가 제안되어 있다. VARIOT의 경우에는 매우 얇은 두께의low-k/high-k/low-k 의 적층구조를 가지며, CRESTED barrier의 경우에는 반대의 적층구조를 가진다. 이와 같은 개량형 터널 베리어는 전계에 대한 터널링 전류의 감도를 증가시켜서 쓰기/지우기 특성을 향상시키며, 물리적인 절연막 두께의 증가로 인해 데이터 보존 시간의 향상을 달성할 수 있다. 본 연구에서는 박막의
$SiO_2$ 와$Si_3N_4$ 를 적층한 VARIOT 타입의 개량형 터널 절연막 위에 전하 축적층으로$SiN_x$ 층의 내부에 Si-NCs를 갖는 비휘발성 메모리 소자를 제작하였다. Si-NCs를 갖지 않는$SiN_x$ 전하 축적층은 Si-NCs를 갖는 전하 축적층보다 더 작은 메모리 윈도우와 열화된 데이터 보존 특성을 나타내었다. 또한, Si-NCs의 크기가 감소됨에 따라 양자 구속 효과가 증가되어 느린 지우기 속도를 보였으나, 데이터 보존 특성이 크게 향상됨을 알 수 있었다. 그러므로, NFGM의 빠른 쓰기/지우기 속도와 데이터 보존 특성을 동시에 만족하기 위해서는 Si-NCs의 크기 조절이 매우 중요하며, NCs크기의 최적화를 통하여 고집적/고성능의 차세대 비휘발성 메모리에 적용될 수 있을 것이라 판단된다. -
The double-layer antireflection (DLAR) coatings have significant advantages over single-layer antireflection (SLAR) coatings. This is because they will be able to cover a broad range of the solar spectrum which would enhance the overall performance of solar cells. Moreover films deposited at high frequency are expected to show excellent and UV-stable passivation in the refractive index that we adopted. In this work, we present a novel DLAR coating using SiNx:H thin films with refractive indices 1.9 and 2.3 as the top and bottom layers. This approach is cost effective when compared to earlier DLAR coatings with two different materials. SiNx:H films were deposited by Plasma enhanced chemical vapor deposition (PECVD) technique using
$SiH_4$ ,$NH_3$ and$N_2$ gases with flow rates 20~80sccm, 200sccm and 85 sccm respectively. The RF power, plasma frequency and substrate temperature for the deposition were 300W, 13.56 MHz and$450^{\circ}C$ , respectively. The optimum thickness and refractive indices values for DLAR coatings were estimated theoretically using Macleod simulation software as 82.24 nm for 1.9 and 68.58 nm for 2.3 respectively. Solar cells were fabricated with SLAR and DLAR coatings of SiNx:H films and compared the cell efficacy. SiNx:H> films deposited at a substrate temperature of$450^{\circ}C$ and that at 300 W power showed best effective minority carrier lifetime around$50.8\;{\mu}s$ . Average reflectance values of SLAR coatings with refractive indices 1.9, 2.05 and 2.3 were 10.1%, 9.66% and 9.33% respectively. In contrast, optimized DLAR coating showed a reflectance value as low as 8.98% in the wavelength range 300nm - 1100nm. -
비휘발성 메모리의 구조는 ONO(
$SiO_2$ ,$SiN_X$ ,$SiN_XN_Y$ ), 혹은 NNO($SiN_X$ ,$SiN_X$ ,$SiN_XN_Y$ )등으로 구성된 blocking layer, charge storage layer, tunneling layer 등이 일반적이다. 본 연구에서 제작된 OSO구조는charge storage layer를 a-Si을 사용한 것으로, 기존에 사용되던 charge storage layer인$SiN_x$ 대신에 a-Si:H 를 사용하였다. 최적의 전하 저장층 조건을 알기 위하여 가스비에 따른 raman 및 bandgap 측정, 그리고 C-V 통하여 트랩된 전하 저장량 및 flatband 전압의 shift 값을 측정 및 분석하였다. 실험 결과, bandgap이 작아 band edge 저장 가능하며, SiNx 와 마찬가지로 a-Si:H 내 트랩에 저장이 가능하였다. 또한$SiO_2$ /a-Si:H와 a-Si:H/SiOxNy 계면의 결함 사이트에 전하의 저장되며, bandgap이 작아 트랩 또는 band edge에 위치한 전하들이 높은 bandgap을 가지는 blocking 또는 tunneling layer를 통하여 빠져 나오기 어려운 특성이 있었다. 본 연구에서는 최적의 전하 저장 층 조건을 알기 위하여 가스비에 raman 및 bandgap 측정, 그리고 C-V 통하여 트랩된 flatband 전압의 shift 값을 측정하여 결과를 논의하였다. 또한 OSO 구조의 두께에 있어 MIS 결과와 poly-Si 상에 실제 제작된 NVM 소자의 switching 특성을 논의하였다. -
In this paper, the electrically properties of nonvolatile memory (NVM) using multi-stacks gate insulators of oxide-nitride-oxynitride (ONOn) and active layer of the low temperature polycrystalline silicon (LTPS) were investigated. From hydrogenated amorphous silicon (a-Si:H), the LTPS thin films with high crystalline fraction of 96% and low surface's roughness of 1.28 nm were fabricated by the metal induced crystallization (MIC) with annealing conditions of
$650^{\circ}C$ for 5 hours on glass substrates. The LTPS thin film transistor (TFT) or the NVM obtains a field effect mobility of ($\mu_{FE}$ )$10\;cm^2/V{\cdot}s$ , threshold voltage ($V_{TH}$ ) of -3.5V. The results demonstrated that the NVM has a memory window of 1.6 V with a programming and erasing (P/E) voltage of -14 V and 14 V in 1 ms. Moreover, retention properties of the memory was determined exceed 80% after 10 years. Therefore, the LTPS fabricated by the MIC became a potential material for NVM application which employed for the system integration of the panel display. -
이종접합 태양전지에서 Intrinsic a-Si:H의 역할은 상당히 중요하다. Passivation 효과와 높은 Voc에 이르는 핵심적인 Layer이다. 본 연구는 Intrinsic a-Si:H Layer의 증착조건을 가변하여 최적의 Passivation 효과를 얻는데 목적이 있다. 웨이퍼는 n-Type
$500\;{\mu}m$ 두께에를 사용하였다. Intrinsic a-Si:H Layer는$SiH_4$ 가스와$H_2$ 가스를 혼합하여 증착하게 되는데 혼합비는 1:5로 고정하였다. 증착두께는 이종접합 태양전지에서 필요한 5nm로 고정하였으며 증착장비는 PECVD를 이용하였다. PECVD는 VHF(60MHz)를 이용하였고 증착온도는$200^{\circ}C$ 로 고정하여 진행하였다. 가변내용은 전극거리와 파워, 압력이다. 전극거리는 20mm에서 80mm까지 가변하였고 압력은 100mTorr에서 500mTorr까지 가변하였다. 파워는 플라즈마의 방정특성을 알아본 후 최소파워를 이용하여 증착하였다. 이는 증착 시 플라즈마에 의한 박막 손상을 최소화하기 위함이다. 측정은 QSSPC 방식으로 Carrier Lifetime과 Implied Voc를 측정하였으며 두께는 Ellipsometry를 이용하여 측정하였다. 전극거리 60mm에서 증착압력은 400mTorr이고 파워는$14mW/cm^2$ 에서 가장 높은 Carrier Lifetime 과 Implied Voc를 나타내었다. Carrier Lifetime은 2.2ms이고 Implied Voc는 709mV를 달성 하였다. Carrier Lifetime이 높으면 Surface Recombination이 낮다는 의미이며 이는 고효율 이종접합 태양전지 제작에 있어서 직렬저항을 줄일 수 있는 필수적인 요소이다. Implied Voc는 이종접합 태양전지의 Voc에 직결된 인자로 이종접합 태양전지의 Voc를 예상할 수 있는 중요한 요소이다. -
p-i-n 형 비정질 실리콘 박막 태양전지에서 p층은 창물질(window material)로서 전기 전도도가 크고, 빛 흡수가 적어야한다. p층의 두께가 얇으면 p층 전체가 depletion layer가 되고 충분한 diffusion potential을 얻을 수 없어 open-circuit voltage (
$V_{oc}$ )가 작아진다. 반대로 p층 두께가 두꺼워지면 빛 흡수가 증가하고, 표면 재결합이 문제가 되어 변환효율이 감소한다. 밴드갭이 큰 물질로 창층을 제작하게 되면 보다 짧은 파장의 입사광이 직접 i층을 비추므로 Short-circuit current ($I_{sc}$ ) 와 fill factor를 증가시킬 수 있다. 하여 본 연구에서는 기존의 창층으로 사용되는 Boron을 doping한 p-type a-Si:H 대신에$N_2O$ 를 첨가한 p-type a-$SiO_x$ :H의$N_2O$ flow rate에 따른 밴드갭의 변화에 관한 연구를 수행하였다. p-type a-$SiO_x$ :H Layer는$SiH_4$ ,$H_2$ ,$N_2O$ ,$B_2H_6$ 가스를 혼합하여 증착하게 되는데$SiH_4$ , 가스와$H_2$ 가스의 혼합비는 1:20,$B_2H_6$ 농도는 0.5%로 고정 하였으며$N_2O$ 의 flow rate을 가변하며 증착하였다.$N_2O$ 의 가변조건은 5에서 50sccm으로 가변하여 증착하며 일반적으로 사용되는 RF-PECVD (13.56MHz)를 이용하였고 증착 온도는 175도, 전극간의 거리는 40mm, 파워와 압력은 30W, 700mTorr로 고정하여 진행하였다. 전기적 특성을 알아보기 위해 eagle 2000 Glass를 사용하였고 구조적 특성은 p-type wafer를 사용하여 각각 대략 200nm의 두께로 증착하였다. 증착 두께는 Ellipsometry를 이용하였으며 전기 전도도는 Agilent사의 4156c를 구조적특성은 FT-IR을 사용하여 측정하였다. Conductivity(${\sigma}_d$ )는$N_2O$ 가 증가함에 따라$8.73\;{\times}\;10^{-6}$ 에서$5.06\;{\times}\;10^{-7}$ 으로 감소하였고 optical bandgap ($E_{opt}$ )은 1.71eV에서 2.0eV로 증가함을 알 수 있었다. 또한 reflective index(n)의 경우는 4.32에서 3.52로 감소함을 나타내었다. 기존의 p-type a-Si:H에 비해 상당한$E_{opt}$ 을 가지므로 빛 흡수에 의한 손실을 줄임으로서$V_oc$ 를 향상 시킬 수 있으며 동시에 짧은 파장에서의 입사광이 직접 i층을 비추므로$I_{sc}$ 와 FF를 향상 시킬 수 있으리라 예상된다. 다소 낮은 전도도만 개선한다면 고효율의 박막 태양전지를 제작 할 수 있을 것으로 기대된다. -
표면 passivation 효과향상 기술은 고효율의 결정질 실리콘 태양 전지를 제작하는데 필수적 요소이다. passivation을 통해서 전자와 전공의 재결합 속도를 낮출 수 있어
$V_{oc}$ 가 상승하고, 전류 값 증가를 통하여 효율 향상의 결과를 얻을 수 있기 때문이다. passivation을 위해서 다양한 각도로 접근하였다. 첫째는$SiN_x$ 를 이용한 passivation효과 실험 둘째는 plasma 분위기에서$N_2O$ 를 이용한 passivation효과 실험 그리고 마지막으로 RTO를 이용한 passivation 효과를 실험하였다. 첫 번째 실험은 PECVD를 이용하여$SiN_x$ 를 증착한 후 굴절률 1.9 2.66으로 가변 한 결과$SiN_x$ n=2.66에서$D_{it}=8.82{\times}10^9$ [$cm^{-2}eV^{-1}$ ]로 우수한 passivation 효과를 얻을 수 있었다. 두 번째 실험에서는 PECVD를 이용해서$N_2O$ treatment 후 SiON 증착한 샘플을 이용하여 시간 가변에 따른 passivation 효과를 확인하였다. 그 결과$N_2O$ 50sccm, 100mTorr, 20W,$400^{\circ}C$ 8min 조건에서 가장 우수한 passivation 효과를 관찰할 수 있었다. 마지막 실험은 RTP를 이용하여$SiO_2$ 박막에 대한 온도, 시간에 따른 passivation효과를 확인하였다. 그 결과$O_2$ 3L/min$800^{\circ}C$ 2~3nm 3min 공정에서 lifetime이 220us(n형)의 결과를 얻을 수 있었다. 상기 세 실험결과를 태양전지제작에 응용한다면 고효율의 태양전지 제작이 가능할 것으로 사료된다. -
Oxygen incorporated
$Ge_2Sb_2Te_5$ (GST) films were prepared by an ion beam sputtering deposition (IBSD) method. From the I-V curves, the$V_{th}$ value varies with the oxygen content. Ge-deficient hexagonal phases are responsible for the observed unstability and decrease in$V_h$ values. In the case of a GST film with an elevated oxygen content of 30.8 %, the GST layer melted at 9.02 V due to the instability conferred by the high oxygen content. The formation of Ge-deficient hexagonal phases such as$GeSb_2Te_4$ and$Sb_2Te_3$ appear to be responsible for the$V_{th}$ variation. Impedance analyses indicated that the resistance in GST films with oxygen contentsof 16.7 % and 21.7 % had different origins. Thermal desorption spectroscopy (TDS)data indicate that moisture and hydrocarbons were more readily desorbed at higher oxygen content because the oxygen incorporated GST films are more hydrophilic than undoped GST films. -
A detailed investigation and structure of tested samples are clearly presented. As a reference,
$Ge_1Se_1Te_2$ /As only sample was also investigated. We used compound of Ge-Se-Te material for phase-change cell. Actually, the performance properties have been improved surprisingly then conventional Ge-Sb-Te. However, crystallization time was as long as ever for amorphization time. We conducted this esperiment in order to solve that problem by doping-As with Ag layer. -
Programmable Metallization Cell (PMC) is a memory device based on the electrolytical characteristic of chalcogenide materials. PMC components of Ge-Se doped with Ag ions were studied with help of the previous studies and copper was used for metallic ions taking into account of economy of components. In this study, we investigated the nature of thin films formed by photo doping of Cu ions into chalcogenide materials for use in solid electrolyte of programmable metallization cell devices. We were able to do more economical approach by using copper which play role of electrolyte ions. The results imply that a Cu-rich phase separates owing to the reaction of Cu with free atoms from chalcogenide materials.
-
본 연구에서는 분자선 박막성장 장비를 (MBE) 이용하여 droplet epitaxy 방법으로 성장시킨 GaAs/AlGaAs 양자점구조의 표면전기장변화에 관하여 photoreflectance spectroscopy (PR)를 이용하였다. 본 실험에 사용된 GaAs/AlGaAs 양자점 구조는 undoped-GaAs (001) 기판을 위에 성장온도
$580^{\circ}C$ 에서 GaAs buffer layer를 100 nm 성장 후 장벽층으로 AlGaAs을 100 nm 성장하였다. AlGaAs 장벽층을 성장한 후 기판온도를$300^{\circ}C$ 로 설정하여 Ga을 3.75 원자층를 (ML) 조사하여 Ga drop을 형성하였다. Ga drop을 GaAs 나노구조로 결정화시키기 위하여$As_4$ 를 beam equivalent pressure (BEP) 기준으로$1{\times}10^{-4}$ Torr로 기판온도$150^{\circ}C$ 에서 조사하였다. 결정화 직후 RHEED로 육각구조의 회절 페턴을 관측하여 결정화를 확인하였다. GaAs 나노 구조를 성장한 후 AlGaAs 장벽층을 성장하기위해 10 nm AlGaAs layer는 MEE 방법을 이용하여$150^{\circ}C$ 에서 저온 성장 하였으며, 저온성장 후 기판온도를$580^{\circ}C$ 로 설정하여 80 nm의 AlGaAs 층을 성장하고 최종적으로 GaAs 10 nm를 capping layer로 성장하였다. 저온성장 과정에서의 결정성의 저하를 보상하기위하여 MBE 챔버내에서$650^{\circ}C$ 에서 열처리를 수행하였다. GaAs/AlGaAs 양자점의 광학적 특성은 photoluminescence를 이용하여 평가 하였으며 780 nm 근처에서 발광을 보여 주었다. 특히 PR 실험으로부터 시료의 전기장에 의한 Franz-Keldysh oscillation (FKO)의 변화를 관측하여 GaAs/AlGaAs 양자점의 존재에 의한 시료의 표면에 형성되는 표면전기장을 측정하였다. 또한 시료에 형성된 전기장의 세기를 계산하기위해 PR 신호로부터 fast Fourier transformation (FFT)을 이용하였다. 특히 온도의 존성실험을 통하여 표면전기장의 변화를 관측 하였으며 양자구속효과와 관련성에 대하여 고찰 하였다. -
본 논문은 테라헤르츠 소스로 저온 InGaAs를 대체하기 위한 저온
$In_{0.64}Al_{0.36}Sb$ 의 실리콘(Si) 도핑 농도에 따른 광학적 특성 변화를 photoluminescence (PL)과 time-resolved PL (TRPL) 측정을 이용하여 분석하였다.$In_{0.64}Al_{0.36}Sb$ 시료는 분자선 엑피탁시 (molecular beam epitaxy)법으로 GaAs 기판 위에 약$420^{\circ}C$ 에서$3.7\;{\mu}m$ 두께 성장하였다. Si은$In_{0.64}Al_{0.36}Sb$ 시료에서 도핑 농도가 낮을 때는 어셉터(acceptor)로 작용하다가 도핑 농도가 증가함에 따라 도너(donor)로 작용하였다. 본 연구에 사용한$In_{0.64}Al_{0.36}Sb$ 시료의 Si 도핑 농도는$4.5{\times}10^{16}\;cm^{-3}$ (n형),$4{\times}10^{16}\;cm^{-3}$ (n형),$8{\times}10^{15}\;cm^{-3}$ (n형),$1{\times}10^{15}\;cm^{-3}$ (p형),$4{\times}10^{14}\;cm^{-3}$ (p형)인 다섯 개의 시료를 사용하였다. Si 도핑한 시료의 PL 피크는 undoped 시료보다 약 100-200 nm 단파장에서 나타나고 PL 세기도 크게 증가하였다. 그러나 Si 도핑 농도가 가장 낮은 n형과 p형 시료의 PL 피크가 가장 짧은 파장 (높은 에너지)에 나타나고 도핑 농도가 증가함에 따라 장파장으로 이동함을 보였다. n형 시료의 도핑 농도가$8{\times}10^{15}\;cm^{-3}$ 에서$4.5{\times}10^{16}\;cm^{-3}$ 로 증가하였을 때 PL 피크는 1232 nm에서 1288 nm까지 장파장쪽으로 이동하였으며, p형 시료는 도핑 농도가$4{\times}10^{14}\;cm^{-3}$ 에서$1{\times}10^{15}\;cm^{-3}$ 로 증가하였을 때 PL 피크가 1248 nm에서 1314 nm로 이동함을 보였다. 또한 시료 온도에 따른 PL 결과는 온도가 증가함에 따라 PL 피크는 장파장으로 이동하면서 PL 세기는 급격하게 감소하고 약 100 - 150 K에서 소멸하였다. 그러나 ~1500 nm 이상 장파장 영역에 매우 넓은 새로운 피크가 나타났으며 온도가 증가함에 따라 PL 세기가 증가함을 확인하였다. Si 도핑 농도에 따른 운반자 수명시간 변화를 TRPL을 이용하여 측정하였다. 운반자 수명시간은 double exponential function을 이용하여 얻었다. Si 도핑 시료의 운반자 수명시간이 undoped 시료에 비해 매우 길게 나타났으며, Si 도핑 시료에서는 p형 시료들보다 n형 시료들의 운반자 수명시간이 길게 나타났다. PL 방출파장에 따른 운반자 수명시간은 Si 도핑 농도에 따라 다르게 나타났다. 이러한 PL과 TRPL 결과로부터$In_{0.64}Al_{0.36}Sb$ 의 발광 특성 및 운반자 동역학은 Si 도핑에 크게 영향을 받는다는 것을 확인하였다. -
테라헤르츠 소스로 저온 InGaAs를 대체하기 위해 저온에서 성장한
$In_{0.64}Al_{0.36}Sb$ 의 성장 온도에 따른 광학적 photoluminescence (PL)과 time-resolved PL (TRPL) 측정을 이용하여 분석하였다. 또한 Be 도핑 농도에 따른 p형$In_{0.64}Al_{0.36}Sb$ 의 PL과 TRPL 특성을 undoped$In_{0.64}Al_{0.36}Sb$ 와 Si-doped$In_{0.64}Al_{0.36}Sb$ 결과와 비교 분석하였다. 본 연구에 사용한 시료는 분자선 엑피탁시 (molecular beam epitaxy)법으로 GaAs 기판 위에$In_{0.64}Al_{0.36}Sb$ 을 다양한 성장온도에서${\sim}3.7\;{\mu}m$ 두께 성장하였다.$In_{0.64}Al_{0.36}Sb$ 의 성장온도는$400^{\circ}C$ 에서$460^{\circ}C$ 까지 변화시키며 성장하였으며, Si과 Be 도핑한$In_{0.64}Al_{0.36}Sb$ 시료는 약$420^{\circ}C$ 에서 성장하였다. 모든 시료의 PL 피크는 ~1450 nm 근처에서 나타나며 단파장 영역에 shoulder 피크가 나타났다. 그러나 가장 낮은 온도$400^{\circ}C$ 에서 성장한 시료는 1400 nm에서 1600 nm에 걸쳐 매우 넓은 피크가 측정되었다. PL 세기는$450^{\circ}C$ 에서 성장한 시료가 가장 강하게 나타났으며,$435^{\circ}C$ 에서 성장한 시료의 PL 세기가 가장 약하게 나타났다. 방출파장에 따른 PL 소멸곡선을 측정하였으며 double exponential function을 이용하여 운반자 수명시간을 계산하였다. 운반자 수명시간은 빠른 소멸성분$\tau_1$ 과 느린 소멸성분$\tau_2$ 가 존재하고 빠른 성분$\tau_1$ 의 PL 진폭이 약 80%로 느린 성분$\tau_2$ 보다 우세하게 나타났다. 각 PL 피크에서의 운반자 수명시간$\tau_1$ 은 ~1 ns로 성장온도에 따른 변화는 관찰되지 않았다. 또한 방출파장이 1400 nm에서 1480 nm까지 PL 피크 근처에서 운반자 수명시간은 거의 일정하게 나타났다. Be-doped 시료의 PL 피크는 1236 nm에서 나타나며, Si-doped 시료는 1288 nm, undoped 시료는 1430 nm에서 PL 피크가 측정되었다. PL 피크에서 PL 소멸곡선은 Be-doped 시료가 가장 빨리 감소하였으며, Si-doped 시료가 가장 길게 나타났다. 이러한 결과로부터$In_{0.64}Al_{0.36}Sb$ 의 광학적 특성은 성장 온도, dopant type, 도핑 농도에 따라 변화하는 것을 확인하였다. -
InAsSb alloy system 은 HgCdTe 를 대체하는 적외선 광소자 및 검출기 등에 응용이 가능한 유망한 물질이지만 정확한 유전함수 및 전이점의 연구는 미흡한 실정이다. 본 연구에서는 타원 편광 분석법을 이용하여 1.5 ~ 6 eV 의 분광 영역에서 As 조성비를 각기 (x = 0, 0.127, 0.337, 0.491, 0.726 및 1.00) 다르게 한
$InAs_xSb_{1-x}$ alloy의 유전함수를 측정하였다. 또한 표면에 자연산화막을 제거하기 위하여 Methanol 과 DI Water 로 표면을 세척 한 후$NH_4OH$ , Br in Methanol, HCl 등으로 적절한 화학적 에칭을 하여 산화막을 제거함으로서 순수한 InAsSb 의 유전함수를 측정할 수 있었다. 측정된 InAsSb 유전함수를 Standard analytic critical point line shape 방법으로 As 조성비에 따른 에너지 전이점을 얻을 수 있었다. 또한 얻어진 에너지 전이점 값을 이용하여 linear augmented Slater-type orbital 방법으로 전자 밴드 구조 계산을 하였고, 이를 바탕으로$E_0$ ,$E_1$ ,$E_2$ 전이점 지역의 여러 전이점 ($E_1$ ,$E_1+\Delta_1$ ,$E_0'$ ,$E_0'+\Delta_0'$ ,$E_2$ ,$E_2+\Delta_2$ ,$E_2'$ ,$E_2'+\Delta_2$ ,$E_1'$ ) 의 특성을 정확히 정의할 수 있었다. 또한 As 조성비가 증가하면서$E_2$ ,$E_2+\Delta_2$ ,$E_2'$ ,$E_2'+\Delta_2$ 전이점들이 서로 교차 되는 것을 발견하였고, 저온에서만 관측이 가능하였던 InSb 의 두 saddle-point (${\Delta_5}^{cu}-{\Delta_5}^{vu}$ ,${\Delta_5}^{cl}-{\Delta_5}^{vu}$ )를 상온에서 찾아내었다. 타원 편광 분석법을 이용한 전이점 연구 및 물성 분석은 InAsSb alloy 의 광학적 데이터베이스를 확보하는 성과와 더불어 새로운 디바이스기술 및 광통신 산업에도 유용한 정보가 될 것이다. -
InAs 는 광전자 및 광통신 소자에 널리 이용되는
$In_xGa_{1-x}As_yP_{1-y}$ 화합물의 endpoint 로서, Heterojunction Field-Effect Transistors (HEMTs), Heterojunction Bipolar Transistor (HBT) 등에 중요하게 이용되고, 다양한 소자의 기판으로도 폭넓게 사용되는 물질이다. InAs 의 반도체 소자로의 응용을 위해서는 정확한 광 특성과 밴드갭 값들이 필수적이며, 분광타원편광분석법(ellipsometry) 을 이용한 상온 InAs 유전율 함수는 이미 정확히 알려져 있다. 그러나 상온에서는$E_2$ 전이점 영역에서 여러 개의 밴드갭들이 중첩되어 있어, 밴드구조계산 등에 필수적인 InAs의 전이점을 정확히 정의하기 어렵다. 또한, 현재의 산업계에서 중요하게 여겨지는 실시간 모니터링을 위해서는 증착온도에서의 유전율 함수 데이터베이스가 필수적이다. 이와 같은 필요성에 의해, 22 K - 700 K 의 온도범위에서 InAs 의 유전율 함수와 밴드갭 에너지에 대한 연구를 수행하였다. InAs bulk 기판을 methanol, acetone, DI water 등으로 세척 한 뒤, 저온 cryostat 에 부착하였다. 분광타원분석법은 표면의 오염에 매우 민감하기 때문에, 저온에서의 응결 방지를 위해 고 진공도를 유지하며, 액체 헬륨으로 냉각하였다. 0.7 - 6.5 eV 에너지 영역에서 측정이 가능한 분광타원편광분석기로 측정한 결과, 온도가 증가함에 따라 열팽창과 phonon-electron 상호작용효과의 증가에 의해, 밴드갭 에너지 값의 적색 천이와 밴드갭들의 중첩을 관찰 할 수 있었다. 정확한 밴드갭 에너지 값의 분석을 위하여 2계 미분을 통한 표준 밴드갭 해석법을 적용하였으며, 22 K 의 저온에서는$E_2$ 전이점 영역에서 중첩된 여러 개의 밴드갭들을 분리 할 수 있었다. 또한 고온에서의 연구를 통해, 실시간 분석을 위한 InAs 유전함수의 데이터베이스를 확립하였다. 본 연구의 결과는 InAs 를 기반으로 한 광전자 소자의 개발 및 적용분야와 밴드갭 엔지니어링 분야에 많은 도움이 될 것으로 예상한다. -
저차원 나노양자구조에서 전자적 구조와 광 이득에 대한 연구는 전자소자나 광소자의 효율을 증진시키는데 중요한 역할을 하고 있다. 전자적 부띠 구조를 결정하기 위해서는 변형효과와 비포물선 효과를 고려하여 계산하면 나노 양자구조의 전자적 구조를 비교적 정확하게 계산 할 수 있다. 양자우물에서의 광 이득은 전자적 구조에 따른 전도 대역의 전자와 가전자 대역의 정공 사이에 발생하는 쿨롱 상호작용에 의한 엑시톤 결합 에너지를 고려함으로 정확히 계산할 수 있다. 본 연구에서는 양자 우물의 격자 부정합에 따른 변형효과와 전도대역에서 전자 에너지의 비포물선 효과가 양자 우물의 전자적 성질에 미치는 영향에 대하여 조사하였다. 또한, 온도변화에 따른 양자 우물의 전자적 구조를 계산하였고, 전자적 구조에 따라 엑시톤 결합 에너지가 광 이득에 미치는 영향을 계산하였다. 양자우물 구조에서 전자 및 정공의 부띠에너지, 파동함수 및 부띠천이 에너지를 가변메시 유한차분법으로 결정하였고, interacting pair Green's function 방법과 energy space integrated function 방법을 이용하여 광 이득을 계산하였다. 계산한 결과를 광루미네센스 측정으로 관측한 부띠에너지 천이와 비교하여 변형효과와 비포물선 효과가 전자적 구조에 미치는 영향과 엑시톤 결합 에너지가 광 이득에 미치는 영향에 대하여 비교하였다. 반도체 양자우물의 전자적 구조는 변형효과와 비포물선 효과에 의하여 영향을 받고 있는 것을 알 수 있었다. 또한, 전자-정공의 쿨롱 상호작용을 고려하여 계산한 광 이득이 온도 변화에 따라 관측한 실험 결과와 잘 맞는 것을 알 수 있었다. 이러한 결과는 격자 부정합한 화합물 반도체 양자우물의 저차원적인 전자적 구조와 광 특성을 이해하는데 많은 도움이 된다고 생각된다.
-
Cds films were deposited on glass substrates using rf magnetron sputtering method followed by rapid thermal annealing(RTA). Effects of annealing temperature on surface characteristic, structural, electrical and optical property of CdS films were investigated at different temperatures ranging from 250 to
$550^{\circ}C$ with various holding time. The film annealed at$450^{\circ}C$ with less than 1 min holding time is attributed to the improved crystalline quality of CdS film due to the effective relaxation of residual compressive stress and achieving maximum grain size. The results show that RTA treatments under optimal annealing condition can provide significant improvements in the properties of CdS films. -
$1.55\;{\mu}m$ 대역의 레이저 다이오드를 제작하기 위해, InP(001) 기판에 InAlGaAs 물질을 장벽층으로 하는 InAs 양자점 구조를 분자선증착기 (MBE)를 이용하여 성장하고 구조 및 광학적 특성을 Double Crystal X-ray Diffraction (DCXRD), Atomic Force Microscopy (AFM), Photoluminescence (PL)을 이용하여 평가하였다. 일반적으로 InAlGaAs 물질은 고유한 상분리 현상 (Phase Separation)이 나타나는 특성이 있으며, 이는 양자점 성장에 중요한 요인으로 작용할 수 있다. 이러한 InAlGaAs 물질의 상분리 현상을 기판온도 ($540^{\circ}C$ ,$555^{\circ}C$ ,$570^{\circ}C$ )를 비롯한 성장변수를 변화시켜 제어하고 InAs 양자점 형성에 어떠한 영향을 미치는지를 분석하였다. 540의 성장온도에서 InP(001) 기판에 격자정합한 InAlGaAs 장벽층이 성장온도를$570^{\circ}C$ 로 증가시킬 경우 기판에 대하여 인장 응력 (Tensile Strain)을 받는 구조로 변화되었다. 인장응력을 받는 InAlGaAs 장벽층을 Ga Flux 양을 조절하여 격자정합한 InAlGaAs 층을 형성할 수 있었다. AFM을 통한 표면 형상 분석 결과, 서로 다른 기판온도에서 성장한 InAlGaAs 물질이 InP(001) 기판에 격자정합 조건일지라도 표면의 거칠기 (Surface Roughness)는 매우 다른 양상을 보였고 InAs 양자점 형성에 직접적으로 영향을 주었다.$570^{\circ}C$ 에서 성장한 InAlGaAs 위에 형성한 InAs 양자점의 가로방향 크기를 세로방향 크기로 나눈 비율이 1.03으로서, 555와$540^{\circ}C$ 의 1.375 와 1.636와 비교할 때 모양 대칭성이 현저히 개선된 것을 알 수 있다. 상분리 현상이 줄어 표면 거칠기가 좋은 InAlGaAs 위에 양자점을 형성할 때 원자들의 이동도가 상대적으로 높아 InAs 양자점의 크기가 증가하고, 밀도가 감소하는 현상이 나타났다. 또한 InAlGaAs 장벽층이 InP(001) 기판을 기준으로 응력 (Compressive 또는 Tensile)이 존재하는 경우, InAs 양자점 모양이 격자정합 조건 보다 비대칭적으로 변하는 특성을 보여 주었다. 이로부터, 대칭성이 개선된 InAs 양자점 형성에 InAlGaAs 장벽층의 표면 거칠기와 응력이 중요한 변수로 작용함을 확인 할 수 있었다. PL 측정 결과, 발광파장은$1.61\;{\mu}m$ 로 InAs 양자구조 형상에 따라 광강도 (Intensity), 반치폭 (Line-width broadening) 등이 변화 되었다. -
산업 전반에 걸쳐 중요한 광원인 808 nm 대역의 레이저 다이오드 제작에는 현재 InGaAsP/InGaP/GaAs 및 InGaAlAs/GaAs 양자우물을 이용하여 제작되고 있다. 이는 양자우물과 이를 둘러싸는 장벽물질간의 band-offset이 적어 효율적인 고출력 레이저 다이오드의 제작에 어려움이 있기 때문에 강한 캐리어 구속 효과를 지니는 양자점을 사용하는 것이 고출력 레이저 다이오드를 제작할 수 있는 방법이다. 실험에 사용된 InAlAs 양자점은 Riber사의 compact21 MBE 장치를 사용하여 성장하였으며 GaAs기판을 610도에서 가열하여 표면의 산화층을 제거하고 580도에서 약 100 nm 두께의 GaAs 버퍼층 및 30 nm 두께의
$Al_{0.4}Ga_{0.6}As$ 층을 성장하였다. GaAs 기판의 온도를 내린 후 migration enhanced epitaxy 방법을 사용하여 InAs 및 AlAs를 번갈아 주입하여 성장하였다. InAlAs 양자점의 성장 중에 InAlAs의 양, 성장 온도, As flux량 및 As 분자 상태 변화 등 다양한 조건을 변화 시켜 샘플을 성장시켰다. 그 결과 기판 온도가 600도이며 As4 flux가$1\;{\times}\;10^{-6}\;Torr$ 조건하에서 성장한 InAlAs/AlGaAs 양자점이 양질의 808 nm의 파장 대역을 얻을 수 있었다. -
반도체는 도핑하지 않으면 대부분 n형을 나타내는 것에 반하여 GaSb는 p형을 보이는 반도체로서, 그 근원은 명확하게 규명되어 있지 않은 상태이다. GaSb의 p형 불순물인 Be은 Ga과 치환 ([
$Be_{Ga}$ ])되므로, p형 전도의 근원으로 추정되는 잔존결함인 [$Ga_{Sb}$ ]와 그 복합체인 [$Ga_{Sb}-Sb_{Ga}$ ]와 높은 상관관계를 가질 것으로 예측된다. 본 연구에서는 Be을 도핑한 GaSb:Be 에피층을 MBE 방법으로 성장하여, PL 스펙트럼과 Hall 효과 분석을 통하여 p형 전도의 근원을 조사하였다. 도핑하지 않은 u-GaSb는 DA (deep acceptor)와 함께 A 준위를 나타낸 반면, p-GaSb:Be의 PL 스펙트럼은 Be 도핑농도가 증가함에 따라 FWHM가 줄어들면서 점차 높은 에너지 영역으로 변위하지만 농도가 가장 높은 시료에서는 PL의 FWHM가 증가하면서 에너지는 감소함이 관측되었는데, 이것은 A 피크와 Sb 관련 피크가 경쟁적으로 중첩되어 나타난 현상으로 분석된다. Hall 효과 결과는 유효 전하밀도의 증가에 따라 이동도는 감소하는 전형적인 의존성을 나타내었으며, u-GaSb의 Hall 이동도가 p-GaSb:Be의 값보다 작은 것은 u-GaSb에 잔존하는 DA에 의한 산란 때문으로 해석된다. Gaussian 형태로 분해하여 얻은 A ([$Ga_{Sb}$ ])와 DA ([$Ga_{Sb}-Sb_{Ga}$ ]) 및 Be 관련 피크로부터 특정 도핑농도 ($1.2{\times}10^{17}cm^{-3}$ )의 시료를 제외한 모든 p-GaSb:Be에는 A 피크가 중첩되고 A와 Be 준위 중간에 Be과의 복합체인 중간상태(intermediate state)인 [$Be^*$ ]가 존재함이 관측되었는데, 특정 도핑농도에서는 [$Be_{Ga}$ ]이 우세하지만 더 이상 농도가 증가하면 [$Be_{Ga}$ ] 준위의 강도는 오히려 감소함을 관측할 수 있었다. 이것은 적정 이상의 Be을 도핑할 경우, A ([$Be_{Ga}$ ])와$Be^*([Be_{Ga}-Ga_Sb}])$ 가 형성 ($A[Ga_{Sb}]+Be{\rightarrow}Be^*[Be_{Ga}-Ga_{Sb}]+[Be_{Ga}]$ )됨을 보여 주는 중요한 결과인 것으로 분석된다. A, [Be], [$Be^*$ ] PL 피크 에너지는 각각 779, 787, 794 meV (오차범위${\pm}3\;meV$ )이고, [$Be_{Ga}$ ]의 활성화 에너지는 ($23{\pm}3\;meV$ ) (20 K)임을 밝혔다. -
InAs는 0.35eV의 낮은 밴드갭을 가지며 상온에서 약
$30,000cm^2/Vs$ 의 높은 전자이동도를 보여, GaAs/AlGaAs 및 InGaAs/InP 2DEG HEMT에 이은 차세대 초고속 전자소자의 2DEG용 물질로 각광을 받고 있다. 그러나 InAs의 격자상수는 약 0.61nm로 이에 적절한 반절연기판을 구할수 없어, GaAs상에 Al(Ga)Sb를 이용하여 성장하는 방법으로 2DEG을 실현하고 있다. 상기 방법으로 상온에서${\sim}30,000cm^2/Vs$ 전자이동도를 보이는 InAs/AlSb 2DEG HEMT 소자를 여러 연구팀에서 시현하였으나, 실제적으로 응용하기 위해서 etch-stop층 또는 contact층의 제작이 용이치 않아 실제의 회로구현에는 어려움을 격고 있다. 이에 InGaAs/InP 2DEG내에 InAs를 넣어 InAs 2DEG을 제작하는 방법이 NTT[1]에 의해 제안되어, SPINTRONICS등의 InAs 2DEG이 필요한 곳에 응용되고 있다. [2] 본 발표에서는 고품질의 InAs 2DEG을 실현하기 위해, 다양한 성장 변수 (온도, As 분압, 성장 시퀀스, InAs층의 두께등)와 2DEG의 전기적특성간의 관계를 발표한다. 최종적으로 상온전자이동도${\sim}12,000cm^2/Vs$ 의 InAs 2DEG을 제작할수 있었으며, 이를 다양한 전자소자에 차후 응용할 예정이다. -
박막형 CIGS 태양전지의 배면전극으로 사용되는 Mo 박막은 낮은 저항으로 인한 전기전도성과 열적 안전성이 아주 우수하다. 연구에서는 연성 CIGS 태양전지의 제조를 위한 Mo 배면전극의 대면적 증착기술에 관한 것으로 DC Magnetron Sputtering 공정을 이용하여 전주기술을 통한 Ni-Fe계 연성기판재 위에 졸걸법으로 합성된
$SiO_2$ 절연박막에 Mo 박막을 증착하는 것을 목적으로 하고 있다. 실험에서는 연성기판재 대신 시편을 Sodalime glass, Si wafer, SUS계 소재를 사용하여 스퍼터링 공정에 의한 Mo 박막을 증착하였다. 실험에서 타겟에 인가되는 전력과 공정압력을 변수로 하여 Mo 박막의 증착율, 전기저항성을 측정하였다. 타겟의 크기는$80mm{\times}350mm$ , 타겟과 기판간 거리 20cm 이었으며, 공정 압력은 2~50 mtorr 영역에서 인가전력을 0.5-1.5kW로 하였다. Mo 박막의 증착율과 전기적 특성을 측정하기 위하여$\alpha$ -step과 4-point probe(CMT-SR 1000N)를 이용하였다. 그리고 Mo 박막의 잔류응력을 측정하기 위하여 잔류응력측정기를 이용하였다. Mo 박막의 미세구조분석을 위하여 SEM 및 XRD를 분석을 실시하였다. 배면전극으로서 전기저항성은 공정압력에 따라 좌우 되었으며, 2 mTorr 공정압력과 1.5kW의 전력에서 최소값인$8.2\;{\mu}{\Omega}-cm$ 의 저항값과 증착율 약$6\;{\mu}/h$ 를 보였다. 기판재와의 밀착성과 관련한 잔류응력 측정과 XRD분석을 통한 결정립 크기를 분석하여 공정압력에 따른 Mo 박막의 잔류응력과 전기 저항 및 결정립 크기의 상관관계를 조사하였다. 그리고 대면적 CIGS 증착공정을 위해 직각형 타겟을 통해 증착된 Mo 박막의 증착분포를 20cm 이내 조사하였다. -
a-plane 혹은 m-plane면을 사용하는 무분극 GaN LED는 c축 방향으로 발생하는 분극의 영향을 받지 않기 때문에 분극 GaN LED에 비해 높은 내부 양자효율을 가진다. 또한 무분극 GaN는 상대적으로 고농도의 p-type 도핑이 가능하기 때문에 광효율을 높일 수 있다. 하지만 이와 같은 장점에도 불구하고 무분극 GaN는 성장모드의 비대칭으로 인해 높은 결정성과 mirror-like한 표면을 얻기가 힘들다. 본 논문에서는 Metalorganic chemical-vapor deposition (MOCVD) 장비를 사용하여 r-plane 사파이어 기판위에 a-plane GaN을 성장시켰다. 일반적으로 사용하는 저온에서의 nucleation layer 성장 대신
$1050^{\circ}$ 의 고온에서 성장 시킨후 일반적으로 사용하는 two-step 성장방법으로 그위에 5.5um정도의 GaN을 성장시켰다. 성장시 Trimethylgallium(TMGa)와 암모니아를 각각 Ga과 N 소스로 이용하였고 캐리어 가스는 수소를 사용하였다. 비대칭 결정성을 줄이기 위해 3D island growth mode에서의 성장조건을 바꾸어 c축과 m축 방향으로의 X-ray 결정성(FWHM) 차이가 564 arcsec에서 206 arcsec로 변화 시켰다. Normarski 현미경으로 표면을 관찰한 결과 v-defect이 없고 a-plane GaN에서 볼 수 있는 전형적인 줄무늬 패턴을 가지는 표면을 얻었으며 광학적 특성을 보기 위해 Photoluminescence (PL)을 측정하였다. -
GaN 기반 Light emitting diodes(LEDs)의 p-type doping layer는 일반적으로 hole을 발생시키는 acceptor로 Mg이 사용하되고 있다. 보통 Mg이 도핑된 p-type GaN은 >
$1\;{\Omega}{\cdot}cm$ 의 저항이 존재하는데 그 이유는 Mg의 열적 이온화를 위한 activation 에너지가 높아서 상온에서 valence band의 hole concentration는 전체 억셉터 농도의 1%가 되지 않기 ��문이다. 본 논문에서는 높은 hole 농도를 얻기 위해서 metalorganic chemical-vapor deposition (MOCVD)를 장비를 사용하여 사파이어 기판의 misorientation-angle에 따른 p-type a-plane(11-20) GaN 특성을 분석하였다. misorientation-angle은 c축 방향으로$+0.15^{\circ}$ ,$-0.15^{\circ}$ ,$-0.2^{\circ}$ ,$-0.4^{\circ}$ off된 r-plane(1-102) 사파이어 기판 을 사용하였다. p-type 도핑물질로 bis-magnesium (Cp2Mg) 소스를 사용하였고 성장 과정중 발생하는 hydrogen passivation으로 인한 Mg-H complexes현상을 해결하기위해 conventional furnace annealing (CFA)와 rapid thermal annealing (RTA)를 이용하여 열처리 공정을 진행하였다. 열처리 공정은 Air와 N2 분위기에서$650^{\circ}C$ 에서$900^{\circ}C$ 사이의 다양한 온도에서 수행하였고 Hall 측정을 위해 Ni을 전극 물질로 사용하였다. 상온에서 Accent HL5500IU Hall system을 사용하여 hole concentration, mobility, specific resistance을 측정하였다. 열처리 공정 후 Hall측정 결과$+0.15^{\circ}$ ,$-0.15^{\circ}$ ,$-0.2^{\circ}$ ,$-0.4^{\circ}$ off된 각 샘플들은 온도, 시간, 분위기에 따라 hole concentration ($7.4{\times}10^{16}cm^{-3}{\sim}6{\times}10^{17}cm^{-3}$ ), mobility(${\mu}h=\;1.72\;cm^2/V-s\;{\sim}15.2\;cm^2/V-s$ ), specific resistance(4.971 ohm-cm ~8.924 ohm-cm) 가 변화됨을 확인 할 수 있었다. 또한 광학적 특성을 분석하기 위해 Photoluminescence (PL)을 측정하였다. -
In the area of optoelectronic devices based on GaN and related ternary compounds, the two-dimensional system like as quantum wells (QWs) has been investigated as an effective structure for improving the light-emitting efficiency. Generally, the quantum well active regions in III-nitride light-emitting diodes grown on conventional c-plane sapphire substrates have critical problems given by the quantum confined Stark effect (QCSE) due to the effects of strong piezoelectric and spontaneous polarizations. However, the QWs grown on nonpolar templates are free from the QCSE since the polar-axis lies within the growth plane of the template. Also the unique characteristic of linear polarized light emission from nonpolar QW structures is attracting attentions because it is proper to the application of back-light units of liquid crystal display. In this study, we characterized optical properties of the a-plane InGaN/GaN QW structures by temperature-dependent photoluminescence (TDPL) measurements. From the photoluminescence (PL) spectrum measured at 300 K, green emission centered at 520 nm was observed for the QW region. Since indium incorporation on nonpolar QWs is lower than that on c-plane, this high indium-doping on a-plane InGaN QWs is not common. Therefore, the effect of high indium composition on optical properties in a-plane InGaN QWs will be extensively studied.
-
Lee, Kwang-Jae;Kim, Hyun-June;Park, Dong-Woo;Jo, Byoung-Gu;Kim, Jae-Su;Kim, Jin-Soo;Lee, Jin-Hong;Noh, Young-Min 173
Recently, a patterned sapphire substrate (PSS) has been intensively used as one of the effective ways to reduce the dislocation density for the III-nitride epitaxial layers aiming for the application of high-performance, especially high-brightness, light-emitting diodes (LEDs). In this paper, we analyze the growth kinetics of the atoms and crystalline quality for the undopped-GaN depending on the facets of the pattern fabricated on a sapphire substrate. The effects of the PSS on the device characteristics of InGaN/GaN LEDs were also investigated. Several GaN samples were grown on the PSS under the different growth conditions. And the undoped-GaN layer was grown on a planar sapphire substrate as a reference. For the (002) plane of the undoped-GaN layer, as an example, the line-width broadening of the x-ray diffraction (XRD) spectrum on a planar sapphire substrate is 216.0 arcsec which is significantly narrower than that of 277.2 arcsec for the PSS. However, the line-width broadening for the (102) plane on the planar sapphire substrate (363.6 arcsec) is larger than that for the PSS (309.6 arcsec). Even though the growth parameters such as growth temperature, growth time, and pressure were systematically changed, this kind of trend in the line-width broadening of XRD spectrum was similar. The emission wavelength of the undoped-GaN layer on the PSS was red-shifted by 5.7 nm from that of the conventional LEDs (364.1 nm) under the same growth conditions. In addition, the intensity for the GaN layer on the PSS was three times larger than that of the planar case. The spatial variation in the emission wavelength of the undoped-GaN layer on the PSS was statistically${\pm}0.5\;nm$ obtained from the photoluminescence mapping results throughout the whole wafer. These results will be discussed in terms of the mixed dislocation depending on the facets and the period of the patterns. -
Gallium Nitride(GaN) attracts great attention due to their wide band gap energy (3.4eV), high thermal stability to the solid state lighting devices like LED, Laser diode, UV photo detector, spintronic devices, solar cells, sensors etc. Recently, researchers are interested in synthesis of polycrystalline and amorphous GaN which has also attracted towards optoelectronic device applications significantly. One of the alternatives to deposit GaN at low temperature is to use Single Source Molecular Percursor (SSP) which provides preformed Ga-N bonding. Moreover, our group succeeds in hybridization of SSP synthesized GaN with Single wall carbon nanotube which could be applicable in field emitting devices, hybrid LEDs and sensors. In this work, the GaN thin films were deposited on c-axis oriented sapphire substrate by MBE (Molecular Beam Epitaxy) using novel single source precursor of dimethyl gallium azido-tert-butylamine(
$Me_2Ga(N_3)NH_2C(CH_3)_3$ ) with additional source of ammonia. The surface morphology, structural and optical properties of GaN thin films were analyzed for the deposition in the temperature range of$600^{\circ}C$ to$750^{\circ}C$ . Electrical properties of deposited thin films were carried out by four point probe technique and home made Hall effect measurement. The effect of ammonia on the crystallinity, microstructure and optical properties of as-deposited thin films are discussed briefly. The crystalline quality of GaN thin film was improved with substrate temperature as indicated by XRD rocking curve measurement. Photoluminescence measurement shows broad emission around 350nm-650nm which could be related to impurities or defects. -
이전 연구에서는 사파이어 기판 위에 이종에피성장 방법으로 성장한 높은 인듐 조성의 극박 InGaN/GaN 다층 양자우물 구조를 이용한 근 자외선 (near-UV) 영역의 광원에 대하여 보고하였다. 본 연구에서는 HVPE (Hydride Vapor Phase Epitaxy) 법을 이용하여 성장된 free-standing GaN 기판 위에 유기금속 화학증착법 (MOCVD) 을 이용하여 GaN 동종에피박막과 높은 인듐 조성의 InGaN/GaN 다층 양자우물을 성장하였고 그 특성을 분석하였다. Free-standing GaN 기판은 표면 조도가 0.2 nm 인 평탄한 표면을 가지며
$10^7/cm^2$ 이하의 낮은 관통전위밀도를 가진다. Freestanding GaN 기판 위에 성장 온도와 V/III 비율을 조절하여 GaN 동종에피박막을 성장하였다. 또한 100 nm 두께의 동종 GaN 박막을 성장한 후에 활성층으로 이용될 높은 인듐 조성의 InGaN/GaN 다층 양자우물구조를 성장하였다. Free-standing GaN 기판 위에 성장된 GaN 동종에피박막과 다층 양자우물구조의 표면 형상은 주사 탐침 현미경 (scanning probe microscopy, SPM) 을 이용하여 관찰하였고 photoluminescence (PL) 측정과 cathodoluminescence (CL) 측정을 통하여 광학적 특성을 확인하였다. 사파이어 기판 위에 성장된 2 um 의 GaN을 이용하여 성장된 높은 인듐 조성의 InGaN/GaN 다층 양자우물의 결함밀도는$2.5 \times 10^9/cm^2$ 이지만 동일한 다층 양자우물구조가 free-standing GaN 기판 위에 성장되었을 경우 결함 밀도는$2.5\;{\times}\;10^8/cm^2$ 로 감소하였다. Free-standing GaN 기판의 관통전위 밀도가$10^7/cm^2$ 이하로 낮기 때문에 free-standing GaN 기판에 성장된 높은 인듐 조성의 다층 양자우물구조의 결함밀도가 GaN/sapphire 에 성장된 다층 양자우물의 결함밀도 보다 감소했음을 알 수 있다. Free-standing GaN 기판에 성장된 다층 양자 우물은 성장온도에 따라 380 nm 에서 420 nm 영역의 발광을 보이며 PL 강도도 GaN/sapphire 에 성장한 다층 양자우물의 PL 강도 보다 높은 것을 확인할 수 있다. 이것은 free-standing GaN 기판에 성장된 높은 인듐 조성의 InGaN/GaN 다층 양자우물구조의 낮은 결함밀도로 인하여 활성층의 발광 효율이 개선된 것임을 보여준다. -
전자기술이 발전함에 따라 전자부품 소자는 소형화, 다기능화, 고집적화, 대용량화 되고 있다. 그에 따른 부품들의 고밀도화는 높은 열을 발생시켜 각종 전자부품을 기판으로부터 단락 시키거나 기능을 상실하게 하는 문제점이 발생된다. 이와 같은 문제점을 해결하기 위해서는 발생된 열을 가능한 빠르게 방열 시켜주는 것이 대단히 중요하고 높은 방열 특성을 가지는 금속 PCB기판의 중요성이 높아지고 있다. 하지만 금속을 PCB에 적용하기 위해서는 금속기판과 회로전극사이에 절연층이 반드시 필요하다. 본 실험에서는 RF-Magnetron Sputtering 방법을 이용하여 AlN(질화알루미늄)을 절연물질로 사용, Aluminum기판위에 후막을 제작하여 열적 전기적 구조적 특성을 분석 하였다. 스퍼터링시 아르곤과 질소 분압비에 따른 특성과 후막의 두께에 따른 열적, 전기적, 구조적 특성을 측정 분석하였고, 후열처리를 통하여 AlN 후막의 특성 측정 결과
$200^{\circ}C$ 로 후열처리 했을 경우 절연파괴전압이 후열처리 전 0.56kV보다 1.125kV로 높아지고 SEM 이미지 상의 AlN 입자 밀도가 더욱 조밀해지는 것으로 확인 하였다. 결론적으로 AlN를 RF-Magnetron Sputtering 방법으로 증착 금속 PCB의 절연물질로 적용하기 위해서는 적정한 가스분압비와 후열처리가 필요하며 이를 통하여 금속 PCB의 절연층으로 응용 가능성이 높을 것으로 사료된다. 본 연구는 한국 산업기술 진흥원의 사업화 연계 연구개발(R&BD)사업의 연구비 지원에 의한 것입니다. -
고효율 비정질 실리콘 박막 태양전지 제작을 위해서는 광파장대에서 optical confinement 능력을 최대화할 수 있는 기술이 필수적이다. 효율적인 photon trapping을 위해서는 back reflector를 사용하거나 전면전극인 투명전도성막의 표면에 요철을 형성하여 포획된 태양광의 내부 반사를 증가시키거나 전면 투명전극에서 반사를 감소시켜 태양광의 travel length를 증가시키는 방법이 일반적이며, 이를 통해 흡수층의 효율을 최대화할 수 있다. 이 중 전면전극으로 사용되는 투명전도성막은 불소가 도핑된 tin-oxide가 주로 사용되었으나, 최근 들어 Al이 도핑된 산화아연막을 이용한 비정질 실리콘 박막 태양전지 개발에 대한 연구도 활발히 진행되고 있다. 투명전극 증착후 표면의 유효면적을 증가시키기 위해 염산 용액을 이용하여 표면 텍스쳐링을 수행한다. 그후 흡수층인 p-i-n 층을 플라즈마 화학기상증착법을 이용하여 형성하는 것이 일반적이다. 이때 표면처리 된 투명전극은 수소플라즈마에 대해 특성이 변하지 않아야 고효율 비정질 실리콘 박막 태양전지 제조에 적용될 수 있다. 본 연구에서는 표면처리 된 AZO 투명전극의 수소플라즈마에 의한 특성 변화에 대해 고찰하였다. 먼저 AZO 투명전극은 스퍼터링 공정을 적용하여
$1\;{\mu}m$ 두께로 증착하였고, 0.5 wt%의 HCl 용액을 이용하여 습식 식각을 수행하였다. 수소플라즈마 처리 조건은$H_2$ flow rate 30 sccm, working pressure 20 mtorr, RF power 300 W, Temp$60^{\circ}C$ 이며 3분간 진행하였다. 표면형상은 수소플라즈마 전 후에는 큰 차이를 보이지 않았으며 AZO의 grain size는 각각 220 nm, 210 nm로 관찰되었다. 투명전극의 가장 중요한 특성인 가시광선 영역에서의 투과도는 수소플라즈마 처리전에는 90 % 이상의 투과도를 보였으나, 수소플라즈마 처리 후에는 85 %로 약간 저하된 특성을 보였다. 그러나 이는 박막 태양전지용 전면전극으로 사용하기 위한 투과도인 80 % 이상을 만족하는 결과로, 비정질 박막 실리콘 태양전지 제작에 사용될 수 있다. 또 하나의 중요한 특성인 Haze factor 역시 수소플라즈마 처리 전 후 모두 10 이상의 값을 나타냈다. 하지만 고효율 실리콘 박막 태양전지에 적용하기 위해서는 Haze factor를 증가시키는 공정 개발에 대한 추가 연구가 필요하다. -
We have deposited aluminum-doped ZnO thin films on borosilicate glass by atomic layer deposition. Diethylzinc (DEZ) and dimethylaluminum isopropoxide (DMAIP) were used as the metal precursor and the Al-dopant, respectively. Water was used as an oxygen source. DMAIP was successfully used as an aluminum precursor for chemical vapor deposition and ALD. All deposited films showed n-type conduction. The resistivity decreased to a minimum and then increased with increasing the aluminum content. The carrier concentration increased and the carrier mobility decreased with increasing the DMAIP to DEZ pulse ratio. The average optical transmittance was nearly 80 % in the visible part of the spectrum. The absorption edge moved to the shorter wavelength region with increasing the DMAIP to DEZ pulse ratio. Our results indicate that DMAIP is suitable for Al doping of ZnO films.
-
1차원 나노구조를 갖는 ZnO를 성장하기 위해 Laser ablation, Chemical vapor deposition (CVD), Chemical transport method, Molecular beam epitaxy, Sputtering 등의 다양한 형성법들이 이용되어지고 있다. 특히 대량생산과 경제성 측면에서 많은 장점을 가지고 있는 CVD를 이용한 ZnO 성장 및 응용 연구가 활발하게 수행되고 있다. 본 연구에서는 Thermal CVD를 이용하여 반응물질과 기판 사이의 거리, 기판온도,
$O_2$ /Zn 비율 등의 성장변수를 변화시켜 ZnO 나노구조를 성장하고 구조 및 광학적 특성을 연구하였다. Scanning electron microscope를 통한 구조 특성평가 결과 반응물질과 기판 사이의 거리가 13 cm 이하의 조건에서 ZnO 나노구조들은 나노판(Nanosheet)과 나노선(Nanowire)이 혼재하여 성장된 것을 보였다. 그리고 반응물질과 기판사이의 거리가 15 cm 이상부터 나노판이 없어지고 수직한 ZnO 나노막대(Nanorod)가 형성되었다. 상온 Photoluminescence 스펙트럼에서 반응물질과 기판사이의 거리가 5에서 15 cm로 증가할수록 결함 (Defect)에 의해 발생된 515 nm 파장의 최대세기 (Maximum intensity)가 10배 이상 감소한 반면, ZnO 나노구조에 의한 378 nm 파장의 NBE발광 (Near band edge emission)은 8배 이상 증가하였다. 이러한 구조 및 광학적 결과로부터, 질서 없이 성장된 것보다 수직 성장된 ZnO 나노구조의 결정질(Crystal quality)이 좋은 것을 확인하였다. 이를 바탕으로 성장변수에 따른 ZnO 나노구조의 형성 메커니즘을 Zn와 O 원자의 성장거동을 기반으로 한 모델을 이용하여 해석하였다. -
Thin film transistors (TFTs) based on oxide semiconductors have emerged as a promising technology, particularly for active-matrix TFT-based backplanes. Currently, an amorphous oxide semiconductor, such as InGaZnO, has been adopted as the channel layer due to its higher electron mobility. However, accurate and repeatable control of this complex material in mass production is not easy. Therefore, simpler polycrystalline materials, such as ZnO and
$SnO_2$ , remain possible candidates as the channel layer. Inparticular, ZnO-based TFTs have attracted considerable attention, because of their superior properties that include wide bandgap (3.37eV), transparency, and high field effect mobility when compared with conventional amorphous silicon and polycrystalline silicon TFTs. There are some technical challenges to overcome to achieve manufacturability of ZnO-based TFTs. One of the problems, the stability of ZnO-based TFTs, is as yet unsolved since ZnO-based TFTs usually contain defects in the ZnO channel layer and deep level defects in the channel/dielectric interface that cause problems in device operation. The quality of the interface between the channel and dielectric plays a crucial role in transistor performance, and several insulators have been reported that reduce the number of defects in the channel and the interfacial charge trap defects. Additionally, ZnO TFTs using a high quality interface fabricated by a two step atomic layer deposition (ALD) process showed improvement in device performance In this study, we report the fabrication of high performance ZnO TFTs with a$Si_3N_4$ gate insulator treated using plasma. The interface treatment using electron cyclotron resonance (ECR)$O_2$ plasma improves the interface quality by lowering the interface trap density. This process can be easily adapted for industrial applications because the device structure and fabrication process in this paper are compatible with those of a-Si TFTs. -
RF magnetron sputtering을 이용하여 RF power 및 공정 압력에 따라 ZnO 박막을 유리기판 위에 제작하고 구조적, 광학적, 전기적 특성을 조사하였다. 박막 증착 조건의 초기 압력은
$1.0{\times}10^{-6}\;Torr$ , 증착온도는 상온으로 고정하였으며 기판은 Corning 1737 유리 기판을 사용하였다. 공정 변수로 RF파워는 25W, 50W, 75W, 100W로 변화시키고, 증착 압력은 20m, 100m, 200m 300mTorr로 변화시켰다. 유리기판 위에 증착된 모든 ZnO 박막에서 (002) 면의 우선배향성이 관찰되었고 RF power가 50 W와 75W 에서 좋은 결정성을 나타내었다. 공정조건별로 제작된 모든 ZnO박막에서 85% 이상의 투과율을 나타내었으며, 증착압력이 증가함에 따라 광학적인 밴드 갭이 증가하였다. Hall 측정 결과 모든 샘플에서 n타입 특성이 확인되으며, 75W와 300mTorr일 때 전기비저항$3.56\;{\times}\;10^{+1}\;{\Omega}cm$ , 전하의 농도$2.8\;{\times}\;10^{17}cm^{-3}$ , 이동도$0.613\;cm^2V^{-1}s^{-1}$ 로 반도체 활성층으로 가장 적합한 전기적 특성을 얻었다. RF 파워가 증가하고, 증착압력이 증가할 수록 ZnO 박막 특성이 좋아지는 경향성을 확인하였다. -
본 연구에서는 Pt 배면전극에 다양한 조건에서의 ZnO를 성장하여 Schottky 구조를 제작, 접합 특성 및 자외선 검출 특성을 연구하였다.
$Al_2O_3$ 기판에 Mirror-like하며 고결정성을 갖는 Pt(111) 배면전극을 형성 후, ZnO 박막의 성장 조건에 따른 접합 특성을 확인하기 위하여 기판온도와 산소분압을 각각$400{\sim}600^{\circ}C$ ($50^{\circ}C$ 단계), 0~60 sccm (15 sccm 단계)로 성장하였다. 이에 따른 구조적 특성변화를 확인하기 위하여 주사전자현미경 및 X선 회절 특성을 분석하였으며, 전류-전압 특성 곡선을 분석을 통하여 최적의 Schottky contact 형성을 위한 ZnO 성장조건을 규명하고자 하였다.$H_2O_2$ 를 이용한 표면처리와 Rapid Thermal Annealing (RTA)를 이용한 열처리 과정을 통하여 표면 처리 전 후의 전기적 특성과 광학적 특성의 변화를 비교 분석하였다. 또한 Ohmic 접촉으로 상부전극을 형성, ZnO Schottky photodiode 구조를 제작하여 UV-A, B, C 영역에 따른 UV반응 특성을 분석하였다. -
본 연구에서는 기존의 투명전도박막(ITO) 재료인 Sn 성분을 Zn로 치환하여, Zn의 성분함량 변 화에 따른 투명전도박막의 특성을 조사하기 위하여, Zn이 100% 치환된
$In_2O_{3(90wt%)}-ZnO_(10wt%)$ (IZO) 그리고 Zn이 3 %와 7 % 치환된$In_2O_{3(90wt%)}-ZnO_{(3wt%)}-SnO_{2(7wt%)}$ ,$In_2O_{3(90wt%)}-ZnO_{(7wt%)}-SnO_{2(3wt%)}$ (IZTO) 등의 타겟을 제작하여 RF-magnetron sputtering 법으로 투명전도박막을 성장하였다. 각각의 박막에 대해서 전기적 특성조사와 가시광선영역에서의 광투과도 특성, 성막 특성, 그리고 구조적 특성을 조사하였다. Sn이 100% Zn으로 치환된 IZO 박막의 경우 조성 성분비가 90 : 10 wt.%에서 비저항 값이$5.2{\times}10^{-4}\;{\Omega}cm$ 정도로 전기전도성이 매우 우수한 것으로 나타났으며, 또한 X-ray 회절패턴 분석결과 성분비에 관계없이 비정질구조임을 확인 하였다. Sn이 일부 Zn으로 치환된 IZTO 박막의 경우 성분비가 90(In) : 7(Zn) : 3(Sn) wt%의 경우 비저항 값은$6.5{\times}10^{-4}\;{\Omega}cm$ 정도로 우수한 것으로 나타났으며, X-ray 회절패턴 분석결과 비정질 구조임을 확인하였다. 광학적 특성으로는 가시광선영역(400~780nm)에서 IZO, IZTO 박막은 85% 이상의 매우 우수한 투과율을 나타내었다. -
Write-once-read-many times (WORM) 메모리 소자는 1회에 한해 쓰기 가능한 저장 장치로서 반영구적인 기록 보존을 필요로 하는 분야에서 널리 사용되는 저항 구조의 비휘발성 메모리 소자이다. 무기물을 사용한 WORM 메모리 소자의 제작과 소자의 전기적 특성에 관한 많은 연구가 활발히 진행되었으나 절연성 고분자인 Polystyrene (PS) 박막에 분산된 ZnO 나노입자를 이용한 무기물/유기물 복합 구조의 WORM 메모리 소자에 관한 연구는 상대적으로 미흡하다. 본 연구에서는 ZnO 나노입자가 분산되어 있는 PS를 스핀코팅 방법으로 박막 형태로 증착하여 WORM 메모리 소자를 제작하고 전기적인 성질을 조사하였다. 소자를 제작하기 위해 ZnO 나노 입자와 PS를 용매인 N,N-디메틸포메미드에 혼합하여 소자를 제작하였다. 그 후 하부 전극인 ITO가 증착되어 있는 유리 기판 위에 ZnO와 PS가 분산되어 있는 고분자 용액을 스핀 코팅 방법으로 도포한 후에 열을 가해 용매를 제거하여 박막을 형성하였다. ZnO 나노입자가 분산되어 있는 PS 박막 위에 Al을 상부 전극으로서 증착하였다. 전압을 인가하여 측정한 전류-전압 특성은 1.5 V에서 소자의 전도도가 크게 향상이 되는 것을 관측하였다. 읽기 전압에서 낮은 전도도(OFF 상태)와 높은 전도도 (ON 상태)의 크기는
$10^3$ 으로 이며, ON 상태가 된 이후에는 OFF 상태로 전환되지 않는 전형적인 WORM 메모리 소자의 특성이 관측되었다. ZnO 나노 입자가 없이 PS 만으로 박막을 제작한 소자는 쌍안정성 특성이 나타나지 않았다. 따라서 소자에서 전류 쌍안정성으로 나타난 원인은 PS안에 분산되어 있는 ZnO 나노입자에 기인함을 알 수 있었다. 제작된 WORM 메모리 소자의 기억 유지 특성에 대한 결과는 장시간에 걸친 측정에서 ON 전류 및 OFF 전류의 변화가 거의 없었다. 이 실험 결과는 제작된 무기물/유기물 복합 구조를 가진 WORM 메모리 소자는 우수한 기억 특성을 가지고 있으며 반영구적인 메모리 소자로 사용할 수 있음을 제시하고 있다. -
유기물과 무기물이 혼합된 나노 복합재료는 저전력 동작을 요구하는 휘어짐이 가능한 차세대 전자소자 응용에 대단히 유용한 소재이다. 간단하고 저렴한 제조 공정과 휘어짐이 가능한 유기물과 무기물이 혼합된 나노 복합재료를 사용한 비휘발성 메모리 소자의 제작과 전기적 특성은 연구되었다. 최근에 간단한 방법으로 고집적화된 휘어짐이 가능한 유기 쌍안정성 소자의 제작에 대한 연구가 진행되고 있다. 그러나 유기 쌍안정 소자의 기억 메커니즘에 대한 연구는 비교적 적게 연구되었다. 유기 쌍안정성 소자의 기억 메커니즘에 대한 연구는 효율과 신뢰성을 증진하기 위하여 대단히 중요하다. 본 연구에서는 polymethyl methacrylate (PMMA) 층에 콜로이드 ZnO 양자점을 혼합하여 제작한 유기 쌍안정성 소자의 전기적 성질과 기억 메커니즘에 대한 것을 연구하였다. 본 연구에 사용된 콜로이드 ZnO 양자점은 dimethylformamide를 사용한 환원법을 이용하여 제작하였다. 소자를 제작하기 위하여 PMMA에 대한 콜로이드 ZnO 양자점의 조성비가 1.5 wt% 가 되도록 dimethylformamide에 녹여 혼합한 용액을 하부 전극인 ITO가 증착된 유리기판위에 스핀코팅 방법을 이용하여 박막을 형성하였다. 콜로이드 ZnO 양자점을 포함한 PMMA 박막위에 상부전극으로 Al을 증착하였다. 복합 소재에 대한 투과 전자 현미경 상은 콜로이드 ZnO 양자점이 PMMA 층 안에 형성되어 있음을 보여주었다. 측정된 전류-전압(I-V) 특성은 쌍안정성 특성을 나타내었으며 이 결과는 콜로이드 ZnO 양자점에서 전하 포획, 저장과 방출 과정에 의한 것이다. 콜로이드 ZnO 양자점을 포함한 PMMA 박막을 저장 영역으로 사용한 유기 쌍안정성 소자의 I-V 측정결과를 바탕으로 전하 수송 모델과 전자적 구조를 사용하여 기억 메커니즘을 논하였다.
-
액정디스플레이, 유기발광소자 및 태양전지에서 전도성 투명전극으로 indium-tin-oxide (ITO)가 일반적으로 많이 사용되고 있지만 인듐의 희소성과 유독성으로 인하여 ITO를 대체할 수 있는 물질에 대한 많은 연구가 현재 진행되고 있다. ITO 전극을 대체할 수 있는 물질 중에서 Al 도핑된 ZnO (AZO) 박막은 높은 전도성과 광학적 투과성 때문에 다양한 광전소자의 전극과 윈도우 물질로 많은 응용 가능성을 보여주고 있다. 본 연구에서는 여러 가지 스퍼터링 증착 조건에서 증착된 AZO 박막의 전기적특성과 광학적 특성을 조사하였다. 기준시료의 AZO 박막 증착 조건은 ZnO-2 wt.%
$Al_2O_3$ 세라믹 타겟을 사용하였고$250^{\circ}C$ 의 기판 온도에서 100 W 전력으로 5 mTorr의 진공 분위기에서 증착되었다. 최적의 AZO 박막 조건을 얻기 위해 증착 온도와 증착 챔버의 압력을 변화하면서 AZO 박막의 전기적 특성 변화와 광학적 특성 변화를 조사하였다. 4-포인트 프로브 측정과 홀 효과측정으로 각기 다른 조건에서 증착한 AZO 박막의 비저항과 전하농도 값을 비교 분석하였고 UV 스펙트로미터 측정을 통해서 AZO 박막의 투과율을 조사하였다. 스퍼터링 방법으로 증착된 AZO 박막은 높은 전도성과 광학적 투과성을 가지기 때문에 액정디스플레이, 유기발광소자 및 태양전지의 투명전극으로 사용할 수 있음을 알 수 있었다. -
ZnO의 나노 구조는 화학적으로 안정하고 큰 결합에너지를 가지는 성질 때문에 청색 영역에서 작동하는 광전소자의 제작에 대단히 유용하다. ZnO 나노 구조들은 화학 기상 성장법, 기상 에피텍시 성장법, 화학적 용액 성장법과 같은 여러 가지 방법으로 성장하고 있다. 여러 가지 성장방법 중에서도 전기 화학 증착법으로 성장된 ZnO의 나노 구조는 가격이 저렴하고 낮은 온도에서 성장이 가능하며 대면적화를 할 수 있는 장점이 있다. 전기 화학 증착법으로 ZnO을 성장할 때 3개의 전극을 사용하여 성장하였다. ITO 기판을 음극으로 백금 전극을 양극으로 사용하였고 기준 전극은 Ag/AgCl을 사용하였다. Zinc Nitrate의 몰 농도를 변화하면서 ZnO 나노구조를 성장 하였다. 성장한 ZnO 나노구조를
$400^{\circ}C$ 에서 2 분정도 열처리를 하였다. 성장된 ZnO을 X-선회절장치를 분석하게 되면 (0002) 피크가$34.35^{\circ}$ 에서 주되게 나타났다. 주사 전자 현미경상은 Zinc Nitrate의 몰 농도가 낮을 때 성장한 ZnO 는 나노세선 형태로 형성되었음을 보여주었다. Zinc Nitrate의 농도가 높아지게 되면 ZnO 나노구조가 나노 막대 또는 나노 접시 모양으로 변화되었다. 300 K에서 광루미네선스 스펙트럼은 형성된 나노구조가 엑시톤과 관련된 주된 피크가 Zinc Nitrate 농도에 따라 변화하게 되는 것을 알 수 있었다. 이 실험결과는 ZnO 나노구조의 미세구조와 광학적 성질이 Zinc Nitrate의 농도에 영향을 많이 받는 것을 알 수 있었다. -
최근까지는 주로 비정질 실리콘이 디스플레이의 채널층으로 상용화 되어왔다. 비정질 실리콘 기반의 박막 트랜지스터는 제작의 경제성 및 균일성을 가지고 있어서 널리 상용화되고 있다. 하지만 비정질 실리콘의 구조적인 문제인 낮은 전자 이동도(< 1 cm2/Vs)로 인하여 디스플레이의 대면적화에 부적합하며, 광학적으로 불투명한 특성을 갖기 때문에 차세대 디스플레이의 응용에 불리한 점이 있다. 이런 문제점의 대안으로 현재 국내외 여러 연구 그룹에서 산화물 기반의 반도체를 박막 트랜지스터의 채널층으로 사용하려는 연구가 진행중이다. 산화물 기반의 반도체는 밴드갭이 넓어서 광학적으로 투명하고, 상온에서 증착이 가능하며, 비정질 실리콘에 비해 월등히 우수한 이동도를 가짐으로 디스플레이의 대면적화에 유리하다. 특히 Zinc Oxide, Tin Oxide등의 산화물이 연구되고 있으며, indium이나 aluminum등을 첨가하여 전기적인 특성을 향상시키려는 노력을 보이고 있다. 본 연구에서는 Zinc Oxide 기반의 박막 트랜지스터를 DC magnetron sputtering를 이용하여 상온에서 제작한 후 다양한 조건에서의 후열처리를 통하여 소자의 특성의 최적화를 이루는 것을 시도하였다. 그리고 ITO를 전극으로 사용하여 bottom gate 구조의 박막 트랜지스터를 만들고 air 분위기에서 온도별, 시간별 열처리를 진행하였다. 또한 gate insulator의 처리를 통하여 thin film의 interface 개선을 통하여 소자의 성능 향상을 시도 하였다. semiconductor analyzer로 소자의 출력 특성 및 전이 특성을 평가하였다. 그 결과 기존의 a-Si 기반의 박막 트랜지스터보다 우수한 이동도의 특성을 갖는 ZnO 박막 트랜지스터를 얻었다. 그리고 이를 바탕으로 ZnO를 이용하여 대면적 적합한 디스플레이를 제작할 수 있다는 가능성을 보인다. 그리고 Temperature, Bias Temperature stability, 경시변화 등의 다양한 조건에서의 안정성을 평가하여 안정성이 향상을 확보하여 비정질 실리콘을 대체할 유력한 후보중위 하나가 될 것이라고 생각된다.
-
ZnO nanorods 구조는 광소자 및 태양광 소자의 성능을 향상시키기 위해서 무반사계수, 광추출효율, 전기적, 열적 전도도를 개선시킬 수 있어, 매우 큰 관심을 가지고 왔다. 또한 Ag 나노입자는 표면 플라즈몬 효과를 이용하여 LED나 태양전지에 응용하여 소자의 성능이 향상됨을 이론적, 실험적으로 증명되어 왔으며, 현재에도 활발한 연구가 진행되고 있다. 이러한 ZnO nanorods 특성과 Ag 나노입자의 표면 플라즈몬 효과를 이용하기 위해서, 본 연구에서는 Ag 나노 입자를 형성된 ZnO seed층에 ZnO nanorods를 성장시켰다. 시료를 제작을 위해서 비교적 성장이 간단하고 저온성장이 가능한 화학적 합성방법을 이용하였다. Ag 나노입자가 형성된 ZnO seed층 제작을 위해서 먼저 Si 기판위에 RF magnetron 스퍼터를 이용하여 고진공,
$N_2$ 분위기에서 일정한 두께로 증착을 하였으며, 이후 Ag 박막을 thermal evaporator로 10 nm 두께로 증착하였다. 그 다음, 크기가 다른 Ag 나노입자를 형성을 위해서 rapid thermal annealing (RTA)을 여러 가지 온도에서 수행하였다. 그리고 이러한 시료들를 이용하여, ZnO nanorods를 성장하기 위하여,$90-95^{\circ}$ 의 온도에서 zinc nitrate$Zn(NO_3)_2{\cdot}6H_2O$ 과 hexamethylentetramines (HMT)으로 혼합된 용액에 담가두어 ZnO nanorods를 성장시켰다. Ag 나노입자의 크기에 따라 ZnO nanorods의 구조와 형태에 대하여 어떠한 영향을 주는지를 관찰하기 위해 field emission scanning electron microscopy (FE-SEM)을 이용하여 측정하였으며, Ag와 ZnO의 성분분석과 결정성을 조사하기 위해 X-ray diffraction (XRD)을 이용하여 분석하였다. 그리고 표면 플라즈몬에 의한 영향에 대하여 조사하기 위해, ZnO nanorods와 Ag 나노입자가 형성된 ZnO nanorods를 UV-Vis-NIR spectrophotometer을 이용하여 흡수계수와 반사계수를 비교하여 측정하였으며. 태양전지의 성능향상을 수 있음을 이론적으로 계산하였다. 그리고 또한 photoluminescence (PL) 분석을 수행하여 ZnO nanorods의 구조에 대하여 Ag 나노입자의 영향에 대한 광특성을 측정하였다. -
Influence of RTA treatments on optical properties of ZnO nanorods synthesized by wet chemical methodZinc oxide is the most attractive material due to the large direct band gap (3.37 eV), excellent chemical and thermal stability, and large exciton binding energy (60 meV). Recently, ZnO nanorods were used as the high efficient antireflection coating layer of solar cells based on silicon (Si). In this reports, we studied the effects of rapid thermal annealing (RTA) treatment on optical properties of ZnO nanorods. For fabrication of ZnO nanorods, there are many methods such as hydrothermal method, sol-gel method, and metal organic chemical vapor deposition method. Among of them, we used the conventional wet chemical method which is simple and low temperature growth. In order to synthesize the ZnO nanorods, the ZnO films were deposited on Si substrate by RF magnetron sputtering at room temperature and the samples were dipped to aqua solution containing the zinc nitrate and hexamethylentetramines (HMT). The synthesis process was achieved in keeping with temperature of
$90-95^{\circ}C$ and under constant stirring. The morphology of ZnO nanorods on glass and Si was characterized by scanning electron microscopy. For the analysis of antireflection performance, the reflectance and transmittance were measured by spectrophotometer. And for analyzing the effects of RTA treatment on ZnO nanorods, crystalline properties were investigated by X-ray diffraction measurements and optical properties was estimated by photoluminescence spectra. -
차세대 디스플레이를 위한 소자로 활용 가능한 Oxide Semiconductor TFT를 bottom gate 타입의 TFT 소자를 제작하였다. 투명 박막 트랜지스터 제작과 관련해서 ITO가 증착된 glass 기판을 gate 전극으로 사용하였고, 게이트 dielectric으로
$SiO_2/Si_3N_4$ 를 PECVD 방법을 사용해 증착하였으며, 채널 영역으로 ZnO를 RF magnetron sputtering을 이용하여 RF power 및 공정 압력에 따른 구조적, 광학적, 전기적 특성을 조사하였다. ZnO 박막의 공정 변수로 RF파워는 25W, 50W, 75W, 100W로 변화시키고, 증착 압력은 20m, 100m, 200m 300mTorr로 변화시켰다. Source/Drain 사이에 채널 형성 및 게이트 dielectric에서 누설전류가 TFT 특성에 미치는 영향을 연구하였다. ZnO 박막은 증착 파워 및 공정 압력에 따라 박막의 결정성이 현저하게 변화하는 것을 알 수 있었으며, 그러한 박막의 미세구조 가 TFT의 전기적인 특성에 크게 영향을 미치는 것으로 판단된다 -
Transparent Conductive Oxides (TCO) 박막은 지금 까지 산업 전반에 걸쳐 많이 응용되어 사용되어지는 박막 중에 하나이다. 그대표적인 산업은 디스플레이 산업 중 평면디스플레이 산업에서 투명 전극으로 사용하는 LCD 및 터치패널에 사용되는 전극으로 사용되어져 왔다. 현재에는 솔라 셀의 전극 및 기판으로서의 응용이 많이 연구되어지고 있다. 이와 같은, 산업에서 사용되는 투명전극 재료는 낮은 전기적 특성 및 애칭특성이 우수하고 높은 광 투과도를 필요로 하고 있으며, 이러한 특성을 모두 만족하며 가장 우수한 물성을 나타내는 물질이 (Indium Tin Oxide) film이다. 하지만 Indium의 고갈과 희소성에 따른 고가라는 점의 문제로 인해 대체재료로써 부상되고 있는 ZnO의 연구가 활발히 진행되고 있다. 본 연구에서는 투명 전도성 산화물인 ZnO박막과 Al이 도핑된 AZO박막을 저온공정이 가능한 대향 타겟식 스퍼터링 방법(FTS)을 이용하여 산소가스 분압과 Al타겟에 인가되는 Current에 따른 박막의 전기적, 광학적 특성을 파악하여 적용여부에 대해 조사하였다. ZnO박막의 결정성은 유입되는 산소가스의 유량에 따라 증가하며 일정 영역이상에서는 감소하였다. 산소가스 유량이 1.2 sccm일 때 가장 높은 결정성을 얻었다. 또한 산소가스 유량을 1.2 sccm으로 고정시킨 후 Al타겟에 인가되는 Current에 변화를 주었을 때 0.5A에서 가장 낮은 비저항을 얻었다. ZnO박막의 미세구조는 Xray-diffraction method를 이용하여 측정하였고, 산소 분압에 따른 표면조도 분석을 위해 AFM을 사용하였고 Zn와 Oxide bonding의 화학적 분석을 위해 XPS를 이용하여 분석하였다. 또한 전기적 특성은 Hall measurement, 광 투과도는 UV-VIS Spectrometer를 이용하였다.
-
HIPIMS(High sputtering impulse magnetron sputtering)은 수십
${\mu}s$ 의 짧은 pulse 동안 수kw의 매우 높은 파워를 인가할 수 있어 밀도$10^{13}/cm^3$ 이상의 고밀도 플라즈마 방전이 가능하여 스퍼터된 타겟 이온들의 이온화율이 매우 높은 특징을 가진다. HIPIMS를 통해 증착한 박막의 경우 매우 치밀한 조직을 가지고 있어 기존 DC, Pulsed DC, RF 증착을 통한 박막에 비해 우수한 물성을 보여준다. 본 실험에서는 대면적의 고품위 Al:ZnO 박막을 증착하기위하여 HIPIMS 증착법을 사용하였다. 1000mm폭 타겟상에서 균일한 증착을 위하여 Balanced B-field, Unbalanced field를 각각 인가하여 실험하였다. 시뮬레이션을 통하여 타겟 중심부와 가장자리의 자기장을 결정하였으며, target edge에서의 증착율과 cathode erosion 방지를 위하여 원형 트랙형으로 보조 자석을 설치하였다.$Al_2O_3$ (2wt%)가 첨가된 planar target을 사용하였고, power는 700 W~2 kW, 그리고 pulse 폭은$50-150 {\mu}s$ 정도로 변화시켜 가면서 상온에서 증착하였다. 플라즈마 가스로는 Ar만을 사용하여 두께는 60-100 nm정도로 증착하였다. Plasma emission monitoring을 통해 측정한 결과 Balanced B-field 에 비해 Unbalanced B-field 조건 에서 스퍼터된 이온들의 균일도가 우수하였으며 증착된 박막의 균일도 또한 증가하였다. -
태양전지용 투명전도막에 사용되는 Al-doped ZnO (AZO) 막은 저가이면서도 가시광역 영역에서 갖는 우수한 투과율과 낮은 비저항을 갖는 특성 때문에 ITO의 대체 재료로서 최근 활발한 연구가 진행되고 있다. 특히, 양산 현장에서는 in-line type의 대형 sputtering system에서 증착하고 있으며 높은 증착 속도와 박막 특성의 균일도가 중요한 과제다. 본 연구에서는
$2\;m\;{\times}\;1\;m\;{\times}\;0.2\;m$ 의 sputtering system에서 기판 캐리어를 이용해서 커다란 기판을 좁고 긴 타겟의 양쪽으로 왕복 운동을 하는 swing dynamic deposition 방법으로$272\;mm\;{\times}\;500\;mm$ 크기의 AZO target (Al 2 wt%)을 이용하여 bipolar pulsed dc로 증착하였다. 이 시스템의 배기는 TMP와 cryo pump를 이용해서$5\;{\times}\;10^{-7}\;Torr$ 의 기본 진공도를 얻으며, 공정 중에는 TMP만 사용하였다. 하지만, 본 시스템의 TMP는 비대칭 적으로 한쪽에 치우쳐 설치되어 있는데, 이것이 챔버 내에서 공정 가스인 Ar의 유동의 불균일도를 초래하게 되며, 그것이 증착되는 박막의 두께 균일도 및 특성 균일도에 영향을 주고 있음을 알 수 있었다. 본 연구에서는 다른 기본 진공도에서 증착된 AZO 박막의 특성 차이를 알아보고 비대칭 배기 구조가 in-line type 시스템에서 어떠한 두께 및 특성 불균일도를 가져오는지, 그리고 시스템 내부에 발생시키는 압력 불균일도를 상용 3차원 전산 유체해석 프로그램인 CFD-ACE+를 이용하여 분석하였다. -
$Ga_2O_3$ thin films have been grown on Si(001) substrates by metalorganic chemical vapor deposition (MOCVD) using dimethylgallium isopropoxide ($Me_2GaO^iPr$ , DMGIP) with oxygen as the reactant gas. Suitability of the precursor for CVD was confirmed by thermogravimetric analysis (TGA) and vapor pressure measurement. Deposition was carried out in the substrate temperature range$450-650^{\circ}C$ . Spectroscopic ellipsometry, X-ray diffraction (XRD), and X-ray photoelectron spectroscopy (XPS) and Rutherford back-scattering spectroscopy (RBS) were used to determine the thickness, crystallinity, and composition and stoichiometry of the films, respectively. From the slope of the Arrhenius plot in the temperature range$500-550^{\circ}C$ , the activation energy of deposition was found to be$225.5\;kJ\;mol^{-1}$ . As-deposited films were amorphous, but the monoclinic$\beta-Ga_2O_3$ phase was revealed after annealing the films in air at$1050^{\circ}C$ . The XPS and RBS analyses indicate that the$Ga_2O_3$ films obtained by using DMGIP were found to be almost stoichiometric. -
본 연구에서는 플렉시블 GZO 단일 박막과 GZO/Ag/GZO (GAG) 다층 박막을 연속 성막이 가능한 롤투롤 스퍼터링 시스템을 이용하여 상온 공정을 통해 성막하여 그 특성을 분석 하였다. 일반적으로 고품위의 GZO 박막을 제작하기 위해서는 고온 공정이 필수적인 것으로 알려져 있으나 본 실험에서는 플렉시블 PES 기판상에 상온 공정을 통해 후 열처리 없이 고품위의 GZO, GAG 박막을 얻을 수 있었다. 단일 GZO 박막은 공정 압력과 산소 유입량을 변화하여 제작하였고 GAG 다층 박막은 GZO-Ag-GZO로 이루어진 3개의 sputter gun을 이용하여 Ag 두께를 변수로 연속공정을 통해 제작하였다. 구조적, 표면석 특성 분석을 위해 XRD(X-ray diffraction), FE-SEM(Field emission scanning electron microscopy), HRTEM (High resolution electron microscopy)를 이용하였으며 광학적, 전기적 특성을 분석하기 위해 UV/Vis spectrometer, Hall effect measurement를 각각 이용하였다. 최적화된 GZO 단일 박막은 상온에서 열처리 없이 성막되었음에도 불구하고 38 ohm/sq의 낮은 저항과 86 %의 높은 투과도를 나타내었으며 GAG 다층 박막은 12 nm의 Ag 두께에서 6.4 ohm/sq의 낮은 저항과 88 %의 높은 투과율을 나타내었다. 특히 기계적 특성을 분석하기 위해 진행된 bending test에서 GAG 박막은 초기와 test 후에 저항과 표면에 변화가 없는 우수한 특성을 보였으며 이를 통해 플렉시블 태양전지와 디스플레이등 광학소자의 투명 전극으로서의 적용 가능성을 확인 할 수 있었다.
-
Rapid Thermal Annealing 열처리 온도에 따른 유기태양전지용Nb:
$TiO_2$ /Ag/Nb:$TiO_2$ 다층 투명전극의 전기적, 광학적, 구조적 및 표면 특성 연구본 연구에서는 RF/DC dual 마그네트론 스퍼터 시스템을 이용하여 Glass 기판 상에 유기태양전지용 Nb-doped$TiO_2$ (NTO)/Ag/NTO 다층 투명전극을 성막하고 이 다층 투명전극을$200^{\circ}C{\sim}700^{\circ}C$ 온도 범위에서 급속 열처리 (Rapid Thermal Annealing ; RTA)를 통하여 전기적, 광학적, 구조적 및 표면의 특성 변화를 연구하였다. Hall effect measurement, UV-Vis spectrometer, FESEM 분석을 통하여 다층투명전극의 전기적, 광학적, 표면분석을 하였고 Synchrotron 분석을 통하여 온도에 따른 구조변화를 분석하였다. 상온에서 성막된 다층투명전극은 30nm 두께의 NTO 박막 사이에 얇은 9nm의 얇은 Ag 층을 삽입한 구조로써 10ohm/square 이하의 매우 낮은 면저항과${\sim}10^{-5}\;ohm-cm$ 의 비저항, Anti-reflection 효과에 의해 85% 이상의 높은 광투과성을 나타내었다. RTA 온도가 증가함에 따라 전기적, 광학적 특성은 약간 향상되었고 비정질 구조를 유지함을 알 수 있었다. 그러나 높은 온도범위에서는 비정질 구조에서 Anatase 상으로 결정구조가 변화함을 알 수 있었고 전기적, 광학적 특성이 감소됨을 알 수 있었다. NTO/Ag/NTO 다층 투명전극을 유기태양전의 Anode로 적용하여 특성을 비교한 결과 RTA 온도가 증가함에 따라 유기태양전지의 효율 또한 증가하였고 최적화된 온도 조건에서 2.49% 의 높은 효율을 얻을 수 있었다. 이를 통해 우수한 특성을 나타내는 NTO/Ag/NTO 다층투명전극이 기존의 디스플레이 및 태양전지 등의 투명전극 재료로 주로 사용되어 온 ITO (Indium Tin Oxide) 를 대체 할 수 있는 재료로써의 가능성을 제시하였다. -
산화물 반도체는 넓은 밴드갭을 가지고 있어 가시광에서 투명하며 높은 이동도로 디스플레이 구동 회로 집적에 유리하다. 또한 가격 및 공정 측면에서도 기존의 Si 기판 소자에 비해 여러 장점을 가지고 있어 차세대 디스플레이의 핵심 기술로 산화물반도체에 대한 관심이 높아지고 있다. 본 연구는 RF 동시 스퍼터링법을 이용하여 Zn-Sn-O 박막을 제조하고, 그 전기적, 광학적, 구조적 특성에 대해 조사하였다. 일정한 증착 온도(
$100^{\circ}C$ )에서 ZnO와$SnO_2$ 타켓의 인가 파워를 조절하여 Sn/(Zn+Sn) 성분비가 약 40~85%인 Zn-Sn-O 박막을 제조하였다. Sn 함량이 증가할수록 박막의 비저항은 약$2{\times}10^{-1}$ (Sn 45%)에서 약$2\;{\times}\;10^{-2}\;{\Omega}{\cdot}cm$ (Sn 67%)까지 감소하다가 다시 증가하는 경향을 보였다. 이 때 캐리어 농도는$3\;{\times}\;10^{18}$ 에서$4\;{\times}\;10^{19}\;cm^{-3}$ 으로 증가하였으며, 이동도는 11에서$8\;cm^2/V{\cdot}s$ 로 약간 감소하였다. XRD분석결과, 제조된 모든 Zn-Sn-O 박막은 비정질 구조를 가짐을 확인하였다. 투과율은 박막 내 Sn함량 증가에 따라 감소하나 모든 시편이 약 70%이상의 투과도를 나타내었다. Zn-Sn-O 박막의 Ga 도핑 영향을 확인하기 위해 ZnO 타켓 대신 갈륨이 5.7 wt.% 도핑된 GZO 타켓을 사용하여 동일한 공정조건에서 박막을 제조하였다. Ga이 첨가된 Zn-Sn-O 박막은 구조적 특성과 광학적 특성에서는 큰 차이를 보이지 않았으나, 전기적 특성의 뚜렷한 변화가 관찰되었다. Sn 함량이 45%인 Zn-Sn-O 박막의 경우, 캐리어 농도가$3.1\;{\times}\;10^{18}$ 에서 Ga 도핑 효과로 인해$1.7\;{\times}\;10^{17}\;cm^{-3}$ 으로 크게 감소하고 이동도는 11에서$20\;cm^2/V{\cdot}s$ 로 증가하였다. 따라서 본 연구는 Zn-Sn-O 비정질 박막에 Ga을 도핑함으로써 산화물 반도체재료로서 요구되는 물성을 만족시킬 수 있다는 가능성을 제시하였다. -
XRR(X-ray reflectometry)은 나노 스케일 박막의 두께를 측정하는 유망한 도구로 인식되고 있고, XRR측정 결과의 신뢰성을 향상시키기 위하여 많은 연구가 이루어지고 있다. 본 연구에서는 나노 스케일 박막 두께의 정확한 측정을 위해 Si기판 위에 성장시킨
$HfO_2$ ,$Al_2O_3$ ,$Ta_2O_5$ 의 산화물 박막에 대하여 여러 가지 전처리 조건을 변화시켜 조건에 따른 반사율 곡선의 변화와 분석 결과를 살펴보았다. 샘플의 전처리에는 acetone, sulfuric acid, methanol, 초음파세척기를 이용하였고, 전처리가 끝난 후 샘플에 남아있는 수분기를 제거하기 위하여 약$150^{\circ}C$ 의 온도로 가열 후 측정비교 분석하였다. 전처리 시 solution과 시간 등의 전처리 조건이 변화함에 따라 X-선 반사율 곡선의 변화가 있음을 알 수 있었고, 이에 따라 XRR 측정 분석 시 두께에 영향을 받았으며, TEM과 XPS를 이용하여 전처리 영향에 대하여 비교 분석 하였다. 이번 연구를 통하여 전처리 방법에 따라 XRR 측정에 정확성을 향상 시킬 수 있는 있는 것으로 보여진다. -
In recent times, metal oxide semiconductors thin films transistor (TFT), such as zinc and indium based oxide TFTs, have attracted considerable attention because of their several advantageous electrical and optical properties. There are many deposition methods for fabrication of ZnO-based materials such as chemical vapor deposition, RF/DC sputtering and pulsed laser deposition. However, these vacuum process require expensive equipment and result in high manufacturing costs. Also, the methods is difficult to fabricate various multicomponent oxide semiconductor. Recently, several groups report solution processed metal oxide TFTs for low cost and non vacuum process. In this study, we have newly developed solution-processed TFTs based on Ti-related multi-component transparent oxide, i. e., InTiO as the active layer. We propose new multicomponent oxide, Titanium indium oxide(TiInO), to fabricate the high performance TFT through the sol-gel method. We investigated the influence of relative compositions of Ti on the electrical properties. Indium nitrate hydrate [
$In(NO^3).xH_2O$ ] and Titanium isobutoxide [$C_{16}H_{36}O_4Ti$ ] were dissolved in acetylacetone. Then monoethanolamine (MEA) and acetic acid ($CH_3COOH$ ) were added to the solution. The molar concentration of indium was kept as 0.1 mol concentration and the amount of Ti was varied according to weighting percent (0, 5, 10%). The complex solutions become clear and homogeneous after stirring for 24 hours. Heavily boron (p+) doped Si wafer with 100nm thermally grown$SiO_2$ serve as the gate and gate dielectric of the TFT, respectively. TiInO thin films were deposited using the sol-gel solution by the spin-coating method. After coating, the films annealed in a tube furnace at$500^{\circ}C$ for 1hour under oxygen ambient. The 5% Ti-doped InO TFT had a field-effect mobility$1.15cm^2/V{\cdot}S$ , a threshold voltage of 4.73 V, an on/off current ratio grater than$10^7$ , and a subthreshold slop of 0.49 V/dec. The 10% Ti-doped InO TFT had a field-effect mobility$1.03\;cm^2/V{\cdot}S$ , a threshold voltage of 1.87 V, an on/off current ration grater than$10^7$ , and a subthreshold slop of 0.67 V/dec. -
본 연구에서는 RF magnetron co-sputtering을 이용하여 Zn-O-Ga 구성비에 따른 광투과도 및 전기적 특성을 연구하였다. 타겟으로 ZnO 및
$Ga_2O_3$ 소결체를 이용하였으며, 두 개의 RF magnetron sputter의 RF power를 동시에 조절하여 타겟의 구성비를 조절하였으며, 기판과 타겟의 거리를 25 mm~75 mm 범위 내에 조절하여 거리에 따른 Zn-O-Ga 박막의 광투과 특성 및 전기적 특성을 관찰하였다.$Ga_2O_3$ 소결체의 magnetron sputter의 RF power를 30 watt에서 100 watt로 증가함에 따라 박막내의 Ga 성분은 0.5%에서 7.4%로 증가하였으며 Zn 성분은 46.3%에서 40.9%로 O성분은 53.2%에서 51.6%로 각각 줄어들었다. 이에 따라 ZnO의 우선방위 (002) 결정각($2{\theta}$ )은 34.24에서 33.87로 줄어들었으며, 이동도$5.5\;cm^2/Vs$ 에서$1.99\;cm^2/Vs$ 정도로 감소하는 경향을 보였다. 광투과도는 가시광선 영역에서 85% 이상 보였으며, carrier 밀도는$0.5\;{\sim}\;4.0^*10^{20}/cm^3$ 로 증가함에 따라 이동도는$1.5{\sim}5.5\;cm^2/Vs$ 로 투명전도막의 특성을 보였다. -
불순물이 첨가되는 이원계 및 다원계 투명전도성 산화막들은 불순물의 첨가량에 따라서 전기적 그리고 광학적 특성의 변화를 나타낸다. 조성비에 따른 특성 변화를 조사하기 위하여 산화아연 타겟과 산화갈륨 타겟을 이용하여 혼합 스퍼터링 방식을 이용하여 인듐, 갈륨 등이 소량 첨가된 산화아연막(IGZO)을 증착하였다. Triple Co-sputter의 인가 전력을 변화시켜 가면서 박막 구성 원소들의 성분비 변화에 따른 전기적 그리고 광학적 특성을 조사하였다. 증착된 박막들은 조성비에 따라 전기적 그리고 광학적 특성이 변화되는 것을 확인하였다. 실온에서 유리기판 위에 증착된 박막은 저항률이
$2^*10^{-3}\;{\Omega}-cm$ 의 전기적 특성을 보였고, 투과도가 400~800nm 파장 범위 내에서 80% 이상의 광학적 특성을 보였다. -
본 연구에서는 RF magnetron sputtering을 이용하여 공정압력에 따라 증착된 ITO 박막의 투명 전극 특성을 연구하였다. ITO 박막은
$In_2O_3$ :$SnO_2$ 비율이 9:1로 소결된 3in 직경의 타겟을 사용하여 corning 1737을 유리기판 위에 증착하였다. 증착조건으로 초기압력은$1.7{\times}10^{-6}torr$ 로, 가스 유량은 Ar 50 sccm으로, RF power는 25W로 각각 고정하였으며, 증착 공정압력을$2.0{\times}10^{-2}$ ,$7.0{\times}10^{-3}$ ,$2.0{\times}10^{-3}torr$ 로 변화하면서 200nm 두께의 ITO 박막시편을 제작하였다. 제작된 시편의 AFM 분석결과 박막의 거칠기는 0.33nm 이었으며, 광투과도 및 비저항은 공정압력이 낮은 경우 상대적으로 양호한 결과를 보였다. 높은 공정압력에서 광투과도는 60% 정도로 나타났으나, 낮은 공정압력에서 RF power를 증가시킴에 따라 가시광선의 광투과도는 85% 이상으로, Hall측정을 통한 Mobility는$37.6\;cm^2V^{-1}s^{-1}$ 로 각각 증가하였으며, 비저항은$0.346{\times}10^{-3}{\Omega}cm$ 이었으며, 이동도는$4.788{\times}10^{20}cm^{-3}$ 로써 양호한 투명전극 특성을 보였다. -
본 연구에서는 RF 마그네트론 스퍼터링법으로 RF파워의 변화에 따라 증착한 a-IGZO 박막의 n-형 반도체 활용 특성과 관련된 구조적, 광학적, 전기적 특성 변화를 연구하였다. 기판은 corning 1737 유리 기판을 사용하였고, 유기 클리닝 후 즉시 챔버 내부에 장착되었다. IGZO 타겟은
$In_2O_3$ ,$Ga_2O_3$ , ZnO 분말을 각각 1:1:2mol% 조성비로 혼합하여 소결한 타겟을 사용하였다. 박막 증착 조건으로 초기 압력$2.0{\times}10^{-6}\;Torr$ , 증착압력$2.0{\times}10^{-2}\;Torr$ , 반응가스 Ar 50sccm, 증착온도는 실온으로 고정하였으며, 공정변수로 RF 파워를 25W, 50W, 75W, 100W로 변화시키며 시편을 제작하였다. AFM 분석결과 RF 파워가 증가함에 따라 거칠기가 증가하였으며, XRD 분석결과 Bragg's 법칙을 만족하는 피크가 나타나지 않는 비정질 구조임을 확인할 수 있었다. Hall측정 결과 100W에서$10cm^2/V^{-1}s^{-1}$ 이상의 우수한 이동도 특성을 보였으며, 가시광선 투과 특성은 RF 파워가 50W일때 85% 이상으로 양호하였다. XPS 분석결과 RF 파워가 증가할수록 밴드갭이 감소하는 현상은 박막내 산소 이탈 증가현상에 의한 산소 공공의 밀도가 높아지는 것과 연관성이 있음을 확인하였다. -
투명 전극(transparent conducting oxide, TCO)은 높은 전기전도도 및 낮은 비저항 (
$10^{-4}{\sim}10^{-3}\;{\Omega}cm$ )과 가시광영역에서의 우수한 광투과도(> 80%) 특성을 가지며, 주로 디스플레이, 태양전지, 가스 센서 소자 등에 쓰인다. 투명전극으로 쓰이는 대표적인 물질로서는 ITO, ZnO,$SnO_2$ 등이 있으며, ITO는 전기적 특성이 우수하여 널리 사용되고 있으나 가격이 비싸고 화학적으로 불안정하고, ZnO는 ITO에 비해 가격이 저렴하지만 고온에서 불안정한 특성을 가지고 있다. 반면,$SnO_2$ 는 ITO와 ZnO에 비해 전기적 특성은 떨어지지만, 우수한 열적, 화학적 안정성 및 높은 내마모성을 가지고 제조단가가 저렴하여 TCO 재료로 많은 연구가 진행되고 있다. TCO 박막을 증착시키는 방법으로 CVD, ion plating, sputtering, spray pyrolysis 등이 있으며, 이 중 sputtering 방법은 균일한 입자로 균질의 박막을 입힐 수 있고 우수한 재현성과 낮은 온도에서도 증착이 가능하여 박막 제조 방법으로 널리 이용되고 있다. 본 연구에서는$SnO_2$ 박막을 실리콘 (100) 및 글라스 (Eagle 2000) 기판 위에 RF magnetron sputtering 방법을 사용하여 제작하였다. 박막 증착을 위해 99.99%의 2 인치 un-doped$SnO_2$ 타겟을 사용하였고, 기판은 20 rpm 으로 회전시켜 균일한 박막이 형성될 수 있도록 하였으며, 초기 진공도는$1{\times}10^{-6}\;Torr$ 가 되도록 하였다. 증착 변수로 기판-타겟간 거리, RF 파워,$O_2/(Ar+O_2)$ 비, 공정압력, 기판 온도 등을 각각 변화 시키며$SnO_2$ 박막을 증착하였다. 증착된 박막의 구조적 및 광학적 특성을 분석하기위해 FE-SEM, AFM, XRD, UV/VIS spectrophotometer, Photoluminescence 등을 사용하였다. -
정보화 기술이 급속히 발전함에 따라서 보다 많은 양의 data를 전송, 처리, 저장 하게 되면서 이를 처리 할 수 있는 대용량, 고속, 비휘발성의 차세대 메모리의 개발이 요구 되고 있다. 이 중 저항 변화 메모리(ReRAM)는 일반적으로 전이금속산화물을 이용한 MIM 구조로서 적당한 전기 신호를 가하면 저항이 높아서 전도되지 않는 상태(Off state)에서 저항이 낮아져 전도가 가능한 상태(On state)로 바뀌는 메모리 특성을 가진다. ReRAM은 비휘발성 메모리이며 종래의 비휘발성 기억소자인 Flash memory 보다 access time이
$10^5$ 배 이상 빠르며, 2~5V 이하의 낮은 전압에서 동작이 가능하다. 또한 구조가 간단하여 공정상의 결함을 현저히 줄일 수 있다는 점 등 많은 장점들이 있어서 Flash memory를 대체할 수 있는 유력한 후보로 여겨지고 있다. 저항 변화의 특징을 잘 나타내는 물질에는$TiO_2$ ,$Al_2O_3$ ,$NiO_2$ ,$HfO_2$ ,$ZrO_2$ 등의 많은 전이금속산화물들이 있다. 본 연구에서는 Reactive DC-magnetron Sputtering 방법과 DC-magnetron sputter를 이용하여 Ti를 증착한 후 Oxidation 방법으로 각각 증착한$TiO_2$ 박막을 사용하여 저항변화특성을 관찰하였다.$TiO_2$ 상부에 Atomic Layer Deposition (ALD)를 이용하여$HfO_2$ 박막을 증착하여 표면처리를 하고, 또한$TiO_2$ 에 다른 전이 금속박막 층을 추가 증착하여 저항변화 특성에 접합한 조건을 찾는 연구를 진행하였다. 하부 전극과 상부 전극 물질로는 Si 100 wafer 위에 Pt 또는 TiN을 사용하였다. 저항변화 특성을 평가하기 위해 Agilent E5270B를 이용하여 current-voltage (I-V)를 측정하였다. X-ray Diffraction (XRD)를 이용하여 증착 된 전기금속 박막 물질의 결정성을 관찰했으며, Atomic Force Microscopy (AFM)을 이용하여 증착 된 샘플의 표면을 관찰했다. SEM과 TEM을 통해서는 sample의 미세구조를 확인 하였다. -
휴대용 기기의 사용이 증가하면서 전지의 고용량화와 소형화가 요구되고 있다. 특히 의료용 센서 기기에서는 소형화가 매우 중요하며 인체에 해로운 물질로 구성되지 않는 것이 바람직하다. 최근 고체전해질을 사용하는 마이크로 배터리가 개발되고 있으나, 에너지 저장용량이 작아 응용분야가 제한적이다. Silicon wafer 위에 형성된 고단차의 3차원 박막 배터리를 형성한다면 표면적 증가에 의해 에너지 저장용량 역시 크게 증가할 것이다. 따라서 고단차의 3차원 구조위에 confomal한 박막을 형성하기 위해서는 기존 물리증착방법과는 달리 새로운 step coverage가 우수한 박막증착법이 필요하다. 본 연구에서는 atomic layer deposition(ALD)으로 박막 배터리의 cathode 물질인
$LiCoO_2$ 를 증착하기 위한 기초연구로서 cobalt oxide 박막의 ALD 공정을 연구하였다. Cobalt +2가 전구체와$O_3$ 를 교대로 공급하여 박막을 증착하고 그 박막의 물리적, 화학적, 전기적 특성을 조사하였다. 이를 통해 exposure와 기판온도가 박막의 특성에 미치는 영향을 고찰하였다. 또한 pattern wafer위에 박막을 증착하여 step coverage를 조사하였다. -
본 연구에서는 투명전도성산화막으로 적용 가능한 Ga이 도핑된 ZnO(GZO)의 성장 및 후처리 과정에 따른 구조적, 전기적, 광학적 특성을 관찰하였다. GZO 박막은 상온과
$200^{\circ}C$ , 50~250 mTorr (50 mTorr 단계)에서 RF 마그네트론 스퍼터법으로 증착하였다. 이와 같은 조건에서 성장 된 박막의 특성을 분석하여 최적의 온도 및 작업압력에서 RF power를 변화시켜 박막을 성장한 후 질소 및 수소를 이용한 후처리 공정을 통하여 GZO 박막을 제작, 각 조건에 따른 구조적, 전기적 및 광학적 특성 변화를 조사하였다. XRD 측정에서, 열처리 전 시료에서는 GZO (002) 상의 Bragg-Angle 위치가 호스트 물질 ZnO의 기준위치보다 낮은 각도 쪽에서 나타났으며, 이는 Ga이 Zn와 치환되지 못하고 격자 내에 침입형태로 존재함에 따른 것으로 판단된다. 열처리 이후 전반적으로 분위기 가스의 종류에 관계없이 결정성, 광투과율 및 전기적 특성이 향상되는 것이 관측되었다. 질소 분위기에서 열처리된 GZO 박막의 경우, 전반적으로 박막 증착 시 초기 작업압력의 증가에 따라 비저항이 증가하는 현상이 관측되었다. 반면, 수소 분위기에서 열처리된 박막에서는 박막 증착 초기 작업 압력이 증가함에 따라 비저항이 감소하는 경향이 관측되었다. 이러한 결과는 XPS(X-Ray Photoelectron Spectroscopy)로 분석한 결과, 질소 분위기에서 열처리된 GZO 박막은 O-H 결합이 Zn-O 결합에 비해 과도하지 않은 반면, 수소화 처리된 GZO 박막에서 Zn-O 결합에 비해 과도한 O-H 결합이 존재하기 때문으로 관측되었다. 그러한 이유는 O-H 결합이 GZO 박막 내 산소 결공($V_o$ )과 밀접한 관계가 있기 때문이며, O-H 결합의 증가는$V_o$ -H 결합체의 증가를 의미하기 때문이다. -
단위면적 당 메모리 집적도를 높이기 위해 플래시 기억소자의 크기를 줄일 때, 절연층 두께 감소에 의한 누설 전류의 발생, 단채널 효과 및 협폭 효과와 같은 문제 때문에 소자 크기의 축소가 한계에 도달하고 있다. 이러한 문제점들을 개선하기 위해 본 연구에서는 FinFET구조위에 Oxide-Nitride-Oxide (ONO) 층을 적층하여 2-비트 특성을 갖는 플래시 메모리 소자를 제안하였다. 소자의 작동전압을 크게 줄일 수 있으며 소자의 크기가 작아질 때 일어나는 단채널 효과의 문제점을 해결할 수 있는 FinFET 구조를 가진 기억소자에서 제어게이트를 제어게이트1과 제어게이트2로 나누어 독립적으로 쓰기 및 소거 동작하도록 하였다. 2-비트 동작을 위해 제어 게이트1의 게이트 절연막의 두께를 제어게이트2의 게이트 절연막의 두께보다 더 얇게 함으로써 두 제어게이트 사이의 coupling ratio를 다르게 하였다. 제어게이트1의 트랩층의 두께를 제어게이트2의 트랩층의 두께보다 크게 하여 제어게이트1의 트랩층에 더 많은 양의 전하가 포획될 수 있도록 하였다. 제안한 기억소자가 2-비트 동작하는 것을 확인 하기위하여 2차원 시뮬레이션툴인 MEDICI를 사용하여 제시한 FinFET 구조를 가진 기억소자의 전기적 특성을 시뮬레이션하였다. 시뮬레이션을 통해 얻은 2-비트에 대한 각 상태에서 각 전하 포획 층에 포획된 전하량의 비교를 통해서 coupling ratio 차이와 전하 포획층의 두께 차이로 인해 포획되는 전하량이 달라졌다. 각 상태에서 제어게이트에 읽기 전압을 인가하여 전류-전압 특성 곡선을 얻었으며, 각 상태에서의 문턱전압들이 잘 구분됨을 확인함으로써 제안한 FinFET 구조를 가진 플래시 메모리 소자가 셀 당 2-비트 동작됨을 알 수 있었다.
-
저항 구조를 가진 유기 쌍안정성 소자는 비휘발성 기억 소자 중에서 구조가 간단하고 제작비용이 저렴하며 플렉시블이 용이한 장점 때문에 많은 연구가 진행되고 있다. 유기물/무기물 복합재료를 사용한 유기 쌍안정성 소자 제작에 대한 연구는 많이 진행되어 왔지만, 넓은 에너지 밴드 갭을 가진
$SnO_2$ 나노 입자가 삽입된 고분자 박막을 기반으로 제작한 유기 쌍안정성 소자에 대한 연구는 상대적으로 미흡하다. 본 연구에서는 Poly(methyl methacrylate) (PMMA) 박막 안에 분산된$SnO_2$ 나노 입자를 사용하여 제작한 유기 쌍안정성 소자의 전기적인 특성을 관찰하였다. 소자를 제작하기 위해 나노 입자의 전구체인 Tin 2-ethylhexanoate (95%) 2.4 mmol을 dibutyl ether (99.3%) 10 ml에 용해시킨 후, 용매열 화학적 방법을 사용하여 용매 안에서$SnO_2$ 나노 입자를 합성하였다. 용매 안에 들어있는 1 wt%의$SnO_2$ 나노 입자와 100 mg의 PMMA를 2 ml의 클로로벤젠에 용해하여 고분자 용액을 제작하였다. 하부 전극 역할을 하는 indium tin oxide가 증착된 유리 기판 위에 고분자 용액을 스핀 코팅하고, 열을 가해 용매를 제거하여$SnO_2$ 나노 입자가 분산되어 있는 PMMA 박막을 형성하였다. 그 위에 Al 전극을 증착하여 기억 소자를 완성하였다. 제작된 유기 쌍안정성 소자의 전류-전압 (I-V) 측정 결과에서는 동일한 전압에서 서로 크기가 다른 전류가 흐르는 I-V 곡선의 히스테리시스 특성이 나타났다. 그러나$SnO_2$ 나노 입자가 없는 PMMA 박막으로 형성된 유기 쌍안정성 소자에서는 I-V 곡선의 히스테리시스 특성이 나타나지 않았다. 따라서 PMMA 박막 안에 삽입된$SnO_2$ 나노 입자가 유기 쌍안정성 소자의 메모리 효과에 결정적인 영향을 준 것을 알 수 있었다. 전류-시간 측정 결과에서는 소자의 ON/OFF 비율이 시간에 따라 큰 변화 이 없이 1000 사이클 이상 지속적으로 유지 하고 있음을 보여 줌으로써 유기 쌍안정성 소자를 장시간 사용할 수 있음을 나타내 주었다. -
지난 수년간 비휘발성 메모리는 휴대용 전자기기 시장의 증가로 인해 많은 주목을 받아왔다. 그러나 현재 주로 쓰이고 있는 다결정 실리콘을 부유게이트층을 이용한 소자는 한계점을 보이고 있다. 이러한 이유로 최근에는 반도체 나노점이나 금속 나노점을 이용하는 비휘발성 메모리가 각광을 받고 있다. 이 메모리들은 빠른 쓰기/지우기 속도, 긴 저장시간, 낮은 구동전압 등의 이점을 지니고 있다. 본 연구에서는 이온빔 스퍼터링 방법을 이용해
$SiO_2$ /Zr nanodots (ND)/$SiO_2$ trilayer 구조를 제작하였다. tunnel oxide와 control oxide의 두께는 각각 3nm, 15nm 이며 Zr의 양을 변화시키며 그에 따른 Zr ND과 메모리 효과의 변화를 관찰하였다. 고분해능 전자현미경과 광전자 분광기를 이용해 Zr ND의 형성을 확인하였고 열처리 후$ZrO_2$ ND로 상이 변화함을 관찰하였다. -10 ~ +10V의 측정 조건 하에서 Zr의 양이 증가함에 따라 메모리 폭은 최대 5.8V까지 증가하였다. 또한 쓰기 상태에서 메모리 폭과 전하 손실비율은 열처리 후가 감소하였고 이는$SiO_2$ 와 Zr ND의 계면에서 생성되는$ZrO_2$ 의 영향인 것으로 생각된다. -
최근 차세대 반도체 메모리 소자로 대두된 magnetic random access memory(MRAM)에 대한 연구가 활발히 진행되고 있다. 특히 MRAM의 magnetic tunnel junction(MTJ) stack을 구성하는 자성 재료의 건식 식각에 대한 연구에서는 좋은 profile을 얻고, 재층착의 문제를 해결하기 위한 노력이 계속해서 진행되고 있다. 본 연구에서는 photoresist(PR)과 Ti 하드 마스크로 패턴 된 배리어(barrier) 층인 MgO 박막의 식각 특성을 유도결합 플라즈마를 이용한 고밀도 반응성 이온 식각(inductively coupled plasma reactive ion etching-ICPRIE)을 통해서 연구하였다. PR 및 Ti 마스크를 이용한 자성 박막들은 HBr/Ar, HBr/
$O_2$ /Ar 식각 가스의 농도를 변화시키면서 식각되었다. HBr/Ar 가스를 이용 식각함에 있어서 좋은 식각 조건을 얻기 위한 parameter로서 pressure, bias voltage, rf power를 변화시켰다. 각 조건에서 Ti 하드마스크에 대한 터널 배리어층인 MgO 박막에 selectivity를 조사하였고 식각 profile을 관찰하였다. 식각 속도를 구하기 위해 alpha step(Tencor P-1)이 사용되었고 또한 field emission scanning electron microscopy(FESEM)를 이용하여 식각 profile을 관찰함으로써 최적의 식각 가스와 식각 조건을 찾고자 하였다. -
Magnetic random access memory (MRAM), based on magnetic tunnel junction (MTJ) and CMOS, is one of the best semiconductor memories because it can provide nonvolatility, fast access time, unlimited read/write endurance, low operating voltage and high storage density. For the realization of high density MRAM, the etching of MTJ stack with good properties is one of a key process. Recently, there has been great interest in the MTJ stack using MgO as barrier layer for its huge room temperature MR ratio. The use of MgO barrier layer will undoubtedly accelerate the development of MTJ stack for MRAM. In this study, high-density plasma reactive ion etching of MgO films was investigated in an inductively coupled plasma of
$Cl_2$ /Ar gas mixes. The etch rate, etch selectivity and etch profile of this magnetic film were examined on vary gas concentration. As the$Cl_2$ gas concentration increased, the etch rate of MgO monotonously decreased and etch slop was slanted. The effective of etch parameters including coil rf power, dc-bais voltage, and gas pressure on the etch profile of MgO thin film was explored, At high coil rf power, high dc-bais voltage, low gas pressure, the etching of MgO displayed better etch profiles. Finally, the clean and vertical etch sidewall of MgO films was achieved using$Cl_2$ /Ar plasma at the optimized etch conditions. -
Indium-tin-oxide(ITO)는 평판디스플레이 산업이 성장함에 따라 그 수요는 계속 늘고 있지만 세계적으로 In의 매장량의 한계로 그 단가가 매우 높다. 또한 ITO는 플렉시블 디스플레이에 적용함에 있어서 고온 공정으로 인해 많은 단점을 보이고 있어 이를 대체할 새로운 투명전극의 개발이 활발히 진행되고 있다. 본 연구에서는 IZTO(
$In_2O_3$ :ZnO:$SnO_2$ =80:10:10 wt.%)의 In 량을 절감한 조성의 타겟을 제조하였다. 그리고 유리기판 위에 IZTO 박막을 펄스 DC 마그네트론 스퍼터링을 이용하여 증착압력과 활성 산소의 분압을 변화시키며 증착하였다. 증착압력의 변화는 3mTorr~8mTorr 범위에서 제어하였고 활성 산소의 분압은 0%~3% 범위에서 제어하였으며 기판의 온도의 제어 없이 상온에서 증착하였다. 증착한 박막은 전기적, 광학적 및 구조적 특성 등을 조사하였다. 증착압력 6mTorr와 산소분압 2%의 조건에서 비저항은$5.07{\times}10^{-4}\;({\Omega}{\cdot}cm)$ , 캐리어 농도는$2.96{\times}10^{20}(cm^{-3})$ , 이동도는$41.6(cm^{-2}/Vs)$ 로 가장 좋은 전기적 특성을 보였다. 박막의 투과율을 측정한 결과 평균 85% (400nm~800nm)이상의 우수한 광학적 특성을 보였다. 또한 이 IZTO 박막을 이용하여 OLED 소자를 제작하여 그 특성을 조사하였다. 조사 결과 플렉시블 디스플레이 분야에서 IZTO 박막은 In 절감효과와 상온 공정에서 우수한 투명전극 특성을 보여 ITO를 대체할 물질로 가능성을 보여주었다. -
평판디스플레이 산업의 성장에 따른 ITO 타겟의 수요가 급증하고 있는 것에 반해 고가의 인듐자원은 그 매장량이 매우 적어 고갈 위기에 처해 있다. 따라서 인듐을 절감하는 투명전극 연구가 활발히 진행되어 오고 있다. 본 연구에서는 IZTO(
$In_2O_3$ :ZnO:$SnO_2$ =80:10:10 wt.%)의 In량을 절감한 조성의 타겟을 제조하였다. 그리고 유리기판 위에 IZTO 박막을 펄스 DC 마그네트론 스퍼터링을 이용하여 기판의 온도를 변화시키며 증착하였다. 기판 온도의 변화는 플렉시블디스플레이 소자에 응용이 가능한$RT{\sim}200^{\circ}C$ 범위에서 제어하였으며, 증착한 박막은 전기적, 광학적 및 구조적 특성 등을 조사하였다. 유리기판 위에 성장된 IZTO 박막은 기판의 온도가 증가함에 따라 전기적 특성이 향상되었지만$200^{\circ}C$ 이상에서 결정화가 되어 전기적 특성이 급격히 떨어지는 것을 알 수 있었다. 기판 온도$150^{\circ}C$ 에서 비저항은$3.87{\times}10^{-4}\;({\Omega}{\cdot}cm)$ 로 가장 낮게 나타났고, 이동도는$42.11(cm^{-2}/Vs)$ , 캐리어 농도는$3.82{\times}10^{20}(cm^{-3})$ 를 나타내어 가장 우수한 전기적 특성을 보였다. 박막의 투과율을 측정한 결과 평균 85% (400nm~800nm)이상의 우수한 광학적 특성을 보였다. 또한 이 IZTO 박막을 이용하여 OLED 소자를 제작하여 그 특성을 조사하였다. 조사 결과 IZTO 박막은 인듐 절감효과와$150^{\circ}C$ 미만의 공정온도 확보로 플렉시블 디스플레이에 적용이 가능한 투명전극 물질로 가능성을 보여주었다. -
이종접합 태양전지의 투명전도막으로 사용되는 ITO는 박막 증착시 Ar과 O2의 공정 가스비증가에 따라 일함수가 증가한다고 보고되어지고 있다. 이러한 일함수의 증가는 ITO와 n a-si:H과의 계면에서 barrier height를 낮춤으로써 hole injection을 원활하게 만들어줌으로써 이종접합 태양전지의 효율 향상을 기대할 수 있게 해준다. RF sputtering system으로 증착된 ITO 증착시 순수 Ar만으로 증착된 ITO와 0.1에서 0.5% 까지 미세산소함량으로 증착된 ITO의 단일막 특성과 이를 이종접합 태양전지에 적용하였을 때의 특성을 분석하였다. ITO의 단일막 전기적 특성 분석을 위하여 Hall measurement를 이용하였고 광학적 특성 분석을 위해 UV-Vis를 이용하였다. 또한 광전자 분광장치를 이용하여 일함수 변화를 측정하였다. 그리고 산소 함량에 따른 ITO 박막의 특성 변화를 통해 이종접합 태양전지의 광특성을 비교하였다. 전기적인 특성의 경우 0.1%의 산소함량에서 가장 낮은 비저항을 얻었고 이동도의 경우 산소 함량에 따라 점차 증가하게 되었다. 반면 Carrier concentration은 점차 감소하였다. 투과도의 경우 산소함량을 통해 제작된 ITO가 Ar만으로 제작된 ITO보다 500 nm 파장대에서 1% 정도의 높은 투과율을 갖게 되었다. 그리고 ITO 공정시 Ar 만으로 증착한 경우 4.3 eV의 일함수를 보이고 공정중 산소가 첨가됨으로써 4.8 eV 으로 일함수가 증가하게 되었고 이종접합 태양전지를 제작하여 Voc, Jsc, Eff 등이 각각 15mV, 2mA/cm2, 1.5% 정도의 광특성 향상을 얻을 수 있었다.
-
새로운 물질의 투명전극 제작을 위해 대향타겟식 스퍼터링 (Facing Targets Sputtering, FTS)법을 이용하여 유리 기판위에 AZO와 GZO 이종타겟을 사용하여 유리 기판 위에 GAZO 박막을 제작하였다. FTS는 두 타겟이 서로 마주보는 구조로 인해 서로 다른 종류의 타겟을 장착하여 새로운 물질의 박막을 제작하는데 있어 용이하고, 타겟 뒷면에 위치한 영구자석으로 인해 타겟으로부터 방출되는 2차 전자 등을 구속하여 고밀도 플라즈마를 형성함으로서 고품위 박막의 제작이 가능하다. 본 연구에서는 투입 전력에 따라 제작된 GAZO 박막의 전기적, 광학적 및 구조적 특성 변화를 살펴보았다. 특성 평가는 UV/VIS spectrometer, Hall measurement, X-ray diffractometer (XRD), Atomic Force Microscope (AFM), Field Emission Scanning Electron Microscopy (FESEM) 을 이용하여 분석하였다. 그 결과 제작된 GAZO 박막은 비저항
$4.3\;{\times}\;10^{-4}\l;{\Omega}-cm$ , 가시광 영역에서 투과율 80% 이상을 나타내는 것으로 분석되었다. -
기존의 비휘발성 메모리 소자는 터널 절연막으로
$SiO_2$ 단일 절연막을 이용하였다. 그러나 소자의 축소화와 함께 비휘발성 메모리 소자의 동작 전압을 낮추기 위해서$SiO_2$ 단일 절연막의 두께도 감소 시켜야만 하였다. 하지만$SiO_2$ 단일 절연막의 두께 감소에 따라, 메모리의 동작 횟수와 데이터 보존 시간의 감소등의 문제점들로 인해 기술적인 한계점에 이르렀다. 이러한 문제점들을 해결하기 위한 연구가 활발히 진행되고 있는 가운데, 최근 high-k 물질을 기반으로 하는 Tunnel Barrier Engineered (TEB) 기술이 주목 받고 있다. TBE 기술이란, 터널 절연막을 위해 서로 다른 유전율을 갖는 유전체를 적층함으로써 쓰기/지우기 속도의 향상과 함께, 물리적인 두께 증가로 인한 데이터 보존 시간을 향상 시킬 수 있는 기술이다. 따라서, 본 연구에서는 적층된 터널 절연막에 이용되는$HfO_2$ 를 FGA (Forming Gas Annealing)와 RTA (Rapid Thermal Annealing) 공정에 의한 열처리 효과를 알아보기 위해, 온도에 따른 전기적인 특성을 MIS-Capacitor 제작을 통하여 분석하였다. 이를 위해 먼저 Si 기판 위에$SiO_2$ 를 약 3 nm 성장시킨 후,$HfO_2$ 를 Atomic Layer Deposition (ALD) 방법으로 약 8 nm를 증착 하였고, Aluminum을 약 150 nm 증착 하여 게이트 전극으로 이용하였다. 이를 C-V와 I-V 특성을 이용하여 분석함으로 써, 열처리 공정을 통한$HfO_2$ 의 터널 절연막 특성이 향상됨을 확인 하였다. 특히,$450^{\circ}C$ $H_2/N_2$ (98%/2%) 분위기에서 진행한 FGA 공정은$HfO_2$ 의 전하 트랩핑 현상을 줄일 뿐 만 아니라, 낮은 전계에서는 낮은 누설 전류를, 높은 전계에서는 높은 터널링 전류가 흐르는 것을 확인 하였다. 이와 같은 전압에 대한 터널링 전류의 민감도의 향상은 비휘발성 메모리 소자의 쓰기/지우기 특성을 개선할 수 있음을 의미한다. 반면$N_2$ 분위기에서 실시한 RTA 공정에서는, 전하 트랩핑 현상은 감소 하였지만 FGA 공정 후 보다는 전하 트랩핑 현상이 더 크게 나타났다. 따라서, 적층된 터널 절연막은 적절한 열처리 공정을 통하여 비휘발성 메모리 소자의 성능을 향상 시킬 수 있음이 기대된다. -
기존의 플로팅 타입의 메모리는 소자의 소형화에 따른 인접 셀 간의 커플링 현상과 전계에 따른 누설전류의 증가 등과 같은 문제가 발생한다. 이에 대한 해결책으로서 전하 저장 층을 폴리실리콘에서 유전체를 사용하는 SONOS 형태의 메모리와 NFGM (Nano-Floating Gate Memory)연구가 되고 있다. 그러나 높은 구동 전압, 느린 쓰기/지우기 속도 그리고 10년의 전하보존에 대한 리텐션 특성을 만족을 시키지 못하는 문제가 있다. 이러한 문제를 해결 하고자 터널베리어를 엔지니어링 하는 TBM (Tunnel Barrier Engineering Memory) 기술에 대한 연구가 활발히 진행 중이다. TBM 기술은 터널 층을 매우 얇은 다층의 유전체를 사용하여 전계에 따른 터널베리어의 민감도를 증가시킴으로써 빠른 쓰기/지우기 동작이 가능하며, 10년의 전하 보존 특성을 만족 시킬 수 있는 차세대 비휘발성 메모리 기술이다. 또한 고유전율 물질을 터널층으로 이용하면 메모리 특성을 향상 시킬 수가 있다. 일반적으로 TBM 기술에는 VARIOT 구조와 CRESTED 구조로 나눠지는데 본 연구에서는 두 구조의 장점을 가지는 Staggered tunnel barrier 구조를
$Si_3N_4$ 와 HfAlO을 이용하여 디자인 하였다. 이때 HfO2와 Al2O3의 조성비는 3:1의 조성을 갖는다.$Si_3N_4$ 와 HfAlO을 각각 3 nm로 적층하여 리세스(Recess) 구조의 트랜지스터를 제작하여 차세대 비휘발성 메모리로써의 가능성을 알아보았다. -
대향타겟식 스퍼터링 (Facing Target Sputtering, FTS) 장치를 이용하여 박막태양전지에 응용가능한 GAZO 박막을 플렉시블 기판 (PES) 위에 증착하였다 박막을 증착하는 데 쓰인 각각의 타겟은 AZO(ZnO:
$Al_2O_3$ =98:2w.t%) : GZO(ZnO:$Ga_2O_3$ =97:3w.t%)이였으며, 다양한 스퍼터링 조건(공정압력, 입력 전력 및 박막의 두께)에서 증착 하였다. GAZO 박막의 전기적 특성은 Hall effect measurement, Four Point Probe, 광학적 특성은 UV-VIS spectrometer, 구조적 특성은 XRD, AFM, FE-SEM, 막의 두께는$\alpha$ -step profiler 장비를 이용하여 분석하였다. 가장 낮은 비저항은 작업 압력 1mTorr일 때$5.123{\times}10^{-4}[\Omega-cm]$ 를 보였다. 또한 제작된 모든 박막은 (002)회절 피크로 우선성장함을 알 수 있었으며, 가시광선 영역(300nm-800nm)에서 80% 이상의 광 투과율을 나타냄을 알 수 있었다. -
NiO 산화물 타겟을 이용한 RF 마그네트론 스퍼터 방법로 glass 기판 위에 NiO 온도를 R.T(room temperature)~
$400^{\circ}C$ 변화시켜 Ar 가스만을 사용하여 박막을 증착시켜, 증착 온도에 따라 NiO 박막 특성에 미치는 영향을 조사하였다. XRD 측정으로부터 증착된 박막의 결정구조는$200^{\circ}C$ 이하에서 (111) 면의 우선 배향성으로 보이다가$300^{\circ}C$ 이상에서 (220)의 우선 배향성으로 보이는 다결정 입방구조임을 확인하였다. NiO 박막의 전기적 특성의 변화는 기판의 온도가$200^{\circ}C$ 까지는$10^5\;{\Omega}cm$ 대를 보였고 기판의 온도가$300^{\circ}C$ 이상에서는$10^{-2}{\sim}10^{-1}{\Omega}cm$ 대로 감소하는 것을 관측하였다. 이러한${\sim}10^7$ 정도의 큰 저항 변화를 관측하였고, 전기적 변화 특성을 결정성, 결정립의 변화 및 NEXAFS를 통한 밴드 구조 변화 등으로 설명하였다. -
Transparent semiconductor oxide thin films have been attracting considerable attention as potential channel layers in thin film transistors (TFTs) owing to their several advantageous electrical and optical characteristics such as high mobility, high stability, and transparency. TFTs with ZnO or similar metal oxide semiconductor thin films as the active layer have already been developed for use in active matrix organic light emitting diode (AMOLED). Of late, there have been several reports on TFTs fabricated with InZnO, AlZnSnO, InGaZnO, or other metal oxide semiconductor thin films as the active channel layer. These newly developed TFTs were expected to have better electrical characteristics than ZnO TFTs. In fact, results of these investigations have shown that TFTs with the new multi-component material have excellent electrical properties. In this work, we present TFTs with inverted coplanar geometry and with a novel HfInZnO active layer co-sputtered at room temperature. These TFTs are meant for use in low voltage, battery-operated mobile and flexible devices. Overall, the TFTs showed good performance: the low sub-threshold swing was low and the
$I_{on/off}$ ratio was high. -
본 연구에서는 Al-doped ZnO (AZO) 박막을 linear facing target sputter (LFTS) 시스템을 이용하여 성막 하였고 박막의 특성을 분석하였다. LFTS 시스템은 마주보는 두 AZO 타겟 사이에 고밀도의 플라즈마를 구속시켜 플라즈마 데미지 없이 산화물 박막을 성막 시킬 수 있는 장치이다. LFTS로 성막된 AZO 박막의 인가된 DC 파워에 따른 전기적 특성을 분석하기 위해 four-point probe와 Hall measurement 장비를 이용하여 분석을 진행 하였으며, 광학적 특성 분석을 위해 UV/Vis spectrometer 장비를 이용하여 분석하였다. AZO 박막의 구조적, 표면적 특성을 분석하기 위해 X-ray diffraction(XRD) 및 scanning electron microscope(SEM)을 사용하여 상온에서 성막된 AZO 박막의 특성을 관찰 하였다. 또한 AZO 박막의 PET 기판과의 접합성 및 구부림 시의 안정성을 평가하기 위해 bending test를 진행 하였다. 최적화된 AZO 박막으로부터 기판에 성막 중 열처리공정이나 후 열처리 공정의 진행 없이 35 ohm/square의 낮은 면저항과 약 80 % 이상의 투과율을 얻을 수 있었다. LFTS 시스템을 이용하여 낮은 공정온도에서 AZO 박막을 성막 하였음에도 불구하고 낮은 저항과 높은 투과도 특성을 나타내고 있어 기존의 투명 박막을 대체 할 수 있는 가능성을 제시하였다
-
Pt와 ITO 상부전극의 top-electode/NiO/Pt 구조에서 resistance switching현상을 연구하였다. 하부전극물질이 resistance switching현상에 미치는 영향은 이미 연구되었다. Ohmic 이나 low Schottky contact은 NiO 박막의 resistance switching 현상은 높은 전기장의 인가에 의해 것이 나타나는 것은 알 수 있었다. Ohmic contact에서는 유도전기장에 의한 resistance switching 현상들을 관찰할 수 있다. low Schottky barrier를 가지는 ITO/NiO/Pt 구조에서 resistances switching현상은 관찰되지 않고 Pt/ITO구조로 Ohmic 접촉은 유도전기장에 의한 resistance switching 현상이 나타나지 않음을 알 수 있었다.
-
In this study, the optimum structure of a magnetic thin film inductor was designed for application of DC-DC converters. The
$Ni_{81}Fe_{19}$ (at%) alloy was selected as a high-frequency($\geq$ MHz) magnetic thin film core material and deposited on various substrates (bare Si,$SiO_2$ coated Si) using a high vacuum RF magnetron sputtering system. As-deposited NiFe thin films show similar magnetic properties compared to bulk NiFe alloys, indicating that they have a good film quality. The optimum design of solenoid-type magnetic thin film inductors was performed utilizing a Maxwell computer simulator (Ansoft HFSS V7.0 for PC) and parameters obtained from the magnetic properties of magnetic core materials selected. The high-frequency characteristics of the inductance(L) and quality factor(Q) obtained for the designed inductors through simulation agreed well with those obtained by theoretical calculations, confirming that the simulated result is realistic. The optimum structure of high-performance ($Q{\geq}60$ ,$L\;=\;1{\mu}H$ , efficiency${\geq}90%$ ), high-frequency (${\geq}5MHz$ ), and solenoid-type magnetic thin film inductors was designed successfully. -
Microstructure, magnetic and transport properties of as-deposited electron-doped
$La_{1-x}Ce_xMnO_3$ and hole-doped$La_{1-x}Ce_xMnO_3$ films prepared by pulse laser deposition, with x = 0.1 and 0.3, have been investigated. The microstructural analysis reveals that the$La_{1-x}Ce_xMnO_3$ films have a column-like microstructure and a strip-domain phase with a periodic spacing of about 3c, which were not found for the$La_{1-x}Ce_xMnO_3$ ones. At the same time, the experimental results manifest that there is no fundamental difference in the magnetic and the transport properties between electron- and hole-doped manganite films, except the appearance of ferromagnetic response in the low-doped$La_{0.9}Ce_{0.1}MnO_3$ film at temperatures above the Curie point. The observed magnetic behavior, typical for the Griffiths-like phase, for this film is explained by the percolation mechanism of the ferromagnetic transition and by the presence of strip-domain phase which stimulates the magnetic phase separation. -
동력 전달을 위한 구동 부품에 대한 내마모성 개선을 통한 에너지 효율 및 부품의 수명 향상에 대한 사회적 관심이 급증하고 있다. 특히, 최근에는 자동차용 구동부품에 저마찰 내마모 특성이 우수한 Mo-N-Cu 나노복합체 박막에 대한 연구가 활발하게 진행되고 있다. 본 연구에서는 Mo-N-Cu 나노복합체 박막을 마그네트론 스퍼터링 증착법을 활용하였고, 이때 Mo 및 Cu 타겟을 적용하여 동시에 증착하였다. 진공 챔버의 진공도는
$5{\times}10^{-6}\;Torr$ 이하의 초기 진공도를 확보한 이후, 알곤 및 질소 가스를 주입하여 공정 압력이 5 mTorr 수준이 되도록 하였다. 이때 N2/(Ar+N2) = 0.5를 유지하였다. Mo-N-Cu 박막내에 Cu 함량 변화를 위해 Mo 캐소드는 D.C. 1 kW로 고정하고 Cu 캐소드에 R.F. 파워를 0, 40, 60, 80 W로 변화하였다. 박막의 두께는 증착시간을 변화하면서$1\;{\mu}m$ 이상이 되도록 하였다. Cu 캐소드에 인가된 파워의 변화에 따라 Mo-N-Cu 박막내 Cu 함유량은 10 at.%까지 변화되는 것을 EDX 분석을 통해 확인하였다. 또한 증착된 Mo-N-Cu 박막의 표면 및 단면에 대한 FE-SEM 분석을 통하여 전형적인 주상구조를 지닌 MoN 박막에서 Cu 함량이 증가할수록 Mo-N-Cu 박막의 결정성을 방해하는 것을 확인하였다. 또한 XRD 분석을 통하여 박막의 결정 구조 분석을 하였고, Nano Indentor를 통하여 30 GPa 수준의 고경도를 지닌 박막이 형성됨을 확인하였다. 박막의 내마모 특성 평가를 위해 ball-on-disk 트라이보미터를 활용하여 마찰계수 평가를 수행하였고, Cu 함유량의 변화에 따라 마찰계수가 MoN 박막의 경우 0.8에서 Cu 함량이 5 at.%에서 0.15로 급격하게 낮아짐을 확인하였다. -
반도체 집적도의 비약적인 발전으로 각 박막 층간의 두께는 더욱 줄어들었고 이는 각 박막 층간의 확산에 대한 문제를 간과할 수 없게 하였다. 따라서 각 층간의 확산을 방지하기위한 확산방지막의 연구에 대한 관심도는 증가하게 되었다. 또한 본 연구에서 분석을 위하여 사용된 Nanoindenter는 박막 표면에 다이아몬드 팁을 이용하여 압입을 실시하여 이때 시표의 반응에 의한 팁의 위치(Z-축)를 in-situ로 측정하여 인가력과 팁의 위치에 대한 연속 압입곡선을 측정하게 된다. 이를 통하여 박막의 hardness와 elastin modulus를 측정하게 되고, 연속 압입곡선 분석을 통하여 박막의 표면응력 변화를 측정한다. 이 논문에서는 반도체의 기판으로 사용되는 Si 기판과 금속배선 물질인 Cu와의 확산을 효과적으로 방지하기 위한 W-C-N 확산방지막을 제시하였고, 시료 증착을 위하여 rf magnetron sputter를 사용하여 동일한 증착 조건에서 질소(N)의 비율을 다르게 하여 박막내 질소 비율에 따른 확산방지막을 제작하였다. 이후 시료의 열적 안정성 측정을 위하여 상온에서
$900^{\circ}C$ 까지 질소 분위기에서 30분간 열처리 과정을 실시하여 열적 손상을 인가하였고, 고온에서 확산방지막의 열적인 안정성을 Nanoindentation 분석을 이용하여 측정하였다. 측정 결과 박막내 질소 불포함된 박막의 경우 표면 강도는 9.01 GPa에서 194.01 GPa의 급격한 변화를 보였고, 질소가 포함된 박막은 9.41 GPa에서 43.01 GPa으로 상대적으로 적은 차이를 보였다. X-ray 분석 결과에서도 박막내 질소가 포함된 박막이 고온에서도 더 안정된 특성을 보이는 것을 확인하였다. -
With the scaling down of ultra large integrated circuits (ULSI) to the sub-50 nm technology node, the need for an ultra-thin, continuous and conformal diffusion barrier and Cu seed layer is increasing. However, diffusion barrier and Cu seed layer formation with a physical vapor deposition (PVD) method has become difficult as the technology node is reduced to 30 nm and beyond. Recent work on self-forming barrier processes using PVD Cu alloys have attracted great attention due to the capability of conformal ultra-thin barrier formation using a simple technique. However, as in the case of the conventional barrier and Cu seed layer, PVD of the Cu alloy seed layer will eventually encounter the difficulty in conformal deposition in narrow line trenches and via holes. Atomic layer deposition (ALD) has been known for its good step coverage and precise thickness control, and is a candidate technique for the formation of a thin conformal barrier layer and Cu seed layer. Conformal Cu-Mn seed layers were deposited by plasma enhanced atomic layer deposition (PEALD) at low temperature (
$120^{\circ}C$ ), and the Mn content in the Cu-Mn alloys were controlled form 0 to approximately 10 atomic percent with various Mn precursor feeding times. Resistivity of the Cu-Mn alloy films decreased by annealing due to out-diffusion of Mn atoms. Out-diffused Mn atoms were segregated to the surface of the film and interface between a Cu-Mn alloy and$SiO_2$ , resulting in self-formed$MnO_x$ and$MnSi_xO_y$ , respectively. No inter-diffusion was observed between Cu and$SiO_2$ after annealing at$500^{\circ}C$ for 12 h, indicating an excellent diffusion barrier property of the$MnSi_xO_y$ . The adhesion between Cu and$SiO_2$ was enhanced by the formation of$MnSi_xO_y$ . Continuous and conductive Cu-Mn seed layers were deposited with PEALD into 32 nm$SiO_2$ trench, enabling a low temperature process, and the trench was perfectly filled using electrochemical plating (ECD) under conventional conditions. Thus, it is the resultant self-forming barrier process with PEALD Cu-Mn alloy film as a seed layer for plating Cu that has further potential to meet the requirement of the smaller than 30 nm node. -
유기물 반도체 화합물인 Cu-Pc(copper(II)-phthalocyanine)는 우수한 전기적 광학적 특성을 가지며, OLED, MISFET등 소자로서의 활용도가 높다. Cu-Pc 화합물은
$\alpha$ -phase,$\beta$ -phase,$\gamma$ -phase를 포함하는 여러 가지 다결정 polymer로 존재할 수 있다. 가장 잘 알려진 구조로는 열적으로 준안정적인$\alpha$ -phase와 열적으로 안정적인$\beta$ -phase가 있다. Cu-Pc 박막의 구조 및 흡수 특성과 전기적 특성에 대한 기술이 확실히 규명되지 않아 본 연구에서는 두께와 열처리 조건에 따른 결정성 및 방향성을 조사하기 위하여$\alpha$ -phase와$\beta$ -phase의 phase transition 현상 및 전기적 광학적 특성을 규명 하고자 한다. 진공증착 방법 중 하나인 PVD 방법의 thermal evaporation deposition을 이용하여 glass, ITO 기판위에 두께와 열처리에 따른 전기적?광학적 특성을 연구하였다. Cu-Pc 박막의 성장두께는 5nm~50nm 이내로 fluxmeter 및 thickness monitor를 이용하여 제어하였다. 5nm~50nm의 두께에 따른 기판온도를$200^{\circ}C$ 로 고정하여 전열 처리 및 후열 처리하여 온도에 따른 박막을 성장한 후, 결정 구조 및 특성 변화와 phase transition 분석하였다. 제작된 Cu-Pc의 박막은$\alpha$ -phase와$\beta$ -phase로 구분할 수 있으며, 열처리에 따른 phase transition 현상이 뚜렷함을 알 수 있다. XRD(X-ray diffraction)를 통하여 박막에 대한 결정 구조 분석 및 FE-SEM(field emission scanning electron microscopy)와 AFM(atomic force microscopy)을 이용하여 Cu-Pc 박막의 구조적 결정성과 방향성 등, 표면 상태와 형상구조에 대해 표면의 특성을 측정하며, 광 흡수도(UV-visible absorption spectra)을 이용하여 phase transition 현상에 따른 I-V 특성을 비교분석 하였다. -
현재 Cu배선 제조공정에서 전해도금은 Damascene pattern의 Cu filling에 사용되고 있는데, 우수한 특성의 전해도금을 위해서는 step coverage가 우수한 Cu seed layer가 필수적이다. 현재까지 Cu seed layer를 형성하는 방법으로는 ionized physical vapor deposition(I-PVD)이 사용되고 있는데, 22 nm 이후의 소자에서는 step coverage의 한계로 인해 완벽한 Cu filling 어려울 것으로 예상된다. 본 연구에서는 step coverage가 매우 우수한 atomic layer deposition(ALD) 방법으로 Cu seed layer를 증착하고 그 특성을 기존의 PVD 박막과 비교하였다. Ketoiminate 계열의 +2가 Cu 전구체와
$H_2$ 를 이용하여 ALD Cu 박막을 증착하였는데 exposure, 기판의 온도를 변화시키면서 기판별로 ALD Cu의 최적공정조건을 도출하였다. ALD Cu seed와 PVD Cu seed 위에 약$1{\mu}m$ 의 Cu 박막을 전해도금한 후 박막의 두께, 비저항, 미세구조와 함께 pattern filling 특성을 비교하였다. -
정보화 산업의 발달은 DRAM, flash memory 등을 포함한 기존의 반도체 메모리 소자를 대체할 수 있는 차세대 메모리 소자에 대한 개발을 요구하고 있다. 특히 magnetic random access memory (MRAM)는 SRAM과 대등한 고속화 그리고 DRAM 보다 높은 기록 밀도가 가능하고 낮은 동작 전압과 소비전력 때문에 대표적인 차세대 비휘발성 메모리로 주목받고 있다. 또한 MRAM소자의 고집적화를 위해서 우수한 프로파일을 갖고 재증착이 없는 나노미터 크기의 magnetic tunnel junction (MTJ) stack의 건식 식각에 대한 연구가 선행되어야 한다. 본 연구에서는 고밀도 반응성 이온 식각법(Inductively coupled plasma reactive ion etching; ICPRIE)을 이용하여 재증착이 없이 우수한 식각 profile을 갖는 CoFeB과 IrMn 박막을 형성하고자 하였다. Photoresist(PR) 및 Ti 박막의 두 가지 마스크를 이용하여 HBr/Ar, HBr/
$O_2$ /Ar 식각 가스들의 농도를 변화시키면서 CoFeB과 IrMn 박막의 식각 특성들이 조사되었다. 자성 박막과 동일한 조건에 대하여 hard mask로서 Ti가 식각되었다. 좋은 조건을 얻기 위해 HBr/Ar 식각 가스를 이용 식각할 때 pressure, bias voltage, rf power를 변화시켰고 식각조건에서 Ti 하드마스크에 대한 자성 박막들의 selectivity를 조사하고 식각 profile을 관찰하였다. 식각 속도를 구하기 위해 alpha step(Tencor P-1)이 사용되었고 또한 field emission scanning electron microscopy(FESEM)를 이용하여 식각 profile을 관찰함으로써 최적의 식각 가스와 식각 조건을 찾고자 하였다. -
Magnetic random access memory (MRAM), based on magnetic tunnel junction (MTJ) and CMOS, is a prominent candidate among prospective semiconductor memories because it can provide nonvolatility, fast access time, unlimited read/write endurance, low operating voltage and high storage density. The etching of MTJ stack with good properties is one of a key process for the realization of high density MRAM. In order to achieve high quality MTJ stack, the use of CoFeB and IrMn magnetic films as free layers was proposed. In this study, inductively coupled plasma reactive ion etching of CoFeB and IrMn thin films masked with Ti hard mask was investigated in a
$Cl_2$ /Ar gas mix. The etch rate of CoFeB and IrMn films were examined on varying$Cl_2$ gas concentration. As the$Cl_2$ gas increased, the etch rate monotonously decreased. The effective of etch parameters including coil rf power, dc-bais voltage, and gas pressure on the etch profile of CoFeB and IrMn thin film was explored, At high coil rf power, high dc-bais voltage, low gas pressure, the etching of CoFeB and IrMn displayed better etch profiles. Finally, the clean and vertical etch sidewall of CoFeB and IrMn free layers can be achieved by means of thin Ti hard mask in a$Cl_2$ /Ar plasma at the optimized condition. -
최근 CMOS 소자 크기가 축소됨에 따라 소스와 드레인 영역에서의 접촉저항을 줄이기 위하여, 실리사이드 공정이 많이 연구되고 있다. 실리사이드 물질로서 NiSi는 낮은 저항률과 낮은 실리콘 소모, 낮은 공정온도, 등의 장점을 가지고 있다. 그러나, 실리사이드 형성으로 인한 나노소자의 소오스/드레인에서정션(junction) 누설전류의 증가는 큰 문제가 되므로 실리콘과 실리사이드 계면의 특성이 중요하다. 본 연구에서는 니켈을 이용한 실리사이드 형성시 계면 활성제인 에틸 요오드를 이용하여 실험을 진행하였다. 금속 유기 전구체인 MABONi을 사용하여 ALD 방식으로 증착 한 니켈 박막과 니켈 핵 형성시 계면활성제인 에틸요오드의 처리 방법에 따른 Ni-silicide 박막의 특성을 비교, 분석하였다. 먼저 자연산화막을 건식식각으로 제거한 뒤, 첫 번째 샘플에서는 10회의 주기로 초기 니켈을 증착한 뒤, 에틸요오드로 니켈의 표면 위를 처리하고, 다시 200회의 주기로 니켈을 증착하였으며, 두 번째는 첫 번째 방식에서 에틸요오드 주입 시 동시에 수소도 함께 주입하였다. 세 번째는 비교를 위해 에틸요오드 처리를 하지 않고 니켈 박막만을 증착 하였다. 이어서, 각 샘플을 급속 열처리 장비에서
$400^{\circ}C$ 부터$900^{\circ}C$ 까지 각각 30sec간 열처리를 진행후, 반응하지 않은 잔여 니켈을 제거한 후, XRD(x-ray diffraction), AES(auger), 그리고 4-point probe 등을 이용하여 형성된 실리사이드의 특성을 분석하였다. 에틸요오드와 함께 수소를 주입한 경우 계면에서의 산소 불순물과 카본 성분이 효과적으로 제거되어$400^{\circ}C$ 에서$2.9{\Omega}/{\Box}$ 의 낮은 면저항을 가지는 NiSi가 형성되었고 모든 온도구간에서 다른 샘플에 비하여 가장 낮은 면저항 분포를 보였다. 이는 분해 흡착된 요오드에 의한 계면 특성 향상과 카본 성분이 포함된 잔여물들이 수소처리에 의해 효율적으로 제거되어 실리사이드의 특성이 향상되었기 때문이다. 계면활성제를 사용하지 않은 경우에는$500^{\circ}C$ 에서 NiSi가 형성되었다. 반면에 에틸요오드로만 표면을 처리한 경우에는 니켈과 실리콘 계면에서의 카본 성분에 의하여 silicidation 이 충분히 일어나지 않았다. 이러한 결과는 향후 45nm 이하의 CMOS 공정상에서 소스와 드레인의 낮은 누설전류를 가지고, 접촉저항을 줄이기 위한 니켈 실리사이드 형성에 큰 도움을 줄 것으로 기대된다. -
장비와 cutting기술의 발전으로, 높은 효율성을 지닌 어려운 작업 재료들의 고속 건조 가공기술은 생산성, 가격 인하 그리고 환경적인 관점에서 중요성이 증가하게 되었다. AlTiN에서 Si의 첨가는 40GPa이상의 고경도와 1000도 이상의 산화온도를 지닌 나노혼합물 코팅을 형성시키는 것으로 알려졌다. 또한 Si가 아닌 다른 soft 물질을 첨가하고 3성분 이상의 다성분계 박막을 형성하는 실험을 하여, 물성이 어떻게 달라지는지 확인하였다. 특히, 나노 코팅층 형성이 매우 어려운 Al-Ti-N 합금계에서 Si, Cu 첨가의 영향 및 이러한 코팅층 형성을 단일합금을 이용하여 행하였을 때, 장점을 확인하였다. 이러한 연구를 위하여 Ti-Al의 합금 조성을 경도가 가장 우수한 것으로 알려진 50 : 50으로 하여 타겟을 만들고 증착시켜 기초실험을 진행하여 물성조건을 확인하고 이에 근거하여 실험을 진행하였다. 또한 3 원계 합금으로서 Cu, Si를 첨가한 연구를 수행하였다. 또한, 최적 조성의 합금 조성을 확인한 후, 단일 합금 타겟을 제조하였으며 이를 이용하여 형성된 코팅층과 다성분계 타겟을 이용한 박막의 물성을 비교하였다. 증착된 박막의 분석장비로는 SEM, EDS, XRD 와 AFM등을 이용하였으며, 막의 조직과 증착 두께, 조도 그리고 경도를 확인하고 막의 물성 특성이 향상됨을 입증하였다.
-
알루미늄 자체에 대한 질화 기술의 어려움 때문에 현재까지는 AlN 분말을 이용한 소결 공정을 통하여 주요 부품의 제작이 되어 왔으며. Al 질화 기술보다는 아노다이징과 같은 표면 산화 공정 또는 도금과 같은 기술이 선호되어 왔다. 알루미늄 질화 기술이 잘 사용되지 않았던 이유는 알루미늄 표면에 2 5 nm 두께로 존재하는 치밀한 산화층의 높은 안정성 때문에 질화반응이 어렵기 때문이다. 이 알루미늄 산화물의 안정성은 질화물에 비교하여 5 배까지 높으며, 이런 경향은 온도가 높아짐에 따라 더욱 커지기 때문이다. 특히, 알루미늄의 낮은 기계적 물성을 향상시키기 위해서는 충분히 깊은 두께로 형성되어야 할 필요성이 높으나 알루미늄에 대한 질소의 고용도가 거의 없고 확산 계수가 매우 낮기 때문에 충분히 두꺼운 질화층의 형성이 어렵기 때문이다. 결국, 알루미늄 질화가 가능하기 위해서는 표면의 산화층을 없애야 하며, 알루미늄이 AlN이 되려는 속도는
$Al_2O_3$ 를 만드려는 속도보다 매우 느리므로, 잔존 산소량을 최소화 할 필요성이 있어서 고진공 분위기에서 처리되어야 한다. 일반적으로 알루미늄 질화를 위해서는$10^{-6}\;torr$ 이하의 고진공도의 챔버가 필요하며 고순도의 반응 가스를 사용하여야 한다. 그러나 이러한 고진공하에서는 낮은 이온밀도 때문에 신속질화가 기존의 공정시간인 20시간동안, AlN층이 5um이하로 형성되었다. 본 연구에서, 알루미늄의 질화에 있어서, 표면층에 높은 전류를 걸어주어, 용융상태로 만들어주는 것이 좋다는 연구 결과를 얻었으며, 이를 토대로 신속질화를 위하여 전류밀도(전력량)에 따라 알루미늄 질화층의 형성 정도를 연구하였다. SEM, EDS, XRD등을 통해 Al의 표면에 플라즈마 질화를 통해 Al에 질소의 함유량이 증가하는 것을 확인하였으며 광학현미경을 통해 질화층의 두께와 표면조직을 확인하였다. Al 시편의 표면을 효과적으로 활성화할 수 있는$400^{\circ}C$ 이상의 온도에서 전류밀도(전력량)와 시간의 변화에 따라 질화층이 효과적으로 형성되는 조건과 시간에 따라 두께가 증가하는 경향을 확인할 수 있었다. 이러한 신속 질화 공정을 통해 2시간 이내의 질화를 통해 40um이상의 AlN층을 형성할 수 있었다. -
에너지소비와 엔진 부품의 마모문제를 해결하기 위해, soft-phase를 doping한 hard상의 coating에 대한 실험이 최근 중요한 연구 테마로서 진행 중이다. 특히 MoN-Cu coating은 미국 Argon 연구소의 Erdemer박사 등에 의해, 고온 및 상온 윤활성이 우수한 코팅층으로 보고된 이후 많은 연구가 진행되고 있다. 그러나 기존 연구는 Mo와 Cu의 원소타겟을 이용한 연구가 주력이 되었다. 높은 경도와 저온 고온에서의 낮은 나노 혼합물 코팅 종류는 일반적으로 Mo와 Cu와 같은 원소 합금을 이용한 다수 타겟을 이용한 공정에 의해 진행되어왔다. 이러한 복수의 타겟에 의해 증착 동안에는, 정확한 조성, 큰 크기의 시편들의 균일 증착을 조절하기가 쉽지 않다. 또한, 코팅층에 3번째 성분을 추가하기가 어렵다는 문제점이 있다. 본 연구에서는, 최상의 마찰계수와 표면경도를 보이는 MoN-Cu층을 형성시키기 위하여 합금으로 단일 타겟을 제조하였다. 이를 위한 최적 조성을 결정하기 위하여 Mo, Cu 단일 타겟을 이용한 Unbalanced Magnetron sputtering 법으로 다양한 Cu 함량의 MoN+Cu 합금을 제조하였으며, 이에 대한 경도 및 마찰계수 측정을 통해 최적의 Cu 함량을 결정하였다. 이러한 최적 조성의 Cu 타겟제조를 기계적 합금화와 Spark plasma sintering 기술을 이용하여 제작하였으며, 복수의 합금 타겟과 단일 합금 타겟으로 제조된 코팅층의 물성 비교를 통해 합금 타겟의 우수성 여부를 확인하고자 하였다. 증착된 두 조건의 물성을 비교 단일 타겟은 두가지 타겟으로 증착한 것보다 비슷한 조성에서 경도가 높았으며 경도가 비슷한 조성에서는 마찰계수가 낮았다. 또 입자는 10 at.% Cu 조성에 대해 단일타겟이 50nm 결정립을 갖는 반해 단일타겟은 측정이 불가능할 정도의 미세한 결정립을 가졌다. Erdemir의 연구 결과에 의하면, Cu 함량이 증가함에 따라 columnar 형태의 코팅층구조가 나노 구조로 변한다고 하였는데, 본 연구에서 복수의 원소 타겟에서는 확인이 안되었으며, 단일 합금 타겟에서 완벽한 featurless 형태의 코팅층 구조와 우수한 조도의 박막층을 얻을 수 있었다. 이렇게 제조된 다양한 코팅층에 대한 마찰계수 측정이 진행중이다.
-
최근 전자산업의 발전은 형상 면에서 경박 단소화로 급속하게 진행되고 있으며, 전자소자 내부에서의 배선재료로 사용되고 있는 알루미늄(Al) 박막의 두께 역시 얇아지고 있다. 두께가 20 nm 이하로 작은 극박막 범위에서 박막의 두께 증가에 따라 전기가 잘 흐르기 시작하는 박막의 최소두께로 정의 되는 유착두께를 실시간으로 측정하는 방법을 구현하고 임의의 금속박막과 기판의 조합에 있어서 각각의 재료에 대한 유착두께를 제공함으로써 향후 미세전자소자의 제작시 배선 재료의 선택에 대한 기초자료를 축적할 수 있다. 또한 금속박막의 증착공정 직전에 기판을 표면처리 하여 기판을 활성화시킬 때 표면처리가 박막의 유착두께에 미치는 영향에 대해 박막의 미세구조 변화 관점에서 연구함으로써 여러 가지 금속박막에 대한 유착두께를 줄일 수 있는 방법을 도출 할 수 있다. 본 연구에서는 유리 기판 위에 사진 식각 공정으로 패턴을 형성하고 패턴이 형성된 유리 기판은 스퍼터에 연결된 4 point probe에 구리 도선으로 연결한 후 DC 마그네트론 스퍼터법으로 Al을 증착하면서 실시간으로 시간에 따른 전기저항을 측정을 하였다. 이때 스퍼터 내부 진공도는
$4.6\;{\times}\;10^{-5}\;torr$ 까지 낮춰준 후 Al을 증착 할 때 진공도는$1.1\;{\times}\;10^{-2}\;torr$ 로 맞춰주고 Ar 가스를 20 sccm 넣어준다. 1초 간격으로 전기저항을 측정한 결과 25초대에 전기저항이 급격히 감소하였으며 이때 Al 박막의 두께는$120{\AA}$ 이고 이 두께에서부터 전류의 흐름이 좋은 것을 알 수 있다. 박막 두께에 따른 특성을 알기위해 UV 영역의 빛을 사용하는 광전자 분광기(Photoelectron Spectrometer)를 이용해 일함수를 측정하였다. Al 의 일반적인 일함수는 4.28 eV 이며, 두께가$120{\AA}$ 일 때의 일함수는 4.2 eV로 거의 비슷한 값을 얻었다. 전류가 잘 흐르기 전인 12초대에서 두께가$60{\AA}$ 일 때 일함수는 4.00 eV 이고 전류가 흐르기 시작한 후 50초대에서 Al 박막 두께가$200{\AA}$ 일 때 일함수는 4.28 eV 로 일반적인 Al의 일함수와 같은 값을 얻을 수 있었다. 광전자 분광기술은 전자소자에서 중요한 전자의 성능예측에 도움을 줄 수 있으며 물질의 표면에서 더욱 다양한 정보를 얻을 수 있다. 또한 실시간 전기저항 측정을 통한 금속박막의 전기전도 특성과 미세구조에 대한 기초 자료를 제공함으로써 신기술 발전에 공헌할 것이다. -
Cobalt (Co) 박막은 낮은 저항과 우수한 열적 안정성에 의해 금속 배선공정에서 copper의 확산 및 산화를 방지하기 위해 사용된다. Co 박막을 증착하기 위해서는 유기화학 증착법이 많이 사용되고, 이를 위해 많은 Co 전구체들이 연구되어지고 있다. 본 연구에서는
$Co(hfac)_2$ 전구체의 플라즈마 및 반응가스에 따른 기상상태의 변화와 증착공정에서 발생되는 입자의 크기와의 상관관계를 연구하였다. 실험의 변수로 반응가스 ($H_2$ ,$H_2O$ )와 플라즈마 파워 (0~50W)를 사용하였다. 또한 Co 전구체의 기상분해 및 반응을 분석을 위해 fourier transform infrared (FT-IR) spectroscopy를 사용하였다. 그리고 기상상태의 변화가 입자 형성에 끼치는 영향을 관찰하기 위해 저압에서 실시간으로 나노입자를 측정할 수 있는 장비인 particle beam mass spectrometer (PBMS)를 활용하였다. -
A multiple alloy metal nano-dots memory using FN tunneling was investigated in order to confirm its structural possibility for future flash memory. In this work, a multiple FePt nano-dots device with a high work function (~5.2 eV) and extremely high dot density (
${\sim}\;1.2{\times}10^{13}/cm^2$ ) was fabricated. Its structural effect for multiple layers was evaluated and compared to one with a single layer in terms of the cell characteristics and reliability. We confirm that MOS capacitor structures with 2-4 multiple FePt nano-dot layers provide a larger threshold voltage window and better retention characteristics. Furthermore, it was also revealed that several process parameters for block oxide and inter-tunnel oxide between the nano-dot layers are very important to improve the efficiency of electron injection into multiple nano-dots. From these results, it is expected that a multiple FePt nano-dots memory using Fowler-Nordheim (FN)-tunneling could be a candidate structure for future flash memory. -
New non-volatile memory with high density and high work-function metal nano-dots, MND (Metal Nano-Dot) memory, was proposed and fundamental characteristics of MND capacitor were evaluated. In this work, nano-dot layer of FePt with high density and high work-function (~5.2eV) was fabricated as a charge storage site in non-volatile memory, and its electrical characteristics were evaluated for the possibility of non-volatile memory in view of cell operation by Fowler-Nordheim (FN)-tunneling. Here, nano-dot FePt layer was controlled as a uniform single layer with dot size of under ~ 2nm and dot density of
${\sim}\;1.2{\times}10^{13}/cm^2$ . Electrical measurements of MOS structure with FePt nano-dot layer shows threshold voltage window of ~ 6V using FN programming and erasing, which is satisfied with operation of the non-volatile memory. Furthermore, this structure provides better data retention characteristics compared to other metal dot materials with the similar dot density in our experiments. From these results, it is expected that this non-volatile memory using FePt nano-dot layer with high dot density and high work-function can be one of candidate structures for the future non-volatile memory. -
Si(100) 표면에 이온을 일정한 에너지로 dose량을 동일하게 유지하고, dose rate만을 변화시켜가며 주입한 후에 depth profile과 damage, 그리고 sheet resistance를 조사하였다. 일정한 에너지로 이온을 주입하여도 dose rate의 변화에 따라서 depth profile에 변화를 보이는 것을 확인할 수 있었고 sheet resistance역시 dose rate변화에 비례하여 변화하는 것을 확인할 수 있었다. 본 연구는 Crystal-TRIM program으로 computer simulation 하여 damage profile의 결과를 통해 dose rate가 클수록 시료 표면 근처에 잔류 damage의 양이 높게 나타나는 것을 알 수 있었고 그 잔류 damage의 표면근방 분포가 sheet resistance에 직접적인 영향을 미친다는 것을 확인할 수 있었다.
-
$CN_x$ films fabricated by different deposition techniques to synthesize of$\beta-C_3N_4$ involve two problems; nitrogen deficiency and$sp^2$ hybridized bonding. Nitrogen contents in most of the thin films are lower than stoichiometric composition 57% -
최근 활발히 연구되고 있는 AMOLED는 평판 디스플레이 분야를 이끌어 갈 차세대 선두 주자로 크게 주목 받고 있다. AMOLED는 전압 구동 방식인 AMLCD와 다르게 전류 구동 방식으로 a-Si TFT 보다 LTPS-TFT 사용이 요구되며, 대면적 기판으로 갈수록 결정립의 균일도가 매우 중요한 인자로 작용한다. 현재 양산이 가능한 AMOLED는 핸드폰이나 15인치 TV정도로 크기가 소형이며 대형 TV나 컴퓨터 모니터 등을 양산하기 위해 많은 방법이 시도되고 있다. 양산체제에서 사용되는 결정화 방법으로는 ELC가 가장 많은 부분을 차지하고 있다. 그러나 레이저를 사용하는 ELC 방법은 대면적으로 갈수록 레이저 빔 자체의 불균일성, shot to shot 불균일성, 레이저빔 중첩의 부정확도 등으로 인한 균일도의 부정확성이 커짐으로 인한 mura 현상이 나타나고 레이저 장비의 사용에 대한 비용 부담을 피할 수 없다. 따라서 non-laser 방식에 결정화 방법이 요구되나 SPC 경우는 상대적으로 고온에서 장시간이 걸리고, MIC 뿐만 아니라 MIC 응용 방법들은 금속 오염에 대한 문제가 발생하고 있는 실정이다. 이러한 문제로 인하여 결정립 크기의 균일도가 우수한 다결정 실리콘 박막을 제조하는 신기술에 대한 필요성이 매우 높은 실정이다. 본 연구에서는 비정질 실리콘 박막 상부 혹은 하부에 도전층을 개재하고, 상기 도전층에 전계를 인가하여 그것의 주울 가열에 의해 발생한 고열로 비정질 실리콘 박막을 급속 고온 고상 결정화하는 방법에 관한 기술인 JIC (Joule-heating Induced Crystallization) 결정화 공정을 개발하였다. 본 공정은 상온에서 수 micro-second 내에 결정화를 수행하는 것이 가능하며 도전층과 실리콘 박막 사이에 barrier층 삽입를 통하여 금속 오염을 막을 수 있으며 공정적인 측면에서도 별도의 chamber가 필요하지 않는 장점을 가지고 있다. 본 논문에서는 JIC 결정화 공정 조건에 따른 결정화 기구 및 JIC poly-Si의 미세구조 및 물리적 특성에 관한 논의가 이루어질 것이다.
-
최근에 반도체 소자 및 마이크로머신, 바이오센서 등에 사용되는 미세 부품에 대한 연구 개발이 활발히 진행되고 있다. 미세 부품을 제작하기 위한 MEMS 공정은 대표적으로 화학용액을 이용한 습식식각, 플라즈마를 이용한 건식식각 등이 주를 이룬다. Micro blaster는 경도가 강하고 화학적 내성을 가지며 용융점이 높아 반도체 MEMS 공정에 어려움이 있는 기판을 다양한 형태로 식각 할 수 있는 기계적인 식각 공정 기술이라 할 수 있다. Micro blaster의 식각 공정은 고속의 날카로운 입자가 공작물을 타격할 때 입자의 아래에는 고압축응력이 발생하게 되고, 이 고압축 응력에 의하여 소성변형과 탄성변형이 발생된다. 이러한 변형이 발전되어 재료의 파괴 초기값보다 크게 되면 크랙이 발생되고, 점점 더 발전하게 되면 재료의 제거가 일어나는 단계로 이루어진다. 본 연구에서는 micro blaster 장비를 반도체 MEMS 공정에 적용하기 위한 식각 특성에 관하여 확인하였다. Micro blaster 장비와 식각에 사용한 파우더는 COMCO INC. 제품을 사용하였다. Micro blaster를
$Al_2O_3$ 파우더의 입자 크기, 분사 압력, 기판의 종류, 노즐과 기판과의 간격, 반복 횟수, 노즐 이동 속도 등의 공정 조건에 따른 식각 특성에 관하여 분석하였다. 특히 실제 반도체 MEMS 공정에 적용 가능한지 여부를 확인하기 위하여 바이오 PCR-chip을 제작하였다. 먼저 glass 기판과 Si wafer 기판에서의 식각률을 비교 분석하였고, 이 식각률을 바탕으로 바이오 PCR-chip에 사용하게 될 미세 홀과 미세 채널, 그리고 미세 챔버를 형성 하였다. 패턴을 형성하기 위하여 TOK Ordyl 사의 DFR(dry film photoresist:BF-410)을 passivation 막으로 사용하였다. Micro blaster에 사용되는 파우더의 직경이 수${\mu}m$ 이상이기 때문에$10\;{\mu}m$ 이하의 미세 채널과 미세홀을 형성하기 어려웠지만 현재 반도체 MEMS 공정 기술로 제작 연구되어지고 있는 바이오 PCR-chip을 직접 제작하여 micro blaster를 이용한 반도체 MEMS 공정 기술에 적용 가능함을 확인하였다. -
In this paper, we investigated the diffraction grating efficiency on AsSeS and Ag-doped amorphous chalcogenide Ag/AsSeS thin film for used to volume hologram. The Chalcogenide film thickness was 0.5um and Ag thin film was varied from 10nm and 20nm. Diffraction efficiency was obtained from (P:P) polarized Diode Pumped Solid State laser(DPSS, 532.0nm: 200mW) beam on AsSeS and Ag/AsSeS thin films. As a results, diffraction grating was not formed at AsSeS thin film but at Ag-doped AsSeS thin film, diffraction grating was formed well compare with the former.
-
DLC(diamond-like carbon)는 비정질 고상 탄소의 하나로 다이아몬드의 유사한 높은 경도, 내마모성, 윤활성, 전기절연성, 화학적 안정성을 가지고 있는 재료이다. 이러한 우수한 특성 때문에 DLC는 박막의 형태로 여러 종류의 보호코팅에 많이 응용되고 있다. DLC의 광범위한 응용에 있어 가장 큰 문제점은 박막이 갖는 높은 잔류응력과 이에 따른 기판과의 낮은 접착성으로 알려져 있다. 최근 연구에 의하면, plasma pre-treatment를 통해 PTFE기판에 DLC박막의 adhesion strength를 증진 시킬 수 있다고 보고하였다. 또한 ion beam technique를 이용하여 잔류응력을 줄이고 기판과의 접착력을 높일 수 있다는 것도 보고 되었다. 이에 기인하여 pre-treatment가 DLC합성에 잔류응력을 낮추고 기판과의 접착성을 높이는 효과를 보일 것이라고 가정하고 연구하였다. 본 연구에서 pre-treatment가 Diamond-like Carbon의 stainless steel 합성시 stress와 adhesion에 어떤 효과가 있는지 알아보기 위해, pre-treatment시와 synthesis of DLC film시에 13.56MHz 150W RF플라즈마 화학기상 증착 (RF-PECVD) 법을 통해 합성되었다. pre-treatment시에 H2(80 sccm), O2(10 sccm), N2(20 sccm)의 가스 종류를 다르게 하였고, synthesis of DLC film시에는 CH4 (20 sccm), H2 (80 sccm)가스의 유량을 고정하였다. 합성된 DLC 박막은 Contact Angle Analyze, Raman spectroscopy, Scratch tester를 이용하여 접촉각, D peak Position, G peak Position, ID/IG ratio, 접착력을 측정하였다.
-
CMOS 축소화가 32nm node를 넘어서 지속적으로 진행되기 위하여 FinFET, Surround Gate and Tri-Gate와 같은 Fully Depleted 3-Dimensional 소자들이 SCE를 다루기 위해서 많이 제안되어 왔다. 하지만 소자의 축소화를 진행함에 있어서 좁고 균일한 patterning을 형성하는 것과 동시에 낮은 Extension Region과 Contact Region에서의 Series Resistance을 제공하여야 하고 Source/Drain Contact Formation을 확보하여야 한다. 그리고 소자의 축소화가 진행됨으로써 Silicide의 응집현상과 Source/Drain Junction의 누설전류에 대한 허용범위가 점점 엄격해지고 있다. ITRS 2005에 따르면 32nm CMOS에서는 Contact Resistivity가 대략
$2{\times}10-8{\Omega}cm2$ 이 요구되고 있다. 또한 Three Dimensional 소자에서는 Fin Corner Effect가 Channel Region뿐만 아니라 S/D Region에서도 중대한 영향을 미치게 된다. 따라서 본 논문에서 제시하는 Novel S/D Contact Formation 기술을 이용하여 Self-Aligned Dual/Single Metal Contact을 이루어Patterning에 대한 문제점 해결과 축소화에 따라 증가하는 Contact Resistivity 문제점을 해결책을 제시하고자 한다. 이를 검증하기3D MOSFET제작하고 본 기술을 적용하고 검증한다. 또한 Normal Doping 구조를 가진3D MOSFET뿐만 아니라 SCE를 해결하기 위해서 대안으로 제시되고 있는 SB-MOSFET을 3D 구조로 제작하고, 이 기술을 적용하여 검증한다. 그리고 Silvaco simulation tool을 이용하여 S/D에 Metal이 Contact을 이루는 구조가 Double type과 Triple type에 따라 Contact Resistivity에 미치는 영향을 미리 확인하였고 이를 실험으로 검증하여 소자의 축소화에 따라 대두되는 문제점들의 해결책을 제시하고자 한다. -
The effect of forming a passivation layer was investigated in superconformal Cu gap-filling of the nano-scale trench with atomic-layer deposited (ALD)-Ru glue layer. It was discovered that the nucleation and growth of Cu during metal-organic chemical vapor deposition (MOCVD) were affected by hydrogen plasma treatments. Specifically, as the plasma pretreatment time increased, Cu nucleation was suppressed proportionally. XPS and Thermal Desorption Spectroscopy indicated that hydrogen atoms passivate the Ru surface, which leads to suppression of Cu nucleation owing to prevention of adsorption of Cu precursor molecules. For gap-fill property, sub 60-nm ALD Ru trenches without the plasma pretreatment was blocked by overgrown Cu after the Cu deposition. With the plasma pretreatment, superconformal gap filling of the nano-scale trenches was achieved due to the suppression of Cu nucleation near the entrances of the trenches. Even the plasma pretreatment with bottom bias leads to the superconformal gap-filling.
-
The random telegraph signal (RTS) for the NOR flash cell scaling is investigated. An innovative method to suppress the RTS, based on the device engineering, is proposed. By optimizing the channel doping profile and using the high-k tunnel dielectric, it is confirmed from three-dimensional (3-D) simulation, that the
$V_{th}$ amplitude, dueto RTS, is significantly suppressed, from approximately 0.5 to 0.07 V in the middle of the channel at 45 nm NOR Flash technology. From this result, it is expected that the proposed method to suppress the RTS amplitude is essential for further cell size scaling in Flash memory. -
카메라 모듈에서 사용되어지는 적외선 차단 필터는 적외선 영역을 차단시킴으로써 보다 선명한 영상을 획득할 수 있는 매우 중요한 부품으로 각광을 받고 있다. 가시광선과 적외선 영역에서 주로 사용되어지는 광학 박막 물질로는 고 굴절률을 가지는
$TiO_2$ 와 저 굴절률을 가지는$SiO_2$ 가 일반적으로 쓰인다. 본 실험에서는 카메라 모듈용으로 사용되어지는 적외선 차단 필터를 설계하고 이온빔 증착 장비를 이용하여 코팅 공정을 한 후에 각각의 특성들을 평가하였다. Macleod 프로그램을 사용하여 640nm 및 650nm 차단 필터를 설계하였으며, 설계된 데이터를 이용하여 Ion-Assisted Deposition 장비를 사용,$TiO_2/SiO_2$ 유전층을 다층 박막으로 증착하였다. 코팅되어진 차단 필터의 특성을 관찰하고자 Spectrophotometer를 이용하여 투과도를 측정하였고, SEM 사진 단면 관찰로 다층 박막의 두께를 알 수 있었으며, AFM 측정으로 표면의 거칠기 정도를 알 수 있었다. 이러한 결과로부터 필터의 파장을 조절하여 박막을 증착하였다. 640nm 및 650nm 차단 필터는 설계 곡선과 각각 6nm와 2nm 이내에서 일치하였으며, 400~600nm에서 80% 이상의 투과도를 보였고, 근적외선 영역인 700nm이상에서는 1%이하의 투과도를 보였다. 이러한 결과는 wafer level packaging 을 이용한 카메라 모듈 조립 공정에 응용할 수 있으며, 본 실험에서 제작된 적외선 차단 필터를 이용, 8인치 차단 필터를 제작하는데 기초데이터로 사용할 수 있을 것이다. -
적외선 센서는 빛의 유무에 관계없이 주 야간 전방의 물체에서 발산하는 미약한 적외선(열선)을 감지하여 영상으로 재현하는 열상시스템은 자동차 야간 운전자 보조용 나이트 비젼, 핵심 시설의 감시 관리, 군수 등의 분야에 적용되어지고 있는 최첨단, 고부가가치를 지니고 있는 기술이다. 양자형은 센서 특성은 좋으나 냉각기(작동온도:
$-196^{\circ}C$ ) 및 고진공 패키지인 dewar를 사용하는 반면에, 열형은 대부분 상온에서 동작되는 온도안정화를 위한 전자냉각모듈만을 구비하면 되므로 저가형으로 제작이 가능한 비냉각형 적외선 센서이다. 본 연구에서는 적외선 센서용 진공패키지 조립공정 및 패키지된 센서의 측정기술을 개발하였다. 금속 메탈패키지를 제작하였으며, 금속 진공패키지는 소자냉각용 TE Cooler와 장수명 진공유지를 위한 getter, 그리고 센서칩, 온도센서를. 장착하여 칩을 조립하였다. Cap ass'y와 base envelop의 솔더링 공정을 수행하였으며, 진공패키지의 진공유지를 위해 TMP를 이용하여 진공을 유지하고, 약 5일동안 패키지 bake-out을 수행하였다. 진공압력은$10^{-7}\;torr$ 이하를 유지하였으며, getter를 활성화시키고, pinch-off 공정으로 조립 ass'y를 완성하였다. 진공 패키지의 기밀성은 He leak tester를 이용하여 측정하였으며,${\sim}10^{-9}\;std.cm^3/sec$ 로 기밀성을 유지하였다. TE cooler를 작동한 온도안정성은 0.05 K 이하였다. 볼로미터 센서의 반응도는$10^2\;V/W$ 이상을 나타내었으며, 탐지도는$2{\times}10^8cm-Hz^{1/2}/W$ 를 나타내었다. 본 연구를 통하여 얻어진 결과는 향후 2차원 열영상용 어레이 검출기 및 웨이퍼수준의 패키징 공정에 유용하게 응용될 것으로 판단된다. -
Silicon-oxide-nitride-oxide-silicon (SONOS) 구조를 가지는 플래쉬 메모리 소자는 기존의 플래쉬 메모리 소자에 비해 쓰고 지우는 속도가 빠르고, 데이터의 저장 기간이 길며, 쓰고 지우는 동작에 의한 전계 스트레스에 잘 견뎌내는 장점을 가지고 있다. 그러나 SONOS 형태의 플래쉬 메모리 소자에 대한 전기적 특성에 대한 연구는 많이 진행되었으나, SONOS 형태의 플래쉬 메모리에서 소자의 셀 사이즈가 감소함에 따라 발생하는 인접한 셀 간의 간섭 현상에 대한 연구는 상당히 미흡하다. 본 연구에서는 SONOS 형태의 플래쉬 메모리에서 소자의 셀 사이즈가 작아짐에 따라 발생하는 인접한 셀 간의 간섭 현상에 대해 조사하였다. SONOS 형태의 플래쉬 메모리소자의 터널링 산화막, 질화막과 블로킹 산화막의 두께를 결정하였고, 각 셀의 크기가 감소함에 따라 발생하는 소자의 전기적 특성을 3차원 시뮬레이션 툴인 Sentaurus를 사용하여 계산하였다. 병렬 캐패시턴스에 의해 셀들 사이에 발생하는 커플링 효과를 확인하기 위해 선택한 셀의 문턱 전압이 주변 셀들의 프로그램 상태에 의해 받게되는 영향을 관찰하였다. 본 연구에서는 셀 사이에 간섭 방지층을 삽입함으로 인접 셀 간 발생하는 간섭현상의 크기를 크게 줄일 수 있음을 시뮬레이션 결과를 통하여 확인하였다. 이때 간섭 방지층의 깊이에 따라 감소하는 문턱전압의 변화량을 계산하였고, 방지층을 충분히 깊게 제작함으로 셀 간 간섭 현상을 막을 수 있음을 확인 하였다.
-
기존의 부유게이트를 이용한 플래시 메모리는 소자의 크기를 줄이는데 한계가 있기 때문에 이를 해결하기 위한 비휘발성 메모리 소자로 CTF가 큰 관심을 받고 있다. CTF 메모리 소자는 기존의 플래쉬 메모리 소자에 비해 쓰고 지우는 속도가 빠르고, 데이터의 저장 기간이 길며, 쓰고 지우는 동작에 의한 전계 스트레스에 잘 견뎌내는 장점을 가지고 있다. 최근 터널 장벽의 두께와 종류를 변화시킨 소자의 전기적 특성을 향상하기 위한 연구들은 많이 있었지만, 터널 장벽의 적층구조 변화에 대한 연구는 비교적 적다. 본 연구에서는 터널 장벽의 적층구조 변화에 따른 CTF 메모리 소자의 프로그램 동작 특성 변화에 대해 관찰하였다. 기존의 단일 산화막 (silicon oxide; O) 대신 산화막과 higk-k 물질인 질화막 (silicon nitride; N)을 조합하여 ON, NON, ONO로 터널 장벽의 여러 가지 적층 구조를 가진 소자를 설계하여 각 소자의 프로그램 동작 특성을 조사하였다. CTF 메모리 소자의 프로그램 동작 특성을 거리와 시간에 따른 연속방정식, Shockley-Read-Hall 유사 트랩 포획 방정식 및 푸아송 방정식을 유한차분법을 사용하여 수치해석으로 분석하였다. WKB 근사를 이용하여 인가된 전계의 크기에 따라 터널링 현상에 의해 트랩층으로 주입하는 전자의 양을 계산하였다. 또한, 터널 장벽의 적층구조 변화에 따른 트랩층의 전도대역과 트랩층 내부에 분포하는 전자의 양을 시간에 따라 계산하였다. 계산 결과에서 터널 장벽의 적층구조 변화가 CTF 메모리 소자의 프로그램 동작 특성에 미치는 영향을 알 수 있었다. 소자의 프로그램 동작 특성을 분석함으로써 CTF 메모리 소자에 적합한 터널 장벽의 구조를 알 수 있었다. 기존의 단일 산화막보다 얇아진 산화막의 두께와 낮은 질화막의 에너지 장벽 높이로 전자의 터널링 현상이 더 쉽게 일어나기 때문에 ON 구조로 터널 장벽을 적층한 CTF 메모리 소자의 프로그램 속도가 가장 빠르게 나타났다. 이러한 결과는 터널 장벽의 구조적 변화가 전자의 터널 효과에 미치는 영향을 이해하고 프로그램 동작 속도가 빠른 CTF 메모리 소자의 최적화에 도움을 줄 수 있다.
-
전하 트랩형 비휘발성 메모리는 10년 이상의 데이터 보존 능력과 빠른 쓰기/지우기 속도가 요구 된다. 그러나 두 가지 특성은 터널 산화막의 두께에 따라 서로 trade off 관계를 갖는다. 즉, 두 가지 특성을 모두 만족 시키면서 scaling down 하기는 매우 힘들다. 이것의 해결책으로 적층된 유전막을 터널 산화막으로 사용하여 쓰기/지우기 속도와 데이터 보존 특성을 만족하는 Tunnel Barrier engineered Memory (TBM)이 있다. TBM은 가운데 장벽은 높고 기판과 전극쪽의 장벽이 낮은 crested barrier type이 있으며, 이와 반대로 가운데 장벽은 낮고 기판과 전극쪽의 장벽이 높은 VARIOT barrier type이 있다. 일반적으로 유전율과 밴드갭(band gap)의 관계는 유전율이 클수록 밴드갭이 작은 특성을 갖는다. 이러한 관계로 인해 일반적으로 crested type의 터널 산화막층은 high-k/low-k/high-k의 물질로 적층되며, VARIOT type은 low-k/high-k/low-k의 물질로 적층된다. 이 형태는 밴드갭이 다른 물질을 적층했을 때 전계에 따라 터널 장벽의 변화가 민감하여 전자의 장벽 투과율이 매우 빠르게 변화하는 특징을 갖는다. 결국 전계에 민감도 향상으로 쓰기/지우기 속도가 향상되며 적층된 유전막의 물리적 두께의 증가로 인해 데이터 보존 특성 또한 향상되는 장점을 갖는다. 본 연구에서는 기존의 TBM과 다른 형태의 staggered tunnel barrier를 제안한다. staggered tunnel barrier는 heterostructure의 에너지 밴드 구조 중 하나로 밴드 line up은 두 밴드들이 같은 방향으로 shift된 형태이다. 즉, 가전자대 에너지 장벽의 minimum이 한 쪽에 생기면 전도대 에너지 장벽의 maximum은 반대쪽에 생기는 형태를 갖는다. 이러한 밴드구조를 갖는 물질을 터널 산화막층으로 하게 되면 쓰기/지우기 속도를 증가시킬 수 있으며, 데이터 보존 능력 모두 만족할 수 있어 TBM의 터널 산화막으로의 사용이 기대된다. 본 연구에서 제작한 staggered TBM소자의 터널 산화막으로는
$Si_3N_4$ /HfAlO (Hf:Al=1:3)을 사용하여 I-V(current-voltage), Retention, Endurance를 측정하여 메모리 소자로서의 특성을 분석하였으며, 터널 산화막의 제 1층인$Si_3N_4$ 의 두께를 1.5 nm, 3 nm일 때의 특성을 비교 분석하였다. -
최근 반도체 공정을 위한 증착이나 식각장비에 있어서 웨이퍼 크기의 증가는 새로운 연구 분야를 발생시켰다. 웨이퍼의 크기가 200 mm에서 300 mm, 450 mm로 커지지만, 같은 특성 혹은 더 좋은 특성을 필요로 하는 플라즈마를 이용하는 진공장비의 기하적 구조는 비례적으로 증가하지 않는다. 이런 이유로 450 mm의 웨이퍼 공정용 장비의 제작에 있어서 진공 부품과 플라즈마 발생 소스는 더 이상 시행착오로 실험하기에는 막대한 돈과 시간, 인력의 투자가 필요하기 때문에 불가능하게 되었다. 이런 시행착오를 줄이기 위함의 일환으로 본 연구에서는 450 mm 웨이퍼 공정용 장비의 챔버 구성에 따른 플라즈마 균일도를 수치 모델링으로 예측했다. 챔버를 구성함에 있어서 baffle의 형상과 위치, 배기 manifold에 따른 유동분포, 플라즈마 균일도를 위한 안테나의 구조 등 중요한 요소들이 많이 존재하지만, 일단 전체적인 챔버의 종횡비가 결정되어야 가능한 일들이다. 첫째, 기판홀더와 챔버 벽면 간의 거리, 기판홀더와 배기구까지의 거리, 기판과 소스와의 거리가 인입되는 가스 분포와 플라즈마 균일도에 가장 큰 영향을 끼칠 것으로 판단된다. 즉, 위의 세 가지 챔버 내부 구조물의 크기 비에 따라 기판 바로 위에서의 플라즈마 균일도가 가장 좋은 디자인을 최적화하는 것이 본 계산의 목적이다. 기판 표면에서의 플라즈마 밀도 균일도는 기판홀더와 벽면과의 거리, 기판과 소스와의 거리가 멀수록, 기판홀더와 배기구와의 거리가 짧을수록 좋아졌으며, 그림과 같이 안테나의 디자인이 4 turn으로 1층인 경우, 두 turn의 안테나만 사용하여 기판표면에서 20~30%의 플라즈마 균일도를 4.7%까지 낮출 수 있었다
-
One of the critical issues for applications of flexible organic thin film transistors (OTFTs) for flexible electronic systems is the electrical stabilities of the OTFT devices, including variation of the current on/off ratio (
$I_{on}/I_{off}$ ), leakage current, threshold voltage, and hysteresis, under repetitive mechanical deformation. In particular, repetitive mechanical deformation accelerates the degradation of device performance at the ambient environment. In this work, electrical stabilities of the pentacene organic thin film transistors (OTFTs) employing multi-stack hybrid encapsulation layers were investigated under mechanical cyclic bending. Flexible bottom-gated pentacene-based OTFTs fabricated on flexible polyimide substrate with poly-4-vinyl phenol (PVP) dielectric as a gate dielectric were encapsulated by the plasma-deposited organic layer and atomic layer deposited inorganic layer. For cyclic bending experiment of flexible OTFTs, the devices were cyclically bent up to$10^5$ times with 5mm bending radius. In the most of the devices after$10^5$ times of bending cycles, the off-current of the OTFT with no encapsulation layers was quickly increased due to increases in the conductivity of the pentacene caused by doping effects from$O_2$ and$H_2O$ in the atmosphere, which leads to decrease in the$I_{on}/I_{off}$ and increase in the hysteresis. With encapsulation layers, however, the electrical stabilities of the OTFTs were improved significantly. In particular, the OTFTs with multi-stack hybrid encapsulation layer showed the best electrical stabilities up to the bending cycles of$10^5$ times compared to the devices with single organic encapsulation layer. Changes in electrical properties of cyclically bent OTFTs with encapsulation layers will be discussed in detail. -
레이저 유기 형광법은 비침투적인 방법으로 플라즈마를 진단할 수 있는 장점이 있다. 특히 헬륨 플라즈마 내에서 전기장이 존재하는 경우에 헬륨의 에너지 준위가 분리되는 STARK 효과를 이용하여 기판 부근에 발생한 쉬스 내의 전기장을 측정할 수 있다[1]. 그러나 플라즈마의 생성을 위한 RF 소스와 레이저 간의 위상이 동기화 되지 않는 경우엔, 그 결과 값의 보정이 필요하다. 외부의 전기장이 시변하는 경우에 각각의 위상에서 헬륨의 여기종이 느끼는 전기장의 세기는 다르다. 따라서 레이저가 어떤 타이밍에 입사되는 가에 따라 신호의 분리되는 정도가 달라지는데, 레이저와 외부 전기장의 위상을 동기화하지 않은 경우에는 관측된 신호는 각각의 위상에서 여러 가지로 분리된 신호가 더해진 합의 형태로 나타난다. 이는 외부에서 인가된 전기장의 가장 큰 값을 나타낸다고 알려져 있었다[2]. 그러나 레이저 유도 형광 신호는 넓게 분산을 가지므로 이는 보정되어야 한다. 본 연구에서는 각각의 위상에서 출력되는 형광 신호를 구하고 시간의 영역에서 1주기 동안 적분하여 실제로 관측될 레이저 유도 형광신호의 보정치를 계산하였다. 이를 실험적으로 검증하기 위해서 유도 결합 플라즈마 반응 챔버 내에서 플라즈마를 방전시킨 후에, 레이저 유도 형광법을 사용하여 기판 위에 생성된 쉬스 내의 전기장을 측정하였다. 그리고, 랑뮤어 프루브를 이용하여 벌크 플라즈마 내의 플라즈마 전압을 구하고, 이를 적분 상수로 삼아 쉬스 내의 전위 분포를 구하였다. 또한 기판에 인가되는 전압을 직접 측정하여 위에서 구한 전위 분포치와 보정을 한 후의 전위 분포치를 비교, 검토하여 보정치를 검증하였다.
-
본 연구는 양전자 소멸 측정 분광법을 통하여 BaSrFBr:Eu 형광물질의 결함 농도 분석을 시도하였다. LABO를 이용한 동시계수 도플러 방법과 Fast - Slow - Coincidence 시스템으로 구성한 양전자 수명 분광법을 통하여 에너지의 변화에 따른 양성자 조사에 의한 시료 결함에 따른 동시 계수 도플러법과 양전자 수명의 변화를 측정 하였으며, SRIM 시뮬레이션을 통한 에너지에 따른 양성자 투과 깊이의 변화를 연구하였다.
-
OTFT소자는 각 박막계면간의 접촉성에 따라 그 성능이 좌우 된다는 것은 널리 알려진 사실이다. 즉 박막계면간의 접촉성 저하는 계면간의 결함을 형성하여 OTFT소자 성능을 저하시킨다. 이러한 결함을 고품질의 자기조립단분자막을 제작함으로써 박막계면간 결함을 최소화 할 수 있다. 이러한 고품질의 자기조립단분자막 형성은 박막계면간의 결함을 최소화 하기때문에 고성능OTFT소자 제작시 박막계면간 접촉성 향상에 효율적으로 적용할 수 있을것이다. 이 논문에서는 계면간의 접촉성 향상을 위해 실리콘 웨이퍼 위에 3-Aminoproplytriethoxtsilane과 용매인 무수톨루엔을 이용하여 고품질의 자기조립단분자막을 제작 하였으며 고품질을 자기조립단분자막 성장 조건을 찾기 위해 엄격한 수분조절 및 APS농도, 담근시간, 온도를 조절하여 각기 다른 조건의 샘플을 제작하였다. 또한 APS성장 분포를 알기위하여 접촉각 측정기를 이용하여 접촉각을 측정 하였고 AFM 이용하여 실리콘 웨이퍼에 생성된 박막의 균질도를 측정하였다. 그 결과 APS농도(33%) 24시간
$25^{\circ}C$ , APS농도(33%) 24시간$70^{\circ}C$ , APS농도(33%) 72시간$25^{\circ}C$ , APS농도 (33%) 72시간$70^{\circ}C$ 샘플이 기존에 알려진 APS 접촉각인$19^{\circ}C{\sim}21^{\circ}C$ 접촉각이 나왔으며 AFM 이미지 또한 높은 균질도를 보였다. 이 결과 고품질의 APS단분자막은 농도와 시간 그리고 온도에 영향은 받으며 이렇게 완성된 단분자막은 높은 균질도를 가지게 된다. 현재 실험을 통해 얻어진 고품질의 자기조립단분자막 성장 조건을 이용하여 OTFT소자 제작하고 있으며 고품질의 자기조립단분자막 형성에 의해 결함을 최소화 하므로써 박막계면간 옴성결합을 형성하여 OTFT소자의 성능 향상이 기대되어 진다. -
본 연구에서는 PBMS (Particle Beam Mass Spectrometer)와 ISPM (In-Situ Particle Monitor)을 연계하여 BPSG (Borophosphosilicate Glass) 및 PSG (Phosphosilicate Glass) 박막 증착을 위한 CVD (chemical vapor deposition) 공정 중 발생하는 오염입자 발생특성에 대해 비교 평가하였다. 소스는 TEB (Triethylborate), TEPO (Triethylphosphate) 및 TEOS (Tetraethoxysilane)를 사용하였고, 운반가스 및 반응가스로 He과
$O_2$ 및$O_3$ 를 사용하였다. 증착온도와 압력은 각각$450^{\circ}C$ , 200 Torr 이었다. 반응기의 배기라인에 PBMS와 ISPM을 설치하고 500 nm 이하의 입자에 대해 공정단계별 시간에 따른 모니터링 결과 전 공정에 걸쳐 동일한 패턴의 입자발생분포를 보였으며, 특히 PBMS의 경우 ISPM의 입자측정한계인 260 nm 이하의 입자크기도 측정할 수 있었다. 입자발생이 안정적으로 일어나는 증착공정 중 PBMS를 통하여 입자크기를 측정한 결과 BPSG의 경우 약 110 nm, PSG의 경우 약 80 nm의 분포를 나타내었다. 이를 통해 TEB 소스가 배제된 PSG의 경우 BPSG의 경우보다 입자의 성장이 지체됨을 확인하였다. 측정에 대한 신뢰성을 확보하기 위해 PBMS 내의 TEM (Transmission Electron Microscopy) grid를 이용하여 입자를 샘플링 하였고, TEM 분석을 실시한 결과 PBMS 측정결과와 잘 일치하였다. 또한 EDS (Energy Dispersive Spectroscopy) 분석을 통하여 입자성분에 대해 검증하였다. -
반도체 제조공정 중 식각공정(Etching)은 웨이퍼표면으로부터 화학적, 물리적으로 불필요한 물질들을 선택적으로 제거하는 방법이다. 식각공정 중 하나인 플라즈마 식각(Plasma etching) 공정에서 오버식각(over-etching) 과언더식각(under-etching) 되는것을피하기위해서통계적인방법을기준으로식각종료점(endpoint)를 결정한다. 본 논문의 목표는 통계적인 분석방법을 이용하지 않고 실시간 식각 데이터(realtime etching data)를 사용해서 식각 종료점을 검출하는 것이다. 식각 데이터는 시계열 데이터(time-series data)이기 때문에 간단한 구조와 적은 계산량으로 빠른 수렴속도와 좋은 안정도를 가진 Radial Basis Function Neural Network's (RBF-NN) 를 이용하여 시계열 모델(time-series model)을 구현 하였다. 광학방사분광기(Optical Emission Spectroscopy: OES)로부터 나온 6개의 데이터 세트중에서 4개의 데이터 세트는 RBF-NN을 학습하는데 사용되고 2개의 데이터 세트는 모델의 성과를 시험해 보기 위하여 사용하였다. 학습을 위한 데이터들은 Matrix화 시켜서 목표값을 설정하여 학습시킨다. 실험한 결과 학습한 RBF-NN 모형이 식각 종료점(endpoint)를 정확하게 검출된다는 것을 보여준다.
-
투명도전막(indium tin oxide; ITO)은 투명하면서도 전기 전도도가 높기 때문에, 액정표시소자(LCD; Liquid Crystal Display), 전자발광소자(ELD; Electroluminescent Display) 및 전자 크로믹 소자(Electrochromic Display)를 포함하는 평판형 표시 소자(FPD; Flat Panel Display)와 태양전지 등에 이용되고 있다. 낮은 비저항과 높은 투과율의 ITO 박막은
$300^{\circ}C$ 이상의 고온에서 코팅해야 하는 것으로 알려져 있다. 그러나 최근 플라스틱과 같은 연성 소자가 전자부품에 널리 이용되면서 ITO를 저온에서 증착해야할 필요성이 대두되고 있다. 본 연구에서는 ITO를 플라스틱에 적용하기 위한 저온 코팅 공정 및 시편의 전 후처리공정을 개발하여 박막의 특성을 알아보고자 한다. 실험에 사용된 기판은 고투과율의 고분자(polyethylene terephthalate; PET) 필름이며$5\;{\times}\;10\;cm^2$ 의 크기로 절단하여 알코올로 초음파 세척을 실시하였고, 진공 용기에 장입한 후 펄스전원을 이용하여 3분간 in-situ 청정을 실시하였다. ITO 코팅은 마그네트론 스퍼터링을 이용하였으며, 코팅시간, 전처리, 후처리, 기판온도, 산소유량 등 코팅 조건에 따른 박막의 특성을 조사하였다. ITO 박막의 코팅 조건에 따른 박막의 결정구조 분석은 x-선 회절(x-ray diffraction; XRD)을 이용하였고, 박막의 표면형상과 두께 보정 및 단면의 미세조직과 결정 성장 여부 등은 투과전자 현미경(transmission electron microscope; TEM)을 이용하여 분석하였다. 또한 ITO 박막의 면저항과 분광특성은 four-point Probe (CMP-100MP, Advanced Instrument Technology), spectrophotometer (UV-1601, SHIMADZU)를 이용하여 측정하였다. ITO 박막의 광학특성 분석 결과 전광선 투과율은 두께에 따라 변화 하였지만, 색차와 Haze 값은 증착 조건에 따라 큰 차이는 보이지 않았다. 그리고 박막의 결정화에 영향을 주는 가장 중요한 인자는 기판온도이지만, 기판온도를 높이지 못할 경우 비평형 마그네트론(unbalanced-magnetron; UBM)에 의해서 플라즈마 밀도를 높이는 방법으로 유사한 효과를 얻을 수 있음을 확인하였다. -
물리증착이나 화학증착으로 제조되는 박막은 공정 조건에 따라 다양한 성장 양태를 보인다. 박막의 성장은 초기에 Seed가 형성되어 그 Seed를 바탕으로 성장하는 것으로 알려져 있으며 기판온도, 이온충돌, 박막의 두께 등에 따라 성장양태나 성장방위 등이 달라진다. 최근 나노에 대한 관심이 높아지면서 진공증착으로 제조한 박막에서도 조직의 나노화에 대한 관심이 높아지고 있으며 특히, Pore-free, Defect-free 박막의 형성을 통해 특성을 향상시키고자 하는 연구도 증가하고 있다. 본 연구에서는 Al과 Cu 같은 금속의 박막을 제조함에 있어서 공정변수가 박막의 조직이나 배향성 등에 미치는 영향을 조사하였다. 특히, 이러한 조직변화와 박막의 특성과의 상관성을 도출하고자 하였다. Al 박막에서는 이온빔의 효과와 함께 공정중에 산소 가스를 주입하거나 플라즈마 처리를 통해 성장조직의 변화를 유도하였고, Cu 박막에서는 고속 증착 조건이 피막의 조직에 미치는 영향을 조사하였다. 한편, TiN 박막의 형성에 미치는 이온빔의 효과를 조사하여 이온빔 조건과 TiN 박막의 형성과의 관계를 규명하였고 이로부터 Normalized Energy가 TiN 박막의 색상에 미치는 영향을 도출하여 Normalized Energy가 Fundamental Parameter가 될 수 있음을 확인하였다.
-
고분자 소재(polycarbonate; PC)의 표면을 보호하고 광학적 특성을 유지하기 위해 산화물 다층 박막과 비정질 탄소 박막(diamond-like carbon; DLC)을 전자빔 증착(e-beam evaporation)과 이온빔 증착(ion-beam deposition)을 이용하여 고분자 소재에 코팅하였다. 전자빔 증착으로 코팅된 실리콘과 티타늄 산화물 다층 박막은 소재 표면에서 가시광선의 반사율을 낮추는 효과를 가지고 있어 다양한 광학 코팅분야에서 이용되고 있다. 비정질 탄소 박막은 경도가 높고 마찰계수가 낮기 때문에 기계부품의 수명향상을 향상하기 위해 주로 사용되며, 본 연구에서는 고분자 소재의 최상층에 코팅하여 보호막으로 이용하였다. 고분자 윈도우에 산화물 다층 박막을 코팅하면 코팅되지 않은 기판과 비교하여 투과율이 향상되었으며 보호막으로 코팅된 비정질 탄소 박막에 의해서 일어나는 투과율 저하를 부분적으로 상쇄하는 효과를 보였다. 산화물 다층 박막의 수는 광학 분야에서는 주로 5-7층을 이용하지만 고분자 소재는 코팅 공정이 길어지면 열 변형이 일어날 수 있기 때문에 산화막의 층수를 낮추는데 초점이 맞춰졌다. 5층과 3층으로 코팅된 산화물 박막 모두 투과율이 향상되었으며 3층에 비해서 5층의 투과율 향상효과가 큰 것으로 나타났다. 고분자 소재의 투과율은 평균 약 90%이었으며 산화물 다층 박막과 비정질 탄소 박막을 코팅한 후 투과율이 약 81%로 측정되었다. 비정질 탄소 박막과 산화물 다층 박막을 적절하게 설계하고 코팅한다면 고분자 소재의 보호막으로 이용될 수 있을 것으로 판단된다.
-
마그네트론 스퍼터링을 이용하여 질소와 탄소를 함유한 티타늄 화합물을 합성하고, 조성 변화에 따른 색상 변화를 통해 티타늄 화합물로 구현할 수 있는 색상에 대해서 알아보았다. 스퍼터 타겟은 4"X1/4" 크기의 고순도(99.99%) 티타늄을 사용하였다. 시편은 알코올과 아세톤에서 각각 5분간 초음파 세척된 SUS304를 사용하여, 진공용기에 시편을 장착하고 압력을
$3{\times}10^{-6}\;Torr$ 까지 배기한 후, Ar 가스를 주입하여 진공도가$2{\times}10^{-2}\;Torr$ 에 이르면 펄스 전원 공급 장치를 이용하여 800 V의 전압으로 1시간 동안 글로우 방전을 시켜 시편 청정을 실시하였다. 시편 청정이 끝나면 다시$3{\times}10^{-6}\;Torr$ 까지 진공배기를 실시하고, Ar 가스를 진공용기 내로 공급하여$1{\sim}3{\times}10^{-3}\;Torr$ 에서 스퍼터링을 실시하여 완충층으로 티타늄 박막을 코팅하였다. 티타늄 화합물은 티타늄을 스퍼터링 하면서 진공용기 내에 질소와 메탄가스를 적절한 비율로 공급함으로써 코팅하였다. 박막 증착 시 시편 온도는$200^{\circ}C$ , 타겟과의 거리는 12 cm를 유지하였으며, 시편을 회전시켜 코팅하였다. 티타늄 화합물의 두께와 미세구조, 조성 그리고 색상은 투과전자현미경(transmission electron microscope, TEM), 글로우 방전 분광기(glow discharge light spectroscope, GDLS), 및 색차계(spectrophotometer)를 사용하여 각각 분석하였다. TEM 분석결과 TiN의 박막 두께는 약 300 nm로 공극이 존재하지 않는 치밀한 다결정 구조를 나타내었고, TiCN은 약 600 nm로 TiN과 두 배의 두께 차이를 보였다. 이는 탄소의 공급원인 메탄가스의 주입으로 증착률이 증가한 것으로 판단된다. 또한 소량의 질소와 메탄가스의 유량 조절로 화합물의 조성을 변화시킬 수 있었으며, 이러한 조성 변화는 화합물의 색상변화로 나타났다. 따라서 본 연구에서 얻어진 결과를 외관 코팅 분야에 응용한다면 다양한 색상 구현과 외관의 경도, 내마모성, 내식성의 향상 등 많은 장점을 가질 것으로 판단된다. -
염료 감응형 태양전지는 일반적으로 투명 전극 기판, 염료가 흡착된
$TiO_2$ , 전해질, Pt가 코팅된 투명 전극 기판으로 구성된다. 이 중 투명 전극 기판은 전체 재료비 중 60% 이상을 차지하여 이를 대체하는 새로운 구조에 대한 연구가 활발히 진행 중이다. 본 논문에서는 투명 전극 기판을 사용하지 않는 염료 감응형 태양전지를 연구하였다.$TiO_2$ 위에 e-beam 증착을 이용하여 다공성의 Ti 전극을 형성하였다. Ti 전극의 다공성은 SEM 분석 및 염료 흡착을 통해 확인하였다. Ti 전극의 두께가 증가함에 따라 표면저항은 감소하였으며, 태양전지의 효율은 증가하는 경향을 보였다. 또한 Ti 전극의 표면저항이 투명 전극 기판의 표면저항과 동등 수준일 경우 효율 또한 동등 수준을 나타내었다. -
The performance dependence of the P3HT:PCBM based bulk hetero-junction (BHJ) organic solar cells (OSCs) on the electrical and the optical properties of amorphous InZnSnO (a-IZTO) electrodes as a difference in film thicknesses are examined. With an increasing of the a-IZTO thickness, the series resistance (
$R_{series}$ ) of the OSCs is reduced because of the reduction of sheet resistance ($R_{sheet}$ ) of a-IZTO electrodes. Additionally, It was found that the photocurrent density ($J_{sc}$ ) and the fill factor (FF) in OSCs are mainly affected by the electrical conductivity of the a-IZTO anode films rather than the optical transparency at thinner a-IZTO films. On the other hand, despite the much lower$R_{series}$ comes from thicker anode films, the dominant factor affecting the$J_{sc}$ became average optical transmittance of a-IZTO electrodes as well as power conversion efficiency (PCE) in same device configuration due to the thick anode films had as sufficiently low$R_{sheet}$ to extract the hole carrier from the active material. -
현재 화석연료의 부족으로 인한 에너지 수급의 불균형, 자연환경의 파괴로 인해 대체에너지 개발이 절실히 요구되고 있다. 이러한 문제점을 극복하기 위한 방안으로 태양전지에 대한 관심이 높아지고 있다. 기존 결정형 실리콘 태양전지와 비교해 화합물 반도체를 기반으로 한 박막형 태양전지는 친환경적인 제품이면서 제조원가를 절감시킬 수 있고, 반영구적인 수명 및 값싼 기판을 활용할 수 있는 장점으로 인해 활발한 연구가 진행되고 있다. 본 실험에서는 Co-sputtering법으로 제작된
$CuInSe_2$ (CIS)를 광활성층으로 한 박막형 태양전지에서 실온${\sim}550^{\circ}C$ 의 다양한 온도에서 후열 처리된 CIS 박막들의 전기적, 구조적, 광학적인 특성들을 분석하였다. 제작된 박막들 가운데 Hall Effect 측정결과$550^{\circ}C$ 에서 후열 처리된 박막이 가장 높은 1.227E+22(/$cm^3$ )의 캐리어 농도와 1.581(cm/$V{\cdot}s$ )의 홀 이동도를 가지며, 3.092E-4(${\Omega}{\cdot}cm$ )의 가장 낮은 비저항 값을 갖는 것으로 나타났다. EFM 측정결과 열처리 하지 않은 박막에 비해 후열처리된 CIS 박막의 전도성이 전체적으로 높아졌다. 특히,$550^{\circ}C$ 에서 후열 처리된 박막의 표면은 전체적으로 전기 전도성이 높은 결정립들이 골고루 분포하며 가장 높은 표면 포텐셜 에너지 값을 갖는 것으로 나타났다. 박막들의 구조적 특성을 분석하기 위해 SEM과 XRD를 측정한 결과,$350^{\circ}C$ 에서 후열 처리된 박막들은 열처리 되지 않은 박막과 비교해 표면형상 변화가 일어났으며,$550^{\circ}C$ 에서 후열 처리된 CIS 박막들은$CuInSe_2$ (112) 방향이 향상된 chalcopyrite-like 구조를 가지면서 박막 밀도가 높고 결정립의 크기가 증가된 것을 확인하였다. 이는 박막 성장시 기판온도의 상승으로 CIS 박막 내에서 셀레늄의 확산과 상호작용으로 3원 화합물이 재결정화되어 구조적인 특성향상에 기여하였기 때문이다. 결론적으로 본 연구는 CIS 광활성층에서 후열 처리의 효과들 뿐만아니라 박막 증착시 co-sputtering법을 이용함으로써 증착시간의 감소 및 대면적화와 대량생산으로도 적용 가능함을 제시하고자 한다. -
결정질 실리콘 태양전지는 표면반사에 의한 광 에너지 손실을 최소화 시키고자 식각을 통한 표면 조직화(texturing)가 이루어진다. 단결정 실리콘 웨이퍼의 경우 알칼리 용액(alkali solution)을 사용하여 이방성 식각(anisotropic etching)을 함으로써 표면에 피라미드를 형성하고 광 포획(light trapping) 효과에 의해 반사율을 줄이게 된다. 그러나 피라미드 형성을 통한 반사율 감소에는 한계를 가지고 있다. Metal assisted etching을 기반으로 한 새로운 형태의 텍스쳐링인 nano texturing은 피라미드가 이루어진 표면에 수많은 nm사이즈의 구조를 형성시킴으로써 표면에서의 반사율을 현저히 감소시킨다. 먼저
$AgNO_3$ 용액으로 웨이퍼 표면에 Ag입자를 코팅한 후, 그 웨이퍼를 다시$HF/H_2O_2$ 용액으로 일정시간 동안 식각을 거치게 된다. 그로 인해 표면에는 수 nm 사이즈의 구조물들이 피라미드 위에 생성되고,$AgNO_3$ 의 농도 및 식각 시간에 따라 그 구조물의 크기 및 굵기가 달라진다. 결과적으로 평균 10%이상의 반사율을 보이던 기존 텍스쳐링 웨이퍼에서 3%이하의 낮은 반사율을 얻을 수 있었다. 또한 이런 nano texturing을 n-emitter 형성 공정 등에 따른 영향과 carrer lifetime에 대하여 연구하였다. -
고효율 실리콘 태양전지를 제작하기 위하여 surface passivation, 레이저와 lithography기술들이 연구되어 지고 있다. 결정질 실리콘 태양전지의 기판의 두께가 점점 얇아지면서 surface-to-volume 비율이 증가되어 surface passivation은 매우 중요하다. surface passivation은 크게 2가지 방법으로 진행되고 있으다. 첫 번째는 Si의 dangling bond의 passivation과 surface recombination process 제어에 기초를 두고 있다. 일반적으로 박막을 이용한 실리콘 passivation은
$SiO_2$ , SiN, a-Si,$Al_2O_3$ 박막 4가지가 이용되어 왔다. 본 연구에서는 p-type SoG기판위에 원자층 증착법(ALD)을 이용하여$Al_2O_3$ 박막의 negative fixed charge의 internal electric field로 surface passivation을 연구하였다. TMA와$H_2O/O_3$ 을 사용하여 ALD$Al_2O_3$ 를 10~30nm두께를 갖도록 증착하였다. 표면 처리 조건,$Al_2O_3$ 박막 두께, ALD 공정 조건과 후열처리등에 따른 실리콘의 특성, carrier lifetime변화를 측정하여 효과적인 field induced passivation을 제시하고자 한다. -
최근 화합물반도체를 이용한 집광형 고효율 태양전지가 차세대 태양전지로서 주목을 받기 시작하였다. GaAs를 주축으로 하는 고신뢰성 고효율 태양전지는 높은 가격으로 인해 응용이 제한되어왔으나, 고집광 기술을 접목하여 태양전지 재료 사용을 수 백배 이상 줄이면서도 동시에 효율을 극도로 향상시킴으로써 차세대 태양전지로 활발히 개발되고 있다. GaAs 기판을 이용한 다중접합의 태양전지는 n-type GaAs 기판 위에 버퍼 층, GaInP back surface field 층, GaAs p-n 접합, AlInP 창층, GaAs p-n 접합의 터널접합층, 상부전지로서 GaInP p-n 접합, AlInP 창층 순서로 epi-taxial structure를 형성하고 전극과 무반사막을 구성한다. 이러한 태양전지의 효율을 결정하는 요인 중, 상부 전극은 전기적 및 광학적 손실을 일으키는 원인으로써 최소화되어야 한다. 그런데 이러한 이중접합 화합물 태양전지에 집광한 태양광을 조사할 경우, 태양광을 집광한 만큼 전류가 증가하게 되며 증가한 전류가 전극에 흐르면서 전기적 효율 손실을 유발하게 된다. 따라서, 집광형 화합물 반도체 태양전지의 전극에 의한 손실에 대한 연구가 선행되어 저항에서 손실되는 전력을 최소화하여야만 전기적 손실이 낮은 고집광 태양전지 개발이 가능하다. 본 논문에서는 먼저 전극 두께가 0.5
${\mu}m$ 인 GaInP/GaAs 이중접합 태양전지 (효율 25.5% : AM1.5G)의 집광시 효율 변화에 대해서 연구하였다. 이후 이러한 효율 변화가 전극 구조의 최적화에 의해서 개선 될 수 있는지를 삼차원 모의실험을 통해서 확인하였다. 모의실험에는 Crosslight 사의 APSYS를 사용하였고, material parameter를 보정하여 실제 실험 결과에 근사 시킨 후 전극 구조에 대한 최적화를 하였다. -
고열부하 환경에 노출되는 핵융합로의 플라즈마 대향부품은 주로 낮은 원자번호 물질-열전도가 좋은 물질-구조체의 순으로 다층 구조를 이루고 있으며, 이들 간의 우수한 접합성은 부품의 성능을 좌우하는 핵심 요소이다. 이러한 플라즈마 대향부품의 건전성을 평가하기 위해서는 고열속의 열부하를 반복적으로 인가하는 시험이 요구되며, 이를 위해 본 연구원에서는 KoHLT-1, 2의 시험시설을 운용하고 있다. 본 시설에서는 열부하원으로서 그라파이터 히터를 사용하며, 히터는 두 개의 시험 대상부품 사이에 설치되고, 히터에 고전류를 인가하여 복사열에 의해 시험 부품에 열부하를 가하게 된다. 고열부하 환경에서 열피로 시험을 위해 히터에 인가되는 전류를 시간에 따라 일정한 패턴으로 반복적으로 ON-OFF 하게 된다. 본 논문에서는 이러한 고열부하시험을 수행함에 있어 고려해야 할 여러 가지 요소에 대해 논의하였다. 우선 인가하는 열유속(heat flux) 값은 일차적으로 시험시설의 최대 출력에 의해 좌우되며, 시험대상물의 운전조건 및 열부하 반복횟수에 의해 결정된다. 열부하 반복횟수는 주어진 열유속 값에 대해 total strain이 파단에 이르는 수준에 의해 결정된다. 열부하를 인가하는 시간은 히터에 전류를 인가했을 때 요구되는 온도로 상승하는 데 걸리는 시간과 시험대상물의 온도가 더 이상 증가하지 않는데 걸리는 시간에 의해 좌우된다. 냉각시간은 길수록 시험대상물의 온도가 냉각수의 온도에 접근하게 되나 너무 길어지면 시험시간이 급격히 증가하게 되므로, 온도 감소 곡선을 검토하여 적절한 시간을 정하게 된다. 열유속 측정은 냉각수의 온도 상승값과 유량으로부터 계산하게 되며, 정확한 측정을 위해서는 열부하를 인가하는 시간이 충분히 길어야 한다. 또한 시험대상 부품에서 열부하가 인가되는 면적을 정확히 정의해야 하며, 냉각관로에 열부하가 인가되어서는 않된다. 또한 시험대상부품을 지지하는 지지구조체를 통한 열손실을 최소화해야 정확한 열유속을 측정할 수 있다. 시험대상부품을 설치할 때 히터와의 간격 또한 결정해야 할 중요한 요소이며, 간격이 좁을수록 최대 열유속 값을 증가시킬 수 있으나, 너무 가까운 경우 히터의 열변형에 의한 접촉 및 아크 방전의 가능성이 있으며, 이 경우 히터와 시험대상부품의 손상을 가져오게 된다. 시험대상물이 국제열핵융합로(ITER)의 일차벽과 같이 베릴륨이 포함되어 있는 경우 방전에 의한 손상은 인체에 유해한 오염의 원인이 될 수 있다. 또한 순간적인 방전은 고가의 고전류전원의 고장을 유발할 수도 있다. 열부하 시험 중 시험대상물의 온도를 정확히 측정하는 것은 필수적이며, 온도 변화 곡선으로부터 시험대상물의 건전성 여부를 판단할 수 있다. 이를 위해 변화를 가장 잘 탐지 할 수 있는 위치에 온도 센서를 설치하는 것이 관건이며, 이는 사전 분석을 통해 알 수 있다.
-
한국은 국제핵융합실험로 (ITER) 사업에 참여하고 있으며, 삼중수소 증식을 시험하기 위한 시험 모듈(TBM, Test Blanket Module)로서 HCML (Helium Cooled Molten Lithium) TBM을 설계, 개발하고 있다. 헬륨 및 액체 리튬을 냉각재와 증식재로 사용하는 개념으로, 구조재로서 Ferritic Martensitic (FM) 강이 사용될 예정이다. 특히, HCML TBM의 일차벽은 중성자 및 플라즈마로부터 입사되는 입자들을 차폐하기 위한 Be 차폐체와 FM강으로 구성되어 있으며, 일차벽 제작법 개발을 위해서는 Be과 FM강 간의 접합과 FM강 간의 접합 방법이 개발되어야 한다. FM강 간의 접합은 기존의 연구를 통해 접합 조건이 이미 도출되었고, 고열부하 시험을 통해 검증 완료한 상태이다. 그러나, Be과 FM강 간의 접합은 현재 개발단계에 있다. 본 논문에서는 고려 중인 구조재와 Be 차폐체 사이의 접합법 개발을 위해, 고온등방가압(HIP, Hot Isostatic Pressing) 조건을 도출하고, 운전조건과 유사 혹은 가혹한 조건에서 고열부하를 인가하여, 그 건전성을 평가하는 일련의 과정을 기술하였다. 본 연구에서는 Be과 FM강 간의 접합법 개발 및 검증을 위해 제작된
$80{\times}80{\times}1$ Be/FM강 mock-up을 국내에서 구축된 고열부하 시험 장비인 KoHLT를 활용하여 수행한 고열부하 시험에 대한 것이다. 본 mock-up은$80{\times}80{\times}10mm(t)$ 의 Be tile 3개를 동일 크기에 두께가 각각 25mm와 50 mm인 FM강과 스테인레스강에 접합된 것으로, 고열부하 장비에 설치하여 고열부하 시험을 수행하였다. 냉각수의 온도 및 속도는 25 C, 0.15 kg/sec로 유지되었고, 열부하는$0.5\;MW/m^2$ 로 유지하였다. 시험 조건에 대한 예비해석을 통해, 가열시의 온도 및 stress, strain 분포를 얻었고, 이를 통해, cycle to failure 값을 도출하였다. 1000 사이클의 가열 실험을 마친후 초음파를 활용한 접합 계면의 결함확인 및 파괴검사를 통한 접합 건전성을 확인하였다. 3가지 접합법 모두 일부 접합면이 이탈되었으며, 향후 보다 건전한 접합방법 개발이 진행되어야 할 것으로 보인다. -
국제핵융합실험로 (ITER)의 블랑켓 일차벽 조달 자격 획득을 위한 검증시험을 수행하여 1단계를 2009년 완료하였고, 2단계는 2011년 예정으로 진행 중이다. 우리나라는 이미 1단계 검증시험에서 2 개의 일차벽 목업 (First Wall Qualification Mockup ; FWQM)을 제출하여 시험을 성공적으로 통과하였고, 2단계 검증 시험을 위해 semi-prototype 제작 기술 및 시험 기술을 개발 중이다. 블랑켓 일차벽 검증 시험 및 제작 기술을 확보하기 위한 고유접합법 개발을 위해서 표준 크기(
$80\;mm{\times}80\;mm$ )의 목업을 제작하여 국내 고열부하 시험 시설에서 접합 방법의 타당성을 확인하였다. 표준목업은 HIP (Hot Isostatic Pressing) 접합법으로 stainless steel과 Cu 냉각부를 제작하고, 다시$80\;mm{\times}80\;mm$ Be tile을 HIP 방법으로 냉각부에 접합하여 제작한다. 고유접합법 개발을 위해서 Be과 Cu 냉각부 계면에 Cr($1\;{\mu}m$ )/Cu($10\;{\mu}m$ ), Ti($5\;{\mu}m$ )/Cu($10\;{\mu}m$ ) 층을 코팅하여 Be 접합 성능을 개선하였으며, 기존의 접합 계면과 차별화된 기술을 확보하였다. 표준목업의 전체 크기는$80\;mmW{\times}80\;mmL{\times}84\;mmH$ 이고, 1차로 총 6개, 2차로 4개를 제작하였으며 제작 과정 및 제작 전후에 파괴검사, 비파괴검사를 수행하여 접합의 건전성을 확인하였다. 제작 완료된 표준 목업은 냉각 관로를 장착하여 국내의 고열부하 시험시설인 KoHLT-1에 장착하여 성능 시험을 수행하였다. 고열부하 시험 시설의 냉각수 조건은, 온도$25^{\circ}C$ (실온), 유량 0.15 kg/sec이고, 고열 부하 조건에서는 0.5, 1.0,$1.5\;MW/m^2$ 의 screening 시험을 거친 후 1.5 MW/m2에서 cycle 시험을 진행하였다. 각 목업의 고열부하 시험을 마친 후 비파괴 검사의 일환으로 UT(Ultrasonic test) 시험을 수행하여 열부하 시험 전후의 목업 건전성을 확인하였다. 고유접합법을 이용하여 개발한 표준 목업의 고열부하 시험을 통해서 접합법의 타당성 및 건전성을 확인하였고, 향후 블랑켓일차벽 조달 검증 2단계 시험에서 semi-prototype 제작 및 고열부하 시험에 대비하고, ITER 관련 핵심 기술 개발 목표를 달성할 것이다. -
Li, Hua-Min;Zang, Gang;Yang, Cheng;Lim, Yeong-Dae;Shen, Tian-Zi;Yoo, Won-Jong;Park, Young-Jun;Lim, Jong-Min 276
Localized surface plasmon resonance (LSPR) has been explored recently as a promising approach to increase energy conversion efficiency in photovoltaic devices, particularly for thin film hydrogenated amorphous silicon (a-Si:H) solar cells. The LSPR is frequently excited via an electromagnetic (EM) radiation in proximate metallic nanostructures and its primary con sequences are selective photon extinction and local EM enhancement which gives rise to improved photogeneration of electron-hole (e-h) pairs, and consequently increases photocurrent. In this work, high-dielectric-constant (k)$ZrO_2$ (refractive index n=2.22, dielectric constant$\varepsilon=4.93$ at the wavelength of 550 nm) is proposed as spacing layer to enhance the LSPR for application to the thin film silicon solar cells. Compared to excitation of the LSPR using$SiO_2$ (n=1.46,$\varepsilon=2.13$ at the wavelength of 546.1 nm) spacing layer with Au nanoparticles of the radius of 45nm, that using$ZrO_2$ dielectric shows the advantages of(i) ~2.5 times greater polarizability, (ii) ~3.5 times larger scattering cross-section and ~1.5 times larger absorption cross-section, (iii) 4.5% higher transmission coefficient of the same thickness and (iv) 7.8% greater transmitted electric filed intensity at the same depth. All those results are calculated by Mie theory and Fresnel equations, and simulated by finite-difference time-domain (FDTD) calculations with proper boundary conditions. Red-shifting of the LSPR wavelength using high-k$ZrO_2$ dielectric is also observed according to location of the peak and this is consistent with the other's report. Finally, our experimental results show that variation of short-circuit current density ($J_{sc}$ ) of the LSPR enhanced a-Si:H solar cell by using the$ZrO_2$ spacing layer is 45.4% higher than that using the$SiO_2$ spacing layer, supporting our calculation and theory. -
The capacity of the carbonaceous materials reached ca.
$350\;mAhg^{-1}$ which is close to theorestical value of the carbon intercalation composition$LiC_6$ , resulting in a relatively low volumetric Li capacity. Notwithstanding the capacities of carbon, it will not adjust well to the need so future devices. Silicon shows the highest gravimetric capacities (up to$4000\;mAhg^{-1}$ for$Li_{21}Si_5$ ). Although Si is the most promising of the next generation anodes, it undergoes a large volume change during lithium insertion and extraction. It results in pulverization of the Si and loss of electrical contact between the Si and the current collector during the lithiation and delithiation. Thus, its capacity fades rapidly during cycling. We focused on electrode materials in the multiphase form which were composed of two metal compounds to reduce the volume change in material design. A combination of electrochemically amorphous active material in an inert matrix (Si-M) has been investigated for use as negative electrode materials in lithium ion batteries. The matrix composited of Si-M alloys system that; active material (Si)-inactive material (M) with Li; M is a transition metal that does not alloy with Li with Li such as Ti, V or Mo. We fabricated and tested a broad range of Si-M compositions. The electrodes were sputter-deposited on rough Cu foil. Electrochemical, structural, and compositional characterization was performed using various techniques. The structure of Si-M alloys was investigated using X-ray Diffractometer (XRD) and transmission electron microscopy (TEM). Surface morphologies of the electrodes are observed using a field emission scanning electron microscopy (FESEM). The electrochemical properties of the electrodes are studied using the cycling test and electrochemical impedance spectroscopy (EIS). It is found that the capacity is strongly dependent on Si content and cycle retention is also changed according to M contents. It may be beneficial to find materials with high capacity, low irreversible capacity and that do not pulverize, and that combine Si-M to improve capacity retention. -
3.37 eV의 와이드 밴드갭과 60 mV의 높은 엑시톤 결합에너지를 갖는 반도체인 ZnO는 화학 및 열적 안정성, 압전특성 등 다양한 특성을 갖는 물질로써, 수열합성법을 이용하여 길이
$1.5{\mu}m$ , 직경 100nm의 n-type ZnO 나노와이어를 성장시켰으며, P3HT는 유기 태양전지에서 가장 많이 사용되는 고분자 도너로써 열처리를 통하여 결정화 됨에 따라, 엑시톤의 확산속도나 전하의 이동도가 증가하여 더 많은 광전류를 생성하는 장점을 가지고 있다. 본 연구에서는 ZnO 필름이 아닌 n-type ZnO 나노와이어와 Poly(3-hexylthiophene) (P3HT)를 사용 하여 ZnO/P3HT 이종접합 태양전지를 제작하였다. 기판으로 글래스, 전극으로 ITO (Indium Tin Oxide), 나노와이어의 씨앗층으로 ZnO:Al를 스퍼터로 100nm 증착 하였다. Znc nitrate hydrate와 hexamethylenetetramine이 혼합된 수용액에서 기판을 담그고 n-type ZnO 나노와이어 성장 시키고, P3HT의 스핀 코팅조건과 열처리 온도를 변화시켜 P3HT의 두께와 결정화도가 ZnO/P3HT 이종접합 태양전지에 미치는 영향을 비교 분석 하였다. -
한국형 헬륨 냉각 고체형 증식(Helium Cooled Solid Breeder : HCSB) 시험 블랑켓(Test Blanket Module : TBM)은 삼중수소 증식을 위해서
$Li_2TiO_3$ 및$Li_4SiO_4$ 페블을 고려하고 있으며, 중성자 반사 재료로는 SiC가 코팅된 흑연 페블을 사용할 예정이다.$Li_2TiO_3$ 및$Li_4SiO_4$ 페블을 제조하기 위해서는 먼저 각각의 분말 제조가 선행되어야 한다.$Li_2TiO_3$ 분말을 합성하기 위해서는 먼저 Lithium 금속염과 Isopropoxide를 용매 및 폴리머 캐리어로서의 두 가지 기능을 하는 에틸렌글리콜에 첨가한 후 가열하여 완전히 용해시킨 후 혼합 용액을 건조시켜 겔형의 전구체를 제조한다. 이를 하소한 후 결정화시켜 Titanate 분말을 얻는데 이때의 건조, 하소 및 결정화 온도의 조건에 따른 분말의 크기 및 특성이 각각 다르다. 즉 하소 온도가$600^{\circ}C$ 미만이면 열분해된 폴리머로부터 잔유 탄소가 남게 되고,$700^{\circ}C$ 를 초과하면 결정화가 시작된다. 이렇게 얻어진 Titanate분말은 지르코니아 볼을 이용하여 약 24 시간 동안 볼 밀링 과정을 통해 입도분포가 좁은 미세한 Titanate 분말로 만들었다.$Li_2TiO_3$ 페블은 위의 과정에서 얻어진 미세분말에 바인더를 이용하여 페블화 시킨 후$1200^{\circ}C$ 의 전기로에서 최종 소결한 것이다. 중성자 반사 재료인 흑연페블은 강도가 약하기 때문에 표면에 SiC를 수${\mu}m$ 코팅해서 사용할 예정이다. 선행실험으로 건식법을 이용하여 SiC 코팅을 실시했으며, 그 결과를 소개할 것이다. -
태양전지의 효율을 증가시키는 방법에는 표면 패시베이션, 접촉면적의 가변, back contact의 두께 가변 등이 있다. 특히, back contact 두께의 가변을 통하여 open circuit voltage의 감소를 최소화 할 수 있을 것이라고 전망 되고 있다. open circuit voltage 은 회로가 개방된 상태로, 무한대의 임피던스가 걸린 상태에서 빛을 받았을 때 태양전지의 양단에 전위차가 형성된다. 본 연구에서는 back contact 두께 가변에 따른, open circuit voltage의 변화를 확인하고 분석하는 것에 그 일차적인 초점을 두었다. 또한, open circuit voltage 뿐만 아니라, short circuit current density, fill factor, series resistance 등의 분석을 하였으며, efficiency를 계산하여 back contact 두께의 가변에 따른 소자 특성의 변화 분석을 통하여 최적화된 back contact위 두께를 연구하였다. 접촉면적에 따른 소자의 성능 변화는 후면
$SiN_X$ 70nm가 open circuit voltage를 15mV ~ 20mV 감소시키는 것을 확인 할 수 있었다. 그 이유는$SiN_X$ 가 너무 두꺼우면 BSF 덜 형성되기 때문이다. 최종적으로$SiN_X$ 두께를 얇게하면 open circuit voltage 의 감소를 최소화 할 수 있을 것이라는 판단을 할 수 있다. 이에, back contact인$SiN_X$ 두께 가변에 따른 open circuit voltage의 변화를 확인하였다.$SiN_X$ 두께가 증가함에 따라, Positive charges 와 Hydrogen 함유량이 증가하며, 이에 BSF 두께 감소하였다. 또한,$SiN_X$ 두께가 감소함에 따라 Doping barrier로서 역할을 못하게 되어 후면에 n+층 형성되어 open circuit voltage가 급격히 하락하였다. 본 연구에서는 back contact인$SiN_X$ 두께를 10nm, 30nm, 50nm, 80nm 로 가변하며 실험을 진행하였다. -
ITER 블랑켓 시험모듈(TBM)의 액체형 증식재 성능 시험용 루프의 설계를 완료하였고 현재시험용 루프를 제작 및 설치중이다. 액체형 증식재 성능 시험용 루프의 핵심 구성 부품인 액체 저장용 탱크, 전자석, EM 펌프들과 이들 장치들의 전원장치 및 제어장치를 제작 완료하였다. 액체형 증식재 성능 시험용 루프 설치를 위한 데크를 제작하였으며, 제작된 실험 데크의 총 지지하중은 10 톤 이상이다. 루프설치대 위에 성능 시험용 루프가 설치되며 루프 설치대는
$3\;m\;{\times}\;2.4\;m$ 의 직사각형으로 제작되었으며, 실험 종료 및 유지 보수 시 액체증식재의 drain을 고려하여 전체 루프는 각도 조절이 가능하도록 제작되었다. 루프내의 유량을 측정하기 위한 유량계, 전자석 자장의 변화에 따른 압력의 변화를 측정하기 위한 차압센서가 전자석의 양단에 설치되며, 시험용 루프에 흐르는 액체금속(PbLi) 및 루프관의 온도를 측정하기 위한 열전대가 설치된다. 루프 설치대를 기울였을 때 루프의 최상부에 액체금속 저장고 및 레벨센서를 설치하여 루프 내에 액체금속이 가득 채워졌는지를 레벨센서로 확인하며 루프 내에 잔존하는 기체가 저장고를 통하여 외부로 배출되게 하였다. 액체형 증식재 성능 시험용 루프 설치 후 실험은 고체 상태의 PbLi를 액체 저장용 탱크에 장착한 후 탱크의 열선의 온도 제어에 의한 PbLi의 용융점 확인, 시험용 루프에서의 전자펌프 성능 평가 등의 시험의 기본적인 실험을 수행한 후 자기장 환경에서 MHD 평가, 증식재의 순도 유지, 구조재의 부식 등의 시험을 수행할 예정이다. -
결정질 태양전지는 상대적으로 고효율이 보장되며, 낮은 공정 비용 등의 이유로 널리 사용되고 있는 기술이다. 결정질 태양전지의 효율을 증가시키는 공정 방법에는 표면 구조화, 도핑 농도, 반사방지막, 금속전극 형성 등이 있다. 특히, 도핑 공정에서 도핑 농도를 변화시킬 수 있으며, 이에 의하여 면 저항값을 변화 시킬 수 있다. 본 연구에서는 결정질 태양 전지에서 도핑 농도의 조절에 의한 이상적인 sheet resistance를 얻기 위한 실험을 진행하였다. 3개의 실험 set을 두고 각각의 경우를 실험하였다. 본 연구에서는 Pre-deposition과 drive-in 방법을 사용한 doping의 2가지 step으로 실험을 진행하였다. pre-deposition의 시간 condition은 21분으로 하였다.
$N_2$ 분위기에서$O_2$ 와$POCl_3$ 의 비율을 각각 100sccm, 200sccm으로 하여 실험을 진행하였다. 변수인 온도의 경우는 각각의 set에 대하여,$830^{\circ}C$ ,$840^{\circ}C$ ,$850^{\circ}C$ 로 가변하였다. pre-deposition을 끝낸 뒤, sheet resistance의 값은 각각$75{\sim}90\;\Omega/square$ ,$68{\sim}75\;\Omega/square$ ,$56{\sim}63\;\Omega/square$ 의 값을 나타내었다. 도핑의 경우에는 drive-in 방법을 사용하였으며, 모든 경우에서 20분에서$890^{\circ}C$ 에서 진행하였다. 최종 sheet resistance의 값은 각각의 경우 최대$33\;\Omega/square$ ,$34\;\Omega/square$ ,$30\;\Omega/square$ 의 값을 나타내었다.$40{\sim}45\;\Omega/square$ 정도의 sheet resistance가 많은 연구에서 이상적인 sheet resistance로 연구되고 있다. 본 연구에서 두 번째 조건이 이상적인 sheet resistance에 가장 접근 하였음을 확인 할 수 있다. -
17~18% 대역의 고효율 결정질실리콘 태양전지를 양산하기 위하여 국내외에서 다양한 연구개발이 수행되고 있으며 국내 다결정실리콘 태양전지 양산에서도 새로운 구조와 개념에 입각한 공정기술과 관련 장비의 국산화에 집중적인 투자를 진행하고 있다. 주지하는 바와 같이, 태양전지의 광전효율은 표면에 입사되는 태양광의 반사를 제외하면 흡수된 광자에 의해 생성되는 전자-정공쌍의 상대적인 비율인 내부양자효율에 의존하게 된다. 실제 생성된 전자-정공쌍은 기판재료의 결정상태와 전기광학적 물성 등에 의해 일부가 재결합되어 2차적인 광자의 생성이나 열로서 작용하고 최종적으로 전자와 정공이 완전히 분리되고 전극에 포집되어 실질적인 유효전류로 작용한다. 16% 이상의 고효율 결정질 실리콘 태양전지 양산이 요구되고 있는 현실에서 광전효율 개선 위해 가장 우선적으로 고려되어야 할 변수는 입력 태양광스펙트럼에 대한 결정질 실리콘 표면반사율을 최소화하여 광흡수를 극대화하는 것이라 할 수 있다. 현재까지 다결정 실리콘 표면을 화학적으로 혹은 플라즈마이온으로 50-100nm 직경의 바늘형 피라미드형상으로 texturing 함으로 단파장대역에서 광반사율의 감소를 기대할 수 있기 때문에 결정질실리콘 태양전지효율 개선에 긍정적인 영향을 미치는 것으로 알려져 있다. 고효율 다결정실리콘 태양전지 양산공정에 적용하기 위해 마스크를 사용하지 않는, RIE기반 건식 저반사율 결정질실리콘 표면 texturing 패턴연구를 수행하였다. 마스크없이 표면 texturing이 완료된 시료들에 대하여 A1.5G 표준태양광스펙트럼의 300-1100nm 파장대역에서 반사율과 minority carrier들의 life time 분포를 측정하고 검토하여 공정조건을 최적화 하였다. 저반사율의 건식 결정질실리콘 표면 texturing에 가장 적합한 플라즈마파워는 100W 내외로 낮았고
$SF_6/O_2$ 혼합비율은 0.8~0.9 범위엿다. 본 연구에서 확인된 최적의 texturing을 위한 플라즈마공정 조건은 이온에 의한 Si표면원자들의 스퍼터링과 화학반응에 의한 증착이 교차하는 상태로서 확인된 최저 평균반사율은 ~14% 내외였고 p-형 결정질실리콘 표면 texturing 패턴과 minority carrier의 life time 상관는 단결정이 16uS대역에서 14uS대역으로 감소하는 반면에서 다결정은 1.6uS대역에서 1.7uS대역으로 오히려 미세한 증가를 보여 다결정 웨이퍼생산과정에서 발생하는 saw-damage 제거의 긍정적 효과와 texturing공정의 표면 결함발생에 의한 부정적 효과가 상쇄되어 큰 변화를 보이지 않는 것으로 해석된다. -
Jang, Soo-Ouk;Kim, Dae-Woon;Koo, Min;Yoo, Hyun-Jong;Lee, Bong-Ju;Kwon, Seung-Ku;Jung, Yong-Ho 284
Currently, hydrogen has been produced by Steam Reforming or partial oxidation reforming processes mainly from oil, coal, and natural gas and results in the production of$CO_2$ . However, these are influenced greatly on the green house effect of the earth. so it is important to find the new way to produce hydrogen utilizing water without producing any environmentally harmful by-products. In our research, we use microwave water plasma and photocatalyst to improve dissociation rate of water. At low pressure plasma, electron have high energy but density is low, so temperature of reactor is low. This may cause of recombination in the generated hydrogen and oxygen from splitting water. If it want to high dissociation rate of water, it is necessary to control of recombination of the hydrogen and oxygen using photocatalyst. We utilize the photocatalytic material($TiO_2$ , ZnO) coated plasma reactor to use UV in the plasma. The quantity of hydrogen generated was measured by a Residual Gas Analyzer. -
$Cu(In,Ga)Se_2$ (CIGS) 박막 태양전지 제조에는 동시증발법 (co-evaporation)으로 Cu, In, Ga, Se 각 원소의 증발을 세 단계로 제어하여 CIGS 박막을 증착하는 3-stage 방법이 널리 이용된다[1]. 3-stage 중 1st-stage에서는 In, Ga, Se 원소 만을 증발시켜$(In,Ga)_2Se_3$ 전구체 (precursor) 박막을 성장시킨다. 고효율의 CIGS 태양전지를 위해서는$(In,Ga)_2Se_3$ 전구체 증착의 공정 변수와 이에 따른 박막 특성의 이해가 중요하다. 본 연구에서는 Mo 박막이 증착된 소다석회유리 (soda lime glass) 기판에 동시증발장비를 이용하여 280 380 의 기판 온도에서 In, Ga, Se 물질을 증발시켜$(In,Ga)_2Se_3$ /Mo/glass 시료를 제작하였으며 XRD, SEM, EDS 등의 방법을 이용하여 특성을 분석하였다. XRD 분석 결과 기판 온도$280{\sim}330^{\circ}C$ 에서는$(In,Ga)_2Se_3$ 박막의 (006), (300) 피크가 관찰되었으며, 기판 온도가 증가할수록 (006) 피크 세기는 감소하였고 (300) 피크 세기는 증가하였다.$380^{\circ}C$ 에서는 (110)을 포함한 다수의 피크가 관찰되었다. 그레인 (grain) 크기는 기판 온도가 증가할수록 커지며 Ga/(In+Ga) 조성비는 기판 온도에 따라 일정함을 각각 SEM과 EDS 측정을 통해 알 수 있었다.$(In,Ga)_2Se_3$ 전구체의 (300) 배향은 CIGS 박막의 (220/204) 배향을 촉진하고[2], 이것은 높은 광전변환효율에 기여하는 것으로 알려져 있다. 때문에$(In,Ga)_2Se_3$ 의 (300) 피크의 세기가 가장 큰 조건인$330^{\circ}C$ 를 1st-stage 증착 온도로 하여 3-stage CIGS 태양전지 공정을 수행하였으며,$MgF_2$ /Al/Ni/ITO/i-ZnO/CdS/CIGS/Mo/glass 구조의 셀에서 광전변환효율 16.96%를 얻었다. -
최근에 환경 오염과 화석 에너지의 고갈 문제를 해결하기 위하여 태양광을 전기 에너지로 변환하는 태양전지 연구에서 가장 이슈가 되는 부분은 저가격화와 고효율이다. 상용화 되어 있는 대부분의 태양전지는 단결정 실리콘 웨이퍼와 다결정 실리콘 웨이퍼를 사용한다. 실리콘 웨이퍼의 원자재 가격을 낮추는 방법에는 한계가 있기 때문에 태양전지 제작 공정에서 공정 단가를 낮추는 방법이 많이 연구되고 있고, 실리콘 웨이퍼가 가지는 재료의 특성상 화합물을 이용한 태양전지 보다 낮은 효율을 가질 수밖에 없기 때문에 반도체 소자 공정을 응용하여 실리콘 웨이퍼 기판에서 고효율을 얻는 방법으로 연구가 진행 되고 있다. 본 연구에서는 마이크로 블라스터를 이용하여 태양전지 cell 상부에 AG(anti-glare)를 가지는 유리 기판을 형성하여 낮은 단가로 태양전지 cell의 효율을 향상시키기 위한 연구를 진행 하였다. 태양전지 cell 상부에 AG를 가지는 유리 기판을 형성하게 되면 태양의 위도가 낮아 표면에서 대부분 반사되는 태양광을 태양전지 cell에서 광기전력효과가 일어나게 하여 효율을 향상시킨다. 이때 사용한 micro blaster 공정은 고속의 입자가 재료를 타격할 때 입자의 아래에는 고압축응력이 발생하게 되고, 이 고압 축응력에 의하여 소성변형과 탄성변형이 발생된다. 이러한 변형이 발전되어 재료의 파괴 초기값보다 크게 되면 크랙이 발생되고, 점점 더 발전하게 되면 재료의 제거가 일어나는 단계로 이루어지는 기계적 건식 식각 공정 기술이라 할 수 있다. 먼저 유리 기판에 마이크로 블라스터 장비를 이용하여 AG를 형성한다. AG는
$Al_2O_3$ 파우더의 입자 크기, 분사 압력, 노즐과 기판과의 간격, 반복 횟수, 노즐 이동 속도 등의 공정 조건에 따른 유리 기판 표면에서의 광학적 특성 및 구조적 특성에 관하여 분석하였다. 일반적인 태양전지 cell 제작 공정에 따라 cell을 제작 한후 AG 유리 기판을 상부에 형성시키고 솔라시뮬레이터를 이용하여 효율을 측정하였다. 이때 솔라시뮬레이터의 광원이 고정되어 있기 때문에 태양전지 cell에 기울기를 주어 태양의 위도 변화에 대해 간접적으로 측정하였다. AG 유리 기판이 태양전지 cell 상부에 형성 되었을 때와 없을 때를 각각 비교하여 AG 유리 기판이 형성된 태양전지 cell에서의 효율 향상을 확인하였다. -
휴대용 기기의 사용이 증가하면서 배터리의 고용량화와 소형화가 요구되고 있다. 특히 내시경 캡슐과 같은 의료용 센서 기기에서는 소형화가 매우 중요하며 인체에 해로운 액체전해질이 들어가지 않는 것이 바람직하다. 최근 무선센서, RFID 태그, 스마트 카드 등을 위하여 고체전해질을 사용하는 박막 마이크로 배터리가 개발되고 있으나, 에너지 저장용량이 작아 응용분야가 제한적이다. Si wafer 위에 형성된 고단차의 3차원 구조 위에 박막 배터리를 형성한다면 표면적 증가에 의해 에너지 저장용량 역시 크게 증가할 것이며, Si 기반의 반도체, 디스플레이, 태양전지 등과 쉽게 집적이 가능할 것이다. 본 연구에서는 펄스 레이저 증착법(Pulsed Laser Deposition)으로 리튬 배터리의 cathode 물질인
$LiCoO_2$ 를 박막으로 제조하고 그 특성을 연구하였다. 펄스 레이저 증착법은 저온 증착이 가능하고 타겟 물질과 같은 조성의 박막을 증착하는 것이 용이한 장점이 있다. Pt, TiN 등의 기판 위에$LiCoO_2$ 박막을 증착하고 증착 온도와 산소($O_2$ ) 분압이 박막의 조성, 미세구조, 결정성, 그리고 전하저장용량에 미치는 영향을 고찰하였다. -
현대사회의 급속한 고령화로 암 환자의 수는 2002년 기준 약 10만 명에서 매년 7~10 %씩 증가되어 2012년에는 20만 명이 될 것으로 추정되어지고 있다. 수술, 방사선 치료, 약물요법 등이 주요 치료방법이며, 암 환자의 30-50 %가 전리 방사선치료를 받고 있다. 방사선치료는 19세기 말에 발견된 미지의 X-선이 희망의 방사선으로 변화하여 암의 진단 및 치료에 활용되고 있으며, 인간 삶의 질 향상에 핵심적인 역할을 담당하고 있다. 기존의 X-선이나 감마선의 단점을 극복 할 수 있는 입자 빔을 1970년대 미국의 캘리포니아 대학 Berkely National Laboratory에서 처음으로 암 치료에 적용하였다. 현재는 일본과 독일에서 활발하게 활용되고 있으며 국내에서도 입자 치료시설을 구축 또는 개발계획 중에 있다. 방사선치료의 완치율을 높이기 위해서는 정확한 선량을 암세포에 전달해야 한다. 환자에 전달되는 입자빔을 실시간으로 측정하는 기술이 연구되어지고 있다. 지금까지는 빔의 특성을 측정하기 위해 간섭적인 방법을 사용하였으나, 투과형 검출기를 개발하여 실시간으로 치료와 빔 특성을 동시에 수행하는 기술개발연구가 보고되고 있다. 본 연구에서는 Multileaf Faraday Cup (MLPC) 검출기 설계구조와 데이터 측정방법에 관한 연구를 수행하고자 한다. 빔의 전송 방향으로 3개층의
$4{\times}4$ 배열의 구조로 48 channel의 전류값을 측정하여 입자빔의 분포를 실시간으로 관측하고, 측정된 전류는 ADC를 거쳐 치료계획에 의해 선택된 영역의 SOBP를 유지하도록 range modulation propeller를 조절하는 feed-back system을 갖춘 방사선치료빔 실시간 측정장치 개발에 관한 결과를 보고하고자 한다. -
정상 세포로부터 암과 같은 종양세포를 제거하는 방법으로 암세포가 사멸되는 임계온도 보다 높게 악성조직에 열을 가하는 방법이 연구되어지고 있다 [1]. 전류가 흐를 수 있는 4개의 전기탐침을 종양조직에 삽입하여 국부적으로 열을 발생시키는 발열요법으로 암을 치료하는 연구가 고려되고 있다. 발열요법은 1960년대에 시작하여 우리나라에서는 1985년 연세 암센터에서 capacitive type의 RF heating 또는 전자파에 의한 국소가온법과 방사선치료와 병용으로 이용되고 있다. 주로 이용되는 방법은 Radio frequency heating, Microwave heating, ultrasound heating을 들수 있다. 라디오주파수는 보통 300 MHz 이하의 주파수를 가리킨다. 본 연구에서는 교류파 대신에 직류전원에 의해 열을 발생하는 경우에 관한 연구이다. 전극에 의해 형성되는 전기장에 대한 방정식은 전도매질에서의 DC 응용모드이고, 조직 내에서의 직류 전류에 의해 발생되는 온도 분포를 모델링하는 bioheat 방정식과 연계된 문제이다. 전기장에 의해 발생되는 열의 근원은 resistive heat 또는 Joule 열이다. 본 연구에서는 교류 전류에 의한 RF heating 대신 단순한 모델의 경우로 직류 전류에 의한 열 발생에 관한 이론적 연구를 수행하였다. 종양 조직 내에 삽입된 전극에 22V를 인가하면 60초 이내에
$80^{\circ}C$ 까지 급속히 증가 된 후, 서서히$90^{\circ}C$ 에 까지 도달한다. 4 개의 전극에 대칭적인 전위가 인가 된 경우$50^{\circ}C$ 이상의 온도 분포를 암 조직의 모양과 유사하게 분포하게 하여 효과적인 치료를 수행 할 수 있는 조건을 제시한다. -
현재 세계적으로 화석연료의 고갈로 인해 신재생에너지에 대한 관심이 모아지고 있다. 그중에서 태양전지가 가장 주목을 받고 있으며 태양전지는 크게 무기와 유기 태양전지로 구분된다. 실리콘 및 화합물 반도체를 이용한 무기 태양전지는 높은 에너지 변환 효율을 보이고 있으나 생산단가가 높아 화석연료로부터 얻는 에너지에 비해 경제성이 떨어진다. 그중에서 유기 태양전지는 무기 태양전지의 효율에는 미치지 못하지만 제작공정의 비용이 낮고, 투명하고 다양한 색을 나타낼 수 있으며, 특히 유연성을 바탕으로 원하는 제품의 디자인을 구현 할 수 있는 장점을 가진다. Inverted 구조는 conventional 구조에 비해 Al과 같은 air-sensitive한 전극을 대체 할 수 있다는 장점을 가진다. 본 연구에서는 sol-gel상의 ZnO를 스핀코팅 방법으로 박막을 형성하여 inverted 구조 형태의 유무기 하이브리드 태양전지를 제작하였으며, ZnO 코팅 공정 조건 (두께, 열처리 온도)에 따른 태양전지의 특성변화(광 변환효율 및 수명 등)를 관측하였다. 최적화된 ZnO의 공정조건에서 제작된 하이브리드 태양전지는 3% 이상의 광 변환효율과 1000시간 이상의 반감 수명을 가지는 우수한 특성을 보였다.
-
Organic solar cells have attracted much interest due to the potential advantage of the lightness, simple solution processing and flexibility. Until recently, the focus of organic solar cells research has been on optimization of material processing to improve the power conversion efficiency. However, area scaling is an important position for alternative to the market dominating solar cells. Spray deposition technologies have advantage of less material wastage and possibility of large scale photoactive area coating when compared with spin coating process. We investigated the performance of organic solar cells as a function of active area using two types of deposition process. The commonly used process is spin coating which can be fabricated organic materials deposition for devices. Spray deposition process compare with spin coating for large-area organic solar cells. The spray deposition organic layer shows excellent performance up to the active area of
$4\;cm^2$ with the PCE of ~3.0 % under AM.1.5 simulated illumination with an intensity of$100mW/cm^2$ . This indicates that the spray deposition process can be used as a mass production process for evaluating large-area organic solar cells. -
양성자기반공학기술개발단에서는 100MeV와 20MeV의 가속기를 개발하고 있으며 빔 이용을 위하여 각 에너지에 5개의 빔라인을 구축 할 계획이다. 빔라인에는 각 마그넷과 빔 계측, 진공 등의 부품이 포함되어 있다. 본 연구에서는 빔라인의 기초 구성품인 진공 부품의 설계를 위한 압력의 계산을 수행하였다. 각 빔라인 재질에 따른 gas load는 표면의 아웃게싱이 주요하게 고려되었으며 고진공용 Cu gasket의 진공 leak는 거의 없다고 가정하였다. 재질은 스테인레스스틸과 알루미늄의 두 가지 경우를 사용하였으며 주 배기 펌프는 이온펌프로 펌핑 스피드 125L/s의 diode 타입이다. 빔튜브의 사이즈는 CF6"의 규격 플랜지를 기본으로 빔 사이즈가 고려된 여러 플랜지의 조합으로 내부 부피를 구할 수 있었다. 빔라인 뿐만 아니라 타겟룸에 위치되어지는 튜브를 합한 경우와 펌프의 개수를 다르게 하였을 때에도 길이에 따른 압력의 프로파일을 계산하였다. 빔을 분배해 주는 AC 마그넷 이전에 이온펌프 한 개를 위치하였을 때 스테인레스스틸 빔 튜브의 경우에 최대값은 1.3E-6 torr, 최소값은 1.7E-6 torr 으로 계산 되었다. 이 결과는 가속기의 아래쪽 3개의 빔라인을 동시에 배기하고 빔 조사가 가능하도록 운영되는 조건이며 운영 마진 2배를 고려한다고 하여도 최소 진공도는 3.4E-6 torr 이다. 이온펌프는 일반적으로 9.0E-6 torr 이하에서 사용이 가능하므로 이온펌프 1개의 진공도로도 운영에 무리가 없으며 이온펌프의 수가 증가됨에 따라서 최대 도달 압력이 낮아짐을 알 수 있다.
-
최근 태양전지 연구에서 저가격화를 실현하는 방법 중 하나로 폐 실리콘 웨이퍼를 재생하는 방법에 관하여 많은 연구가 진행되고 있다. 그러나 기존 웨이퍼 재생공정은 높은 재처리 비용과 복잡한 공정등의 많은 단점을 가지고 있다. 챔버 내에 압축된 공기나 가스에 의해 가속된 미세 파우더들이 재료와 충돌하면서 식각하는 기계적 건식 식각 공정 기술이라고 할 수 있는 micro blaster 공정을 이용하면 기존 재생공정보다 낮은 재처리 비용과 간단한 공정으로 재생웨이퍼를 제작할 수 있다. 하지만 이러한 micro blaster 공정은 식각 후 표면에 많은 particle과 crack을 형성시켜 태양전지용으로 사용하기에 단점을 가진다. 본 연구에서는 이러한 micro blaster를 이용한 태양전지용 재생 웨이퍼를 제작하기 위해 폐 실리콘 웨이퍼의 표면 물질을 식각하고, 식각 후 충돌에 의해 발생된 표면의 particle과 crack을 DRE(Damage Remove Etching)공정으로 제거하는 연구를 진행 하였다. 먼저 폐 실리콘 웨이퍼와 같은 표면을 형성하기 위하여 시편 표면에 각각 Al(
$2000{\AA}$ ),$Si_3N_4(3000{\AA})$ ,$SiO_2(1{\mu}m)$ , AZ1512($1{\mu}m$ )을 형성하고 micro blaster의 파우더 크기, 압력, 스캔 속도 등의 공정 조건에 따라 폐 실리콘 웨이퍼 표면 물질을 식각하였다. 식각 후 폐 실리콘 웨이퍼의 식각된 깊이와 표면 물질 잔량을 측정하고, 폐 실리콘 웨이퍼의 표면에 particle과 crack, 요철이 형성되어 있는지를 확인하였다. 그 결과 폐 실리콘 웨이퍼에 형성된 물질의 두께 이상으로 식각되었으며, 표면 물질의 잔량이 남아 있지 않았고, 표면에 많은 particle과 crack, 요철이 형성되었다. 표면에 형성된 요철은 유지하면서 많은 particle과 crack을 제거하기 위하여 micro blaster공정 후 DRE 공정으로 표면 개선이 필요하였다. 이때 남겨진 요철은 입사광량을 증가시키고, 표면 반사율을 감소시켜 태양전지내의 흡수하는 빛의 양을 증가시키는 태양전지 texturing 공정 효과로 작용하게 된다. 표면에 남은 particle과 crack을 완전히 제거하면서 요철은 유지할 수 있게 HNA 용액의 농도와 시간에 따른 식각 정도를 측정하였다. DRE 공정 후 표면 particle과 crack이 완전히 제거되어 표면이 개선됨을 확인하였다. Micro blaster를 이용하여 폐 실리콘 웨이퍼의 표면을 식각하고, DRE공정으로 표면을 개선함으로써 태양전지용 기판으로의 재생 가능성을 확인하였다. -
CdS는
$CuInSe_2$ 계, CdTe계 이종접합 태양전지의 junction partner로 많이 이용되어 왔다. CdS는 전극으로 쓰일 뿐만 아니라 빛을 투과시키는 창문층으로 사용되어 높은 변환 효율을 나타낸다. 이종접합 태양전지에서 창문층은 가시광 영역에서 광투과율이 높고, 전기적으로 비저항이 낮아야 에너지 손실 없이 태양광을 광흡수층까지 투과시킬 수 있다. CdS 박막은 CBD법(solution growth technique), 진공증착법(vacuum evaporation), 스퍼터법(sputtering), 스프레이 열분해법(spray pyrolysis), 전착법(electrodeposition)에 의해 제조되고, 그 중 용액성장법(solution growth technique)이라고도 불리는 CBD법(chemical bath deposition)을 이용하여 CdS 박막을 제조하였다. CBD법은 다른 방법에 비해 제조 과정이 비교적 간단할 뿐만 아니라 제조 단가가 저렴하고, 넓은 면적의 박막 제조가 가능하며 재현성도 우수하다는 장점이 있다. CdS 박막을 제조하기 위한 cadmuim 이온공급원으로는$CdSO_4$ 를 사용하였고 sulfur 이온공급원으로는$SC(NH_2)_2$ 를 사용하였다. CBD법에서 박막의 물성에 영향을 미칠 수 있는 요인인 sulfur 이온공급원과 cadmium 이온공급원의 비, 용액의 온도, pH를 변화시켜 CdS 박막을 제조하였다. 각각의 조건에 의해 제조된 CdS의 박막의 두께는 Tencor P-1을 이용하여 측정되었고, UV-Visible spectrometer를 이용하여 파장에 따른 광투과율을 측정하였다. CdS 박막의 결정 구조를 조사하기 위해 X선 회절분석(XRD ; X-ray diffraction)을 하였고, AFM(Atomic Force Microscope)으로 표면 특성을 관찰하였다. -
KSTAR(Korea Superconducting Tokamak Advanced Research) 토카막에 설치되어 있는 ICRF(Ion Cyclotron Range Frequency) 시스템을 이용한 방전세정을 2008년에 이어 2009 KSTAR 플라즈마 campaign 동안에도 시행하였다. ICRF 시스템을 이용한 방전세정인 ICWC(Ion Cyclotron Wall Cleaning)는 ITER와 DEMO 같은 초전도 자석을 이용하는 토카막에서 토카막 shot 중간에 자장을 낮추지 않고 바로 방전 세정을 할 수 있는 방법이다. 토카막에서 방전세정은 탄소나 산소 화합물과 같은 불순물을 제거하여 방사에 의한 플라즈마 냉각을 막고 토카막 초기 start-up시 진공 챔버 벽면으로부터 의도하지 않은 연료주입을 제거하는 역할을 한다. 본 연구에서는 ICWC 방전 세정 플라즈마의 밀도특성과 균일도를 간섭계와
$H_{\alpha}$ line 세기를 통해 관측하고 RGA를 통해서 C,$H_2O$ ,$O^2$ 불순물의 제거량을 파악하는 한편 토카막의 신뢰성 있는 start-up을 위해 요구되는 벽면에서 토카막 방전가스의 제거량을 HD양을 통해서 조사하였다. 플라즈마 선적분 밀도는 약$1{\sim}3{\times}10^{17}#/m^2$ 로 측정되었는데 이는 보통 He을 이용한 방전세정 플라즈마의 밀도에 해당한다. 한편$H_{\alpha}$ line의 세기를 통해 ICWC 방전 플라즈마의 균일도를 살펴본 결과 안테나 전류띠의 중간이 아닌 끝부분에서$H_{\alpha}$ 의 세기가 큰 것으로 나타났는데 이는 ICWC 플라즈마가 Inductive 방전보다는 capacitive 방전에 의해 생성되는 것으로 추정된다. ICWC 방전에서 C,$H_2O$ ,$O_2$ 불순물의 제거율은 각각 약$4.2{\times}10^{-5}\;mbar{\cdot}l/sec$ ,$1.4{\times}10^{-3}\;mbar{\cdot}l/sec$ 그리고$1.72{\times}10^{-4}\;mbar{\cdot}l/sec$ 로 각각 나타났는데 ICWC shot이 진행될수록 이 양은 점점 줄어들었다. 대표적인 He/$H_2$ , He ICWC 방전 shot인 2118, 2123 shot에서 벽면에서$D_2$ 의 제거율은 각각 약$0.12\;mbar{\cdot}l/sec$ 와$3.9{\times}10^{-3}\;mbar{\cdot}l/sec$ 로 나타났다. 이는 수소의 첨가로 인해 HD의 형태로$D_2$ 의 제거율이 증가되었기 때문이다. 한편$H_2$ 의 첨가는 챔버 벽면에 흡착되는$H_2$ 양을 또한 증가시키므로 차후에$H_2$ 만을 제거하는 He ICWC를 수행해야 할 것이다. -
핵융합로에서 플라즈마 대항부품(Plasma facing components) 내부에 흡착되는 수소에 대한 조절은 삼중수소의 흡착으로 인한 운전시간 제한뿐만 아니라 원활한 토카막 방전유지를 위하여 매우 중요한 문제이다. 특히 고주파 가열에서는 수소를 소수종으로 사용하는 경우 수소 농도에 대한 수 % 이내의 정밀한 조절이 필요하므로 플라즈마 대항부품 내부의 수소 함유량에 대한 조절이 매우 중요하다. 2009 KSTAR 실험에서는 인보드와 아웃보드에 흑연재질의 플라즈마 대항부품을 사용하였다. 이들은 설치후 진공배기 이전까지 장시간 공기에 노출되었으므로 상당량의 수소와 물이 흡착되었으리라고 예상되었다. 본 발표에서는 잔류가스분석기 및 분광법을 이용하여 토카막 방전중의 수소와 중수소의 비율을 측정하였고 이들을 토카막 방전유지시간, 방전세정과정 등을 매개변수로 분석하였다. 한달여의 토카막 실험을 통하여 플라즈마 대항부품에 대한 활발한 세정활동이 이루어졌음에도 불구하고 중수소에 대한 수소의 농도는 50 % 근방의 값을 유지하였다. 2010년도 실험에서는 신규 설치되는 디버터도 흑연을 사용할 계획이므로 플라즈마 대항부품의 수소흡착량은 더욱 증가할 것이다. 따라서 2010년도에 KSTAR 플라즈마에서 효과적인 고주파 가열을 달성하기 위하여는 강력한 세정 활동을 포함한 수소의 농도 제거활동이 선행되어야한다.
-
KSTAR 토카막의 두번째 실험 캠페인 동안 고속파 전자가열 (FWEH)을 위한 ICRF 고주파입사 실험을 실시하였다. 토로이달 자기장은 2 T, 플라즈마 전류는 200-300 kA, 주반경은 1.8 m, 부반경은 0.5 m의 원형 플라즈마가 가열 대상이 되었으며, 네개의 ICRF 안테나 전류띠 가운데 중심부의 두개의 전류띠를 최대 300 kW로 구동하기 위한 운전 주파수는 44.2 MHz가 선택 되었다. 이 주파수는 플라즈마의 모든 영역에서 이온 사이클로트론 공명을 일으키지 않으므로 플라즈마에 흡수되는 대부분의 출력은 전자에게 전달될 것으로 기대되었다. 낮은 고주파-플라즈마 결합으로 인하여 전송선의 최대 고주파 전압이 허용치를 초과하기 때문에 비교적 낮은 최대 출력만이 허용 되었으나, ECE에 의해 관측된 전자의 온도는 국지적으로 최대 150 % 까지 증가하는 것을 확인 할 수 있었다. 낮은 고주파-플라즈마 결합의 첫번째 원인은 FWEH의 효율이 이온을 가열할 때 보다 상대적으로 낮기 때문이다. 플라즈마 내에 이온 사이클로트론 공명층이 형성되면 높은 효율로 고주파를 입사 할 수 있다는 것은 잘 알려진 사실이다. 또다른 원인은 D 형상의 플라즈마에 맞도록 만들어진 안테나와, 원형 플라즈마간의 부조화로 인하여 고속파 차단층이 (Fast Wave Cutt-off Layer) 평균적으로 넓게 형성되기 때문이다. 플라즈마 외곽에 반드시 존재하는 낮은 플라즈마 밀도의 고속파 차단층 내부에서, 중심부로 향하는 고주파의 진폭은 지수함수로 감쇠하므로 가능하면 플라즈마 밀도를 높여 차단층 자체의 폭을 줄이거나, 안테나 전류띠를 플라즈마에 바짝 접근시켜야만 한다. 고주파 진단 장치로는 송출기의 출력과 반사파 측정 장치, 공명루프의 전압 측정 장치가 있는데, 이것들을 이용하여 안테나에 전달되는 출력 및 고주파-플라즈마 결합 효율을 나타내는 플라즈마에 대한 고주파 부하 저항을 구할 수 있다. 측정 결과, 부하 저항의 최소값은 진공시 또는 ICRF만의 방전시의 값 0.25 Ohm 보다 큰 0.5 Ohm을 나타냈으며, 최대값은 플라즈마의 상태에 따라 1 Ohm에서 2 Ohm 사이에서 매우 빠르게 요동하는 것을 확인했다. Mm 파 반사계의 측정에 의하면 플라즈마 언저리의 위치가 약 3 cm 정도의 크기로 요동하는 것으로 나타났는데, 부하 저항과 언저리 위치의 파형이 정확하게 일치하지 않지만 유사한 경향성을 가진 것으로 보인다. 따라서 플라즈마 언저리 위치의 제어를 통하여 가열 효율을 높게 유지할 수 있음을 알 수 있다. 본 발표에서는 실험의 소개와 함께 부하 저항의 관점에서 가열 효율을 높일 방안을 토론하도록 한다.
-
최근 태양전지 연구가 활성화 되면서 다양한 종류의 태양전지가 만들어 지고 있다. 이에 따라 태양전지를 정확하게 분석하고 평가하는 방법에 대한 중요성이 커지고 있다. 태양전지의 성능과 품질을 평가하는 방법은 인공태양광조사장치(Solar Simulator)를 사용하여 태양전지의 전류와 전압특성을 측정하는 방법, 양자효율 측정 장치(Quantum Efficiency Measurement System)를 사용하여 태양광의 파장별로 분광반응도를 측정하는 양자효율측정법 등 다양한 방법이 있다. 그 중 양자효율측정법은 태양광의 다양한 파장에 대하여 태양전지가 파장대역마다 어떠한 반응을 하는지 알 수 있고, 그에 따른 태양전지에 사용된 재료의 특성을 알 수 있게 해준다. 일반적으로 양자효율측정은 태양광 아래에서의 상황과 유사한 환경을 만들기 위해 바이어스광을 사용하고, 분광기로 AC광원을 태양전지에 조사하여 측정한다. 바이어스광의 광량 및 AC광원의 주파수에 의해 양자효율 측정결과는 달라질 수 있는데, 이는 태양전지에 사용된 물질에 따라 다른 경향을 보인다. 본 연구에서는 바이어스광과 AC 광원 주파수를 특정한 광주파수대역(100 Hz ~ 1000 Hz)에서 단결정태양전지와 다결정태양전지에 조사하여 측정하였고, 양자효율 대한 영향과 그 결과에 따른 원인을 분석하였다.
-
태양전지 셀에서 표면 반사에 의한 태양광 손실을 보다 적게 하여 흡수량 증가시킬 필요가 있다. 태양전지에서 생성된 전자 정공 수집 향상을 위해 금속 재질로 이루어진 그리드 전극을 사용한다. 이때 금속 그리드에 입사되는 태양광은 대부분 반사되어 입사광의 손실로 이어진다. 본 연구에서는 결정질 실리콘 태양전지에서 표면 그리드에 의한 광학적 손실을 반사율을 통해 확인하였고 양자효율을 측정하여 보았다. 결정질 실리콘 태양전지 표면 반사율 측정은 적분구를 사용하였고, 측정에 사용된 태양전지 샘플은 일반적인 구조의 결정질 실리콘 태양전지이다. 실험은 표면 그리드 공정 전 후의 샘플로 실험을 진행하였고, 셀의 표면 균일도에 의한 확인을 위하여 동일한 면적 비율의 입사광을 조사하여 반복 실험을 하였다. 양자효율 측정은 광학 초퍼를 통한 광원과 분광기 및 검출기를 포함하는 태양전지 특성 분석 장치를 사용하였다. 그 결과 특정 파장 대역에서 그리드의 유무에 따른 반사율의 변화와 이에 따른 양자효율의 변화를 통하여 그리드에 의한 결정질 실리콘 태양전지의 특성변화에 대해 알아보았다.
-
후면 패시베이션, back contact의 가변, 후면 접촉면적의 가변 등으로 Laser Fired Contact 태양전지의 효율을 증가 시킬 수 있다. 이 중 spacing의 가변으로 후면 접촉 면적을 가변 할 수 있으며, 이로 인하여 LFC 태양 전지의 효율을 높일 수 있을 것으로 전망된다. 본 연구에서는 후면 접촉 면적을 가변하였으며 이에 따른 효과를 확인하였다. series resistance가 작고, open circuit voltage 가 높은 최적의 조건을 찾는 것에 그 목적을 두었다. 실험 순서는 texturing 후, 후면에 SiNx를 10nm 증착하였으며, drive-in 방법으로
$POCl_3$ 을 도핑하였다. ARC후, spacing 조건 가변으로 접촉 면적을 가변시키면서 소자의 특성 변화를 비교하였다. 접촉 면적 및 spacing 조건은 5개의 set에 대하여 reference, 50%의 접촉 면적을 가지는$150{\mu}m$ line, 10%의 접촉 면적을 가지는$700{\mu}m$ line, 1%의 접촉 면적을 가지는$700{\mu}m$ dot, 그리고 0.2%의 접촉 면적을 가지는$1500{\mu}m$ dot으로 하였다. 각각의 경우에 대한 short circuit current density, fill factor, seris resistance, sheet resistance, open circuit voltage를 측정하였으며, 특히 series resistance는 각각의 경우에 대하여$6.1m{\Omega}$ ,$5.1m{\Omega}$ ,$7.8m{\Omega}$ ,$10.1m{\Omega}$ , 그리고$15.7m{\Omega}$ 으로 측정되었다. wafer의 외각 테두리를 접촉 면적이 증가함에 따라서 sheet resistance가 증가하는 것을 확인 할 수 있었다. -
In order to enhance the efficiency of the organic solar cells, the effects of plasma surface treatment with using
$CF_4$ and$O_2$ gas on the anode ITO were studied. The polymer solar cell devices were fabricated on ITO glasses an active layer of P3HT (poly-3-hexylthiophene) and PCBM ([6,6]-phenyl C61-butyric acid methyl ester) mixture, without anode buffer layer, such as PEDOT:PSS layer. The metallic electrode was formed by thermally evaporated Al. Before the coating of organic layers, ITO surface was exposed to plasma made of$CF_4$ and$O_2$ gas, with/without heat treatment. In order to identify the effect the surface treatment, the current density and voltage characteristics were measured by solar simulator and the chemical composition of plasma treated ITO surface was analyzed by using X-ray photoelectron spectroscopy(XPS). In addition, the work function of the plasma treated ITO surface was measured by using ultraviolet photoelectron spectroscopy(UPS). The effects of plasma surface treatment can be attributed to the removal organic contaminants of the ITO surface, to the improvement of contact between ITO and buffer layer, and to the increase of work function of the ITO. -
비정질 실리콘 박막 태양전지의 설계에 있어서 빛을 처음 받아들이는 p-layer는 전체적인 태양전지 특성에 큰 영향을 준다. 본 논문에서는 window layer의 gas flow rate 변화에 따른 특성이 태양전지에 미치는 영향에 대한 simulation을 수행하였다. 가변 조건으로는 window layer의 산소와 붕소 양에 따른energy bandgap과 conductivity 그리고 activation energy를 단계별로 변화시켜 simulation을 수행하였다. Simulation 결과 산소와 붕소의 양에 따른 window layer의 특성은 태양전지의 특성에 큰 영향을 끼친다. 본 연구는 simulation data를 기반으로 real device 제작하는데 큰 도움이 될 것이다.
-
비정질 실리콘 박막 태양전지의 수광부인 i-layer는 비정질의 특성 상 많은 defect을 함유하고 있다. 이러한 defect들은 빛에 의하여 생성된 전자정공 재결합에 있어서 주도적으로 작용하게 된다.
$H_2$ 는 이러한 defect들의 생성을 줄여주어 박막의 특성을 향상시켜주는 것으로 알려져 있다. 본 논문에서는 비정질 박막 태양전지의 수광부로 사용되어지는 i-layer의$H_2$ gas flow rate의 변화에 따른 태양전지의 특성을 simulation해 보았다.$H_2$ 는 1:0에 1:5까지 변화시켰고 그에 따른 태양전지의 QE, LIV, DIV 곡선을 통하여 특성을 알아보았다. 또한 추가적으로 i-layer의 두께에 따른 효율의 변화도 simulation해 보았다. -
TCO/p/i/n 구조의 비정질 실리콘 박막 태양전지의 제작에 있어서 TCO계면과 p층사이의 이종접합에서의 큰 밴드갭 차이는 p층으로부터의 정공 재결합을 통하여 효율 저하의 원인이 된다. 이러한 재결합은 넓은 밴드갭을 가진 물질을 완충층으로 삽입함으로써 개선되어 질 수 있다. 본 논문에서는 비정질 실리콘 보다 넓은 광학적 밴드갭을 가지는 a-SiOx 박막을 완충층으로 사용하여 TCO/P 계면에서의 재결합 감소에 대한 시뮬레이션을 수행하였다. a-SiOX 박막 내에 포함된 산소의 양에 따라 밴드갭을 조절하여 1.8eV~2.0eV 사이의 완충층을 삽입하여 박막태양전지의 개방전압, 단락전류, 효율 등에 끼치는 영향을 ASA 시뮬레이션을 통하여 알아보았다.
-
태양전지의 개발이 본격화 되면서 태양전지 웨이퍼 표면에서의 재결합에 의한 손실을 줄이고 전면에서의 반사도를 감소시키기 위한 ARC (Anti-reflection Coating) layer에 대한 연구가 활발히 진행되고 있다. 이 중 대표적인 물질이 실리콘 질화막이 있다. 실리콘 질화막은 PECVD(plasma-enhanced chemical vapor deposition)법으로 저온에서 실리콘 기판 위에 증착 가능한 장점이 있다. 또한 실리콘 질화막의 광학적, 전기적인 특성은
$SiH_4:NH_3$ 의 화학적 조성비에 의해 결정되며 가스비 가변에 따라 균일도 및 굴절률 조절을 가능케 하여 태양전지의 효율을 향상시킬 수 있다. 본 연구에서는 태양전지의 표면 반사도 저감 및 효율 향상에 최적화된 실리콘 질화막을 형성하기 위해 PECVD를 이용하였고, 가스비 가변을 통해 굴절률을 조절하여 실리콘 질화막을 증착하고 이를 이용한 태양전지를 제작한 후 특성을 비교, 분석하였다. 실리콘 질화막 증착을 위해 압력, 온도, 파워를 1Torr,$450^{\circ}C$ , 300W로 고정하고 가스비는$SiH_4$ 를 45 sccm으로 고정한 후$NH_3$ 의 양을 각각 30, 60, 90, 120 sccm으로 가변하였다.$SiH_4:NH_3$ 비율이 45:90일 때 박막의 passivation효과가 최대였으며 이 조건로 ARC를 형성한 태양전지는 77% 후반의 높은 FF(Fill Factor)와 17%의 광 변환 효율을 나타냈다. -
일반적으로 가장 많이 사용되고 있는 양극재료 가운데
$LiCoO_2$ 는 비교적 용량이 크고, 우수한 수명특성의 장점을 가지고 있는 반면, 단점으로 원재료의 높은 가격과 독성이 있으며, 열적으로 불안정하다. 반면, 원재료의 높은 가격과 독성, 열적 불안정성은 단점으로 지적된다. 이러한 단점을 극복할 수 있는 양극재료로 원료 가격이 저렴하고 높은 용량(170 mAh/g)과 열적으로 안정한 올리빈 구조를 형성하고 있는$LiFePO_4$ 가 가장 이상적으로 고려되어져 왔다. 하지만 낮은 이온, 전기전도도 때문에 다양한 연구가 이루어졌다. 특성향상을 위한 연구가 필요하며, 다양한 전이금속의 도핑과 카본 코팅을 통하여 전기전도도의 향상과 함께 구조적으로도 리튬 이온의 확산을 더 용이하게 한다는 결과가 최근 보고되어 있다. 최근 다양한 전이금속의 도핑과 카본코팅을 통하여 전기전도도의 향상과 함께 구조적으로도 리튬이온의 확산을 더 용이하게 한다는 결과가 보고되어 있다. 본 연구에서는 고상반응법을 이용하여$LiFePO_4$ 를 합성하였고, 카본소스를 첨가하여 전기전도도의 향상과 함께 높은 용량의$LiFePO_4$ /C양극재료를 합성하였다. 제조된 분말은 XRD 회절시험을 통하여 결정구조를 분석 하였으며, SEM을 이용하여 분말의 형상과 크기를 관찰 하였고, 또한 전기화학적 특성도 평가하였다. -
광포획 기술을 통하여 빛의 흡수 증가시키는 것은 고효율 비정질 실리콘 박막 태양전지 제작에 있어 매우 중요하다. 비정질 실리콘 박막 태양전지에서 일반적으로 사용되는 광포획 기술은 전면 투명전극 및 후면 반사막 표면에 패턴을 형성하는 것이며, 이때의 패턴은 불규칙하게 형성된다. 이러한 불규칙한 패턴 대신 주기적인 패턴을 형성하면 보다 효과적인 광포획 효과를 얻을 수 있다. 본 연구에서는 주기적인 패턴 형성된 유리 기판 위에 비정질 실리콘 박막 태양전지를 제작하여 태양전지의 광학적 특성 및 변환효율 변화를 살펴보았다. 먼저, 패턴이 형성된 유리 기판에 대한 광추적 전산모사를 통하여 광학적 특성 변화를 살펴보았으며, 실험을 통하여 태양전지를 제작하고 광학적 특성 및 변환효율을 측정하였다. 광추적 전산모사 결과와 실험을 통하여 얻은 결과를 비교 분석하여 유리 기판의 반사방지 및 광포획 효과를 알아보았으며, 박막형 비정질 실리콘 태양전지의 변환 효율에 대한 긍정적인 영향을 확인 할 수 있었다. 박막형 비정질 실리콘 태양전지 제작에는 PECVD가 사용되었으며, 태양전지의 광학적 특성 및 변환효율 측정에는 UV-VIS 분광기, 적분구, solar simulator 등이 사용되었다.
-
태양전지에서는 표면조직화를 통하여 빛을 좀 더 효과적으로 이용하고자 한다. 따라서 표면 조직화를 하지 않은 평면구조의 태양전지와 표면조직화를 실시한 태양전지의 광학적 특성을 TCAD simulation tool인 SILVACO를 이용하여 각각의 구조에 따른 특성을 분석하고자 한다. 이를 위하여 표면조직화를 실시한 구조와 실시하지 않은 구조별로 입사되는 빛의 경로추적, 빛의 세기와 각도, 파장대역별로 생성되는 QE, 그리고 입사된 빛에 의한 광생성 전류 분포와 같은 광학적 특성을 simulation할 뿐만 아니라 이에 따른 개방전압 및 단락전류와 같은 전기적 특성 분석을 통하여 효과적인 표면조직화 구조를 제시하고자 한다.
-
IBC 태양전지에서 후면 pn 접합의 형성은 전기적 특성 및 광학적 특성을 결정짓는 결정적인 요인이다. 하지만 후면 pn 접합 형성을 위한 실험적인 분석 방법에는 많은 시간과 노력이 필요하며 많은 시행착오를 겪어야 한다. 따라서 이러한 시간과 노력을 줄이고 실험을 하기 이전에 결과를 예측하여 실험의 방향을 제시하고자 simulation을 이용하여 IBC 태양전지의 후면 pn 접합 형성 구조와 doping profile에 따른 전기적, 광학적 특성을 예측하고 효과적인 특성을 가질 수 있는 구조를 제시하고자 한다. 이를 위하여 modeling 함수를 통한 2차원 ATLAS software를 이용하여 특성을 분석하였다. 그리고 태양전지에 입사되는 빛의 세기 및 파장대역별로 생성되는 광생성 전류 및 전압과 같은 전기적 특성과 빛의 경로분석에 따른 광학적 특성을 분석하여하여 IBC 태양전지에 적합한 pn 접합 형성 구조를 제시하고자 한다.
-
Study on the Electron Injection of Newly Synthesized Organic Sensitizer in Dye-Sensitized Solar CellElectronic and photovoltaic characteristics of two sensitizers (TA-BTD-CA and TA-BTD-St-CA), composed of a different
$\pi$ -conjugation in the linker group, have been investigated by theoretical and experimental methods. The electronic structure, transition dipole moment and oscillator strengths of two sensitizers have been scrutinized by using density functional theory (DFT) and time-dependent DFT (TD-DFT) method. The LUMO level and the oscillator strength of TA-BTD-St-CA was higher than that of TA-BTD-CA, which may facilitate the electron injection process as well as increase the absorption coefficient. The relative efficiencies of the electron injection from the excited sensitizer to nanocrystalline TiO2 and SnO2 films have also been investigated by nanosecond transient absorption spectroscopy. The relative electron injection efficiency of TA-BTD-St-CA exhibited similar injection efficiency for two different semiconductors. However, in the case of TA-BTD-CA sensitizer, electron injection into SnO2 was approximately three times larger than that into TiO2. This enhancement of electron injection of TA-BTD-CA for the SnO2 is due to the increment of the driving force caused by positive shift of conduction band of semiconductor, which was also confirmed from the investigation for the photovoltaic characteristics according to the electrolyte additive, such as LiI additive. -
본 논문은 직류전원에 펄스주파수를 인가함으로써 AZO 박막이 미치는 영향에 대해 알아보기 위해 기존과는 다른 형태인 원통형의 회전(Cylindrical rotatable)하는 방식을 가진 DC magnetron sputter를 이용하였다. 인가되는 전력과 압력, 온도 그리고 거리는 각각 고정하였고 펄스 주파수 가변을 통해 박막의 전기적, 광학적, 구조적 그리고 SEM등의 다양한 특성에 대해 확인하였다. 박막의 광학적 특성인 투과도를 알아보기 위해 UV-Vis를 이용하여 측정하였고 가변 범위에 관계없이 550 nm의 파장 길이에서 약 90%의 투과도를 보였다. 그리고 펄스주파수가 증가할수록 XRD의 Intensity는 오히려 감소되는 경향을 보였고, 홀 측정을 통해 비저항의 증가와 전자농도 증가, 감소된 홀 이동도를 통해 증가된 펄스 주파수가 박막의 구조적, 전기적 특성이 얼마나 많은 영향을 끼치는지 또한 알 수 있었다. 그리고 펄스주파수는 면저항과 홀 이동도의 감소 요인이며 이것은 XRD의 결과로 확인하였다. 펄스주파수가 향후 박막 태양전지 및 TFT와 NVM 등의 소자를 적용하는데 있어 중요한 요소 중의 하나로 판단할 수 있다.
-
기존의 박막태양전지 전면층으로 활용하는 Asahi glass는 증착공정 중에 발생하는 수소 플라즈마로 인해 FTO 기판의 투명전도막이 손상되어 태양전지의 효율 저하가 문제가 되었다. 이를 해결하기 위해 본 논문에서는 FTO 기판의 전면층을 대신하여 ZnO:Al 박막증착을 하기 위해서 회전원통형의 타겟이 장착된 DC magnetron sputtering을 가지고 성장을 시켰는데 증착하는데 있어 중요한 공정변수인 압력 및 온도 조건의 가변을 통해 이를 최적화하여 전면층에 활용하고 자 한다. 그래서 3mtorr의 압력과
$230^{\circ}C$ 의 온도 조건에서 두께가 약$1{\mu}m$ 일 경우,$6.5{\times}10^{-4}{\Omega}cm$ 의 비저항과 함께 약 85% 이상의 투과도를 나타냈다. 이것은 ZnO:Al 박막이 압력과 온도의 영향에 따라 투명전도막에 많은 영향을 끼치는 것을 알 수 있었다. -
AZO 박막 증착에 있어 증착변수간의 상관관계에 대한 연구가 미비하였는데 이번 실험에서는 증착조건 변수 중에서 DC 파워와 온도가 박막성장의 영향과 이들의 상관관계에 대해 알아보고자 한다. 3mtorr의 압력과 150,
$230^{\circ}C$ 의 온도를 각각 가변하는 것과 함께 파워를 1~5 kW까지 가변하였다.$150^{\circ}C$ 에서 파워를 증가하면 투과도는 향상되나 XRD에서의 상대적 크기는 오히려 감소한 것을 관찰하였다. 하지만$230^{\circ}C$ 에서 파워를 증가하면 오히려 투과도가 감소하였다. 위 실험을 통해서 파워가 증가함에 따라$150^{\circ}C$ 의 낮은 온도에서 상대적으로 입자의 가속에너지 및 충돌 에너지가 급격히 증가하여 Zn나 Al에 비해 O원자의 물리적 충돌이 늘어남으로써 남은 금속 원자들이 증착되어 투과도 감소와 함께 비저항 증가로 이어졌다. 그러나$230^{\circ}C$ 의 상대적으로 높은 온도에서 파워를 가변 하였을 경우, 오히려 O원자의 잦은 충돌과 함께 산소원자의 부족으로 인해 투과도가 감소하며 박막성장을 하는데 있어 결정크기가 오히려 감소하여 비저항을 증가한 것을 알 수 있었다. 이로써 적절한 파워와 온도가 압력과 온도의 상관관계 못지않게 중요한 공정변수가 되며, 박막의 품질을 높이는데 상당한 기여를 하는 것을 확인하였다. -
The design of the blanket and shield play a key role in determining the size of a reactor since it has an impact on the various reactor components. The blanket should produce enough tritium for tritium self-sufficiency and the shield should provide sufficient protection for the superconducting TF coil. Neutronic optimization of the blanket and the shield is necessary, and we coupled the system analysis with a neutronic calculation to account for the interrelation of the blanket and shield with the plasma performance of a reactor system in a self-consistent manner. By using the coupled system analysis code, the operational space for a low aspect ratio (LAR) tokamak reactor with a superconducting toroidal field (TF) coil is investigated with an spect ratio in the range of 1.5 - 2.5. The minimum major radius which satisfies all the physics and engineering requirements increases with the magnetic field at the magnetic axis. A required inboard shield thickness is mainly determined by the requirement on the protection of the TF coil against radiation damage. It is shown that to have a fusion power bigger than 3,000 MW in the LAR tokamak with a superconducting TF coil, a major radius bigger than 4.0 m is required.
-
결정질 실리콘을 포함하는 태양전지의 광전효율은 표면에 입사되는 태양광의 반사를 제외하면 흡수된 광자에 의해 생성되는 전자-정공쌍의 상대적인 비율인 내부양자효율에 의존하게 된다. 실제 생성된 전자-정공쌍은 기판재료의 결정상태와 전기광학적 물성 등에 의해 일부가 재결합되어 2차적인 광자의 생성이나 열로서 작용하고 최종적으로 전자와 정공이 완전히 분리되고 전극에 포집되어 실질적인 유효전류로 작용한다. 16% 이상의 고효율 결정질 실리콘 태양전지양산이 요구되고 있는 현실에서 광전효율 개선 위해 가장 우선적으로 고려되어야 할 변수는 입력 태양광스펙트럼에 대한 결정질 실리콘 표면반사율을 최소화하여 광흡수를 극대화하는 것이라 할 수 있다. 이의 해결을 위하여 대기와 실리콘표면 사이의 굴절률차이가 크면 클수록 태양광스펙트럼에 대한 결정질 실리콘의 광반사는 증가하기 때문에 상대적으로 낮은 굴절률의
$SiO_x$ 나$SiN_x$ 와 같은 반사방지막을 광입력 실리콘표면에 증착하여 광반사율 저감공정을 적용하고 있다. 이와 더불어 결정질 실리콘표면을 화학적으로 혹은 플라즈마이온으로 50-100nm 직경의 바늘형 피라미드형상으로 texturing 함으로 광자들의 다중반사 등에 기인하는 광흡수율의 증가를 기대할 수 있기 때문에 태양전지효율 개선에 긍정적인 영향을 미치는 것으로 이해된다. 본 실험에서도 고효율 다결정 실리콘 태양전지 양산공정에 적용 가능한 ICP-RIE기반 결정질 실리콘표면에 대한 texturing 공정기술을 연구하였다. Double Langmuir 플라즈마 진단시스템(DLP2000)을 적용하여 사용한$SF_6$ 와$O_2$ 개스유량과 챔버압력, 플라즈마 파워에 따른 이온밀도, 전자온도, 포화이온전류밀도, 플라즈마포텐셜의 공간분포를 모니터링하였고 texturing이 완료된 시료에 대하여 A1.5G 표준태양광스펙트럼의 300-1100nm 파장대역에서 반사율을 측정하여 그 변화를 관찰하였다. 본 연구에서 얻어진 결과를 간략히 정리하면 Si texturing에 가장 적합한 플라즈마파워는 100W,$SF_6/O_2$ 혼합비는 18:22, 챔버압력은 30mtorr 등이고 이에 상응하는 플라즈마의 이온밀도는$2{\sim}3{\times}10^8\;ions/cm^3$ , 전자온도는 14~15eV, 포화전류밀도는$0.014{\sim}0.015mA/cm^2$ , 플라즈마포텐셜은 38~39V 범위 등이었다. 현재까지 얻어진 최소 평균반사율은 14.2% 였으며 최적의 texturing패턴 플라즈마공정 조건은 이온에 의한 Si표면원자들의 스퍼터링과 화학반응에 의한 증착이 교차하는 플라즈마 에너지 및 밀도 상태인 것으로 해석된다. -
Six metal-free organic dyes having thiophene (1), benzene-thiophene (2), thiophene-benzene (3), thiophene-pyridine(4), thiophene-thiophene (5), and pyridine (6) linkers between 9,9-dimethylfluorenyl terminal group and
$\alpha$ -cyanoacrylic acid anchor were synthesized. Among them, organic dye 5 showed the longest${\lambda}}max$ value (424 nm) in UV-Vis absorption spectrum, better incident monochromatic photon-to-current conversion efficiency (IPCE), highest short circuit photocurrent density (JSC, 9.33 mA2/cm2), and highest overall conversion efficiency ($\eta$ , 3.91%). -
This paper reports syntheses of
$TiO_2$ nanocubes and theirs application to DSSC. We synthesized$TiO_2$ nanocubes via solvothermal method using titanium isopropoxide (TTIP) and tetramethylammoiumhydroxide (TMAH). By adding longer alkyl chain ammonium hydroxide that slowed down the growth rate of the crystal,$TiO_2$ nanocubes were obtained with average particle size in the range of 40 nm to 70 nm. By TEM investigation, each particle was found to be single crystal of anatase having six-faces of (001) and {100} crystallographic planes truncated by {101} series of planes, which are clearly distinguishable from spherical nanoparticles. Among various application, utilizing nanocubes as photo-electrode in dye-sensitized solar cell, we investigated photo-electron conversion performances in comparison with spherical shaped$TiO_2$ nanoparticles by I-V characteristics and IPCE measurements, etc.. Photocurrent-transient analysis revealed that$TiO_2$ nanocubes have a higher transient electron transfer rate by more than 10 times compared with spherical particles of similar size. Fast electron transport along the cube edges having small curvature was suggested as a plausible origin of high diffusion coefficient of electron in nanocube$TiO_2$ . -
We present the effect of interlayers of polymer tandem solar cells on their photovoltaic performance. P-type and n-type interlayers are essential for the series-connection of the subcells and enable to form the tandem cell architecture by the solution processing. In this study, we use PEDOT:PSS, nanocrystalline
$TiO_2$ , and blends of semiconducting polymers and fullerene derivatives as a hole transporting layer, electron transporting layer, and photoactive layers, respectively. We show that photovoltaic performances of polymer tandem solar cells depending on various PEDOT:PSS layers with the different electric conductivity and the various$TiO_2$ layer thickness. -
Dye-sensitized solar cells (DSSCs) based on plastic substrates have attracted much attention mainly due to extensive applications such as ubiquitous powers, as well as the practical reasons such as light weight, flexibility and roll-to-roll process. However, conventional high temperature fabrication technology for glass based DSSCs, cannot be applied to flexible devices because polymer substrates cannot withstand the heat more than
$150^{\circ}C$ . Therefore, low temperature fabrication process, without using a polymer binder or thermal sintering, was required to fabricate necked$TiO_2$ . In this presentation, we proposed polymer-inorganic composite photoelectrode, which can be fabricated at low temperature. The concept of composite electrode takes an advantage of utilizing elastic properties of polymers, such as good impact strength. As an elastic material, poly(methyl methacrylate) (PMMA) is selected because of its optical transparency and good adhesive properties. In this work, a polymer-inorganic composite electrode was constructed on FTO/glass substrate under low temperature sintering condition, from the mixture of PMMA and$TiO_2$ colloidal solution. The effect of PMMA composition on the photovoltaic property was investigated. Then, the enhanced mechanical stability of this composite electrode on ITO/PEN substrate was also demonstrated from bending test. -
The dye-sensitized solar cells (DSSCs) have achieved so far the highest validated efficiency over 11%. However, the cells with the best performance utilize volatile solvent as a electrolyte, which can cause some practical limitations for the long-term operation. This is one of the most substantial problems to be resolved for the commercialization of DSSCs. In order to improve the long-term stability, many research groups have reported new electrolyte system, to replace the liquid type electrolyte by non-volatile ones. In this work, we studied long-term stability of the DSSCs with various types of electrolytes such as (PVDF HFP) based polymer, eutectic melts of ionic liquids, and liquid based solvent. The cells with various electrolytes have been exposed to the condition under thermal stress and illumination over 1000 hours. We will report the change of photovoltaic properties with time and investigate the degradation mechanism with the impedance spectroscopic analysis.
-
The PEDOT:PSS layer is usually used as hole transporting layer for the polymer bulk heterojunction solar cells. However, the interface between ITO and PEDOT:PSS is not stable and the chemical reaction between ITO and PEDOT can result in degraded device performance. We used the tungsten oxides as a hole transport layer by spin-coating. The
$WO_3$ nanoparticles were well dispersed in ammonium hydroxide and deionized water and formed thin layer on the ITO anode. We found that$WO_3$ surface is more hydrophobic than the bare ITO or PEDOT:PSS-coated surfaces. The hydrophobic surfaces promote an ordered growth of P3HT films. A higher degree of P3HT ordering is expected to improve the hole mobility and the lifetime of the device using the tungsten oxide showed better stability compared to the device using the PEDOT:PSS. -
For boron doping on n-type silicon wafer, around
$1,000^{\circ}C$ doping temperature is required, because of the relatively low solubility of boron in a crystalline silicon comparing to the phosphorus case. Boron doping by fiber laser annealing and lamp furnace heat treatment were carried out for the uniformly deposited p-a-Si:H layer. Since the uniformly deposited p-a-Si:H layer by cluster is highly needed to be doped with high temperature heat treatment. Amorphous silicon layer absorption range for fiber laser did not match well to be directly annealed. To improve the annealing effect, we introduce additional lamp furnace heat treatment. For p-a-Si:H layer with the ratio of$SiH_4:B_2H_6:H_2$ =30:30:120, at$200^{\circ}C$ , 50 W power, 0.2 Torr for 30 min.$20\;mm\;{\times}\;20\;mm$ size fiber laser cut wafers were activated by Q-switched fiber laser (1,064 nm) with different sets of power levels and periods, and for the lamp furnace annealing,$980^{\circ}C$ for 30 min heat treatment were implemented. To make the sheet resistance expectable and uniform as important processes for the$p^+$ layer on a polished n-type silicon wafer of (100) plane, the Q-switched fiber laser used. In consequence of comparing the results of lifetime measurement and sheet resistance relation, the fiber laser treatment showed the trade-offs between the lifetime and the sheet resistance as$100\;{\omega}/sq.$ and$11.8\;{\mu}s$ vs.$17\;{\omega}/sq.$ and$8.2\;{\mu}s$ . Diode level device was made to confirm the electrical properties of these experimental results by measuring C-V(-F), I-V(-T) characteristics. Uniform and expectable boron heavy doped layers by fiber laser and lamp furnace are not only basic and essential conditions for the n-type crystalline silicon solar cell fabrication processes, but also the controllable doping concentration and depth can be established according to the deposition conditions of layers. -
Saw damage of crystalline silicon wafer is unavoidable factor. Usually, alkali treatment for removing the damage has been carried out as the saw damage removal (SDR) process for priming the alkali texture. It usually takes lots of time and energy to remove the sawed damages for solar grade crystalline silicon wafers We implemented two different mixed acidic solution treatments to obtain the improved surface structure of silicon wafer without much sacrifice of the silicon wafer thickness. At the first step, the silicon wafer was dipped into the mixed acidic solution of
$HF:HNO_3$ =1:2 ration for polished surface and at the second step, it was dipped into the diluted mixed acidic solution of$HF:HNO_3:H_2O$ =7:3:10 ratio for porous structure. This double treatment to the silicon wafer brought lower reflectance (25% to 6%) and longer carrier lifetime ($0.15\;{\mu}s$ to$0.39\;{\mu}s$ ) comparing to the bare poly-crystalline silicon wafer. With optimizing the concentration ratio and the dilution ratio, we can not only effectively substitute the time consuming process of SDR to some extent but also skip plasma enhanced chemical vapor deposition (PECVD) process. Moreover, to conduct alkali texture for pyramidal structure on silicon wafer surface, we can use only nitric acid rich solution of the mixed acidic solution treatment instead of implementing SDR. -
포항가속기연구소에서는 성능향상사업(PLS-II)를 수행하고 있다. 전자빔의 에너지는 2.5에서 3 GeV로, 빔전류는 200 mA에서 400 mA로 증가되는 반면 빔에미턴스는 약 1/3로 줄어든다. 저장링 진공시스템은 저장된 전자가 충분한 시간동안 저장되도록
$10^{-9}\;Torr$ 대의 진공도를 가져야한다. 빔에너지와 전류가 늘어나기 때문에 기체부하도 약 4배 상승하므로 적절한 배기 시스템을 가지도록 설계되어야 한다. 본 논문에서는 진공시스템의 기본설계 방향과 빔안정을 확보하는 중요 파라메터들에 대하여 논의하며 기체부하, 배기계통, 진공용기 배치, 열차단장치의 배치등에 대하여 보고한다. -
The beam position monitor (BPM) in the storage ring measures the position of electron beam with pickup electrodes which are coupled in capacitive way to the electron beam. However, some of the BPM in the PLS storage ring suffer the vertical noise signal at the operation frequency of about 500 MHz, so the pick-up frequency of these BPM is change to 375 MHz. In this study, it is experimentally proved in the PLS beam chamber that the BPM noise is caused by the TE mode excited in the beam chamber.
-
우주환경에서 임무를 수행하는 인공위성의 경우, 조립, 시험, 운용시점까지 위성 표면에 흡착되는 오염물질을 최소화하기 위하여 전 기간 동안 세심한 주의를 기울여야 한다. 위성표면에 흡착된 미세한 오염물질은, 고진공 및 고온, 극저온이 반복되는 가혹한 우주환경 속에서 위성의 성능저하 및 효율의 손실을 가져올 수 있다. 예를 들어 위성 표면에 떨어진 입자오염은 별 추적 장치의 오류를 발생시킴에 따른 위성 자세제어의 실패를 가져올 수도 있고, 표면에 흡착된 분자 오염은 렌즈, 미러, 윈도우 등의 광학기기 및 주요 민감 표면에 작용하여 광학적 특성과 열제어 성능의 저하를 가져올 수 있다. 위성의 조립 및 시험을 관장하는 한국항공우주연구원에서는 위성의 오염물질에 대한 노출을 최소화하기 위해, 오염측정이 이루어지는 청정실을 운용하고 있는데, 본 논문에서는 청정실 및 진공챔버 내의 부유입자측정, 표면입자오염측정, 표면분자오염측정법을 소개하고 오염측정 결과에 대한 분석을 수행하였다.
-
디스플레이 및 반도체 산업이 발전함에 따라 회로의 선폭이 점차 줄어들고 있으며, 이에 따라서 대표적인 오염원이 되는 오염입자의 임계 직경(critical diameter) 또한 작아지고 있다. 현재 반도체 및 디스플레이 산업에서 사용되는 측정방법은 레이저를 이용하여 공정 후 표면에 남아 있는 오염입자를 측정하는 ex-situ 방법이 주를 이루고 있다. Ex-situ 방법을 이용한 오염입자의 제어는 웨이퍼 전체를 측정할 수 없을 뿐만 아니라 실시간 측정이 불가능하기 때문에 공정 모니터링 장비로 사용이 어려우며 오염입자와 공정 간의 상관관계 파악에도 많은 제약이 따르게 된다. 이에 따라 저압에서 in-situ 방법을 이용한 실시간 오염입자 측정 기술 개발이 요구되고 있다. 본 연구에서는 저압 환경에서 실시간으로 입자를 모니터링 할 수 있는 장비를 입자의 광산란 원리를 이용하여 개발하였다. 빛이 입자에 조사되면 크게 산란 및 흡수현상이 일어나게 되는데, 이 때 발생하는 산란광은 입자의 크기와 관계가 있으며 Mie 이론으로 널리 알려져 있다. 현재 이를 이용한 연구가 국내 및 국외에서 진행되고 있다. 수 백 nm 대의 입자를 측정하기 위해서는 빛의 강도가일정 수준 이상 되어야 하며, 이를 측정할 수 있는 수신부의 감도 또한 중요하다. 본 연구에서는 빛의 직경을 100 um 이하까지 집속할 수 있는 광학계를 상용 프로그램을 이용하여 설계하였으며, 강도가 약한 산란광 측정을 위하여 노이즈 제거 필터링 기술 등이 적용된 수신부 센서를 개발하여 전체 시스템에 적용하였다. 교정은 상압과 저압에서 수행 하였으며 약 5%의 측정효율로 최소 300 nm 이하의 입자까지 측정이 가능함을 확인 하였다. 또한, 타사의 실시간 입자 측정 센서와의 비교 실험을 통하여 성능평가를 수행하였다. 기존 광산란 방식 센서보다 높은 성능의 센서를 개발하기 위하여 추후 연구를 진행할 계획이며, 약 200 nm 이하의 입자까지 측정이 가능할 것으로 기대된다.
-
반도체 및 LCD 공정이 진행되는 진공 챔버는 유량계, 진공 펌프 및 밸브 등을 이용하여 적절한 공정용 기체와 압력을 제어하게 된다. 공정에 따라 매우 높은 온도를 유지해야 하는 경우도 있다. 챔버 내부의 압력은 유입되는 기체의 시간에 따른 유량 변화에 의하여 주기적으로 변화하게 된다. 이러한 유량 변화는 장기적으로는 결국 펌프의 신뢰성(내구수명)에 영향을 주게 되며, 특히 고유량 및 저유량을 반복하게 되는 공정에 있어서는 더욱 큰 영향을 미치게 된다. 또한 챔버 내부는 다양한 화학적 반응이 일어나며 이러한 공정 기체들의 높은 온도는 결국 챔버에 연결된 펌프의 성능 및 신뢰성에도 영향을 주게 된다. 대부분의 반도체 및 LCD 공정이 이루어지는 압력에서는 전도 및 대류의 열전달 형태 보다는 열복사에 의한 영향을 받게 되어 챔버를 적절히 설계한다면 펌프에 직접적으로 전달되는 복사량은 상대적으로 낮고, 펌프에 미치는 영향도 크지 않게 된다. 그러나 압력의 변화에 따라 전도 및 대류의 영향이 커지게 되는 경우에는 펌프 자체 및 성능에 큰 영향을 주게 될 것이다. 터보형 펌프의 국내(KS) 및 국제규격(ISO)의 성능시험방법에는 이러한 온도에 따른 펌프의 성능 특성 변화를 다루고 있지 않으며, 크라이오 펌프인 경우 열복사의 영향에 대한 시험방법이 일부 공개되어 있다[J. Vac. Sci. Technol. A17(5)]. 본 연구에서는 기체의 유량 및 온도 변화에 따른 진공 펌프의 성능 특성 변화를 고찰하고자 하며, 향후 이러한 시험방법에 대한 표준 절차를 확립하고자 한다.
-
반도체 및 디스플레이의 진공부품은 알루미늄 모제에 전해연마법(electrolytic polishing), 양극산화피막법(Anodizing), 플라즈마 용사법(Plasma spray) 등을 사용하여
$Al_2O_3$ 피막을 성장시켜 사용되고 있다. 반도체 제조공정 중 30~40% 이상의 비중을 차지하는 식각(etching) 및 증착(deposition) 공정은 대부분 플라즈마를 사용하고 있다. 플라즈마에 의해 화학적, 물리적 침식이 발생하여 코팅막에 손상을 일으켜 코팅막이 깨지거나 박리되면서 다량의 Particle을 생성함으로써 생산수율에도 문제를 야기 시킨다고 알려져 있다. 하지만 이들 코팅막을 평가하는 방법은 거의 전무하여 산업계에서 많은 애로를 겪고 있다. 이러한 코팅부품의 내플라즈마 성능평가 방법과 기준이 없어 적절한 교체시기를 파악하기 위한 코팅부품의 손상정도를 정량화 및 평가 방법의 표준화를 구축하는 연구를 수행하였다. 본 연구에서는 이러한 소재의 특성평가를 위해 공정에서 사용 중 손상되어 교체된 샘플의 모폴로지 관찰하고 내전압 측정으로 전기적 특성을 분석하여 손상 전, 후의 변화를 관찰하였다. 또한 플라즈마의 영향에 따른 코팅 막 형태 변화 및 전기적 특성의 변화를 알아보기 위하여 양극산화피막법(Anodizing)으로$Al_2O_3$ 를 성장시킨 평가용 샘플을 제작한 후, Plasma chamber 장비를 이용하여 플라즈마 처리에 따른 코팅막의 내전압, 식각율, 표면 미세구조의 변화를 측정하였고 이를 종합적으로 고려하여 진공 장비용 코팅부품의 공정영향에 의한 내플라즈마 특성평가방법 개발에 관하여 연구하였다. 이러한 실험을 통해 플라즈마 처리 후 코팅 막에 크랙이 발생되는 것을 확인할 수 있었고 코팅 막의 손상으로 전기적 특성이 감소를 것을 확인할 수 있었다. 또한 ISPM 장비를 이용하여 진공 장비용 코팅부품이 플라즈마 공정에서 발생하는 오염 입자를 측정할 수 있는 방법을 연구하였다. 이러한 결과를 이용하여 진공공정에서 사용되는 코팅부품이 플라즈마에 의한 손상정도를 정량화 하고 평가방법을 개발하여 부품 양산업체의 진공장비용 코팅부품 개발 신뢰성 향상이 가능할 것으로 본다. -
하나로 노심에서 발생하는 열중성자를 감속재인 액체수소층을 통과시켜 냉중성자를 생산 하는 설비인 냉중성자원 시설은 초경량 합금, 신소재 및 DNA 구조연구 등의 첨단기술연구에 유용한 도구로 활용될 계획이며, 현재 원자력연구원에서는 냉중성자원 시설을 개발하여 제작 설치하였고, 이 장치들에 대해 기능시험을 수행하였다. 냉중성자원 시설계통에서 가스블랭킷계통은 수소의 외부누출을 방지하고, 진공용기를 포함한 수조내기기 내부로 공기 및 경수가 유입되지 않도록 하여 냉중성자원을 보호하기 위한 역할을 수행한다. 또한 가스블랭킷계통의 구성은 가스공급장치(
$N_2$ 및 He 가스 실린더로부터 가스공급 기능), 질소충압탱크, 진공박스, 수소박스, 밸브박스 및 각 구역별 독립 배관 등으로 되어있다. 이동식 진공배기장치는 가스블랭킷계통에서 사용하기 위해 특수하게 제작된 장치로서 진공계통과 수소계통의 초기충진 시 또는 계통배기 시 잔류가스를 제거하거나, 블랭킷가스의 오염검사를 위한 시료채취 기능 등을 수행할 수 있도록 되어있다. 본 논문에서는 냉중성자원장치 내의 수소계통 및 진공계통의 배관과 기기를 외기와 경수로부터 안전하게 격리시키기 위해서 제작설치 적용된 가스블랭킷계통에서 이동식 진공배기장치를 이용하여 잔류가스 제거방법과 각 가스블랭킷 영역으로부터 시료를 채취하여 수행된 산소농도 분석에 대해 기술하였다. -
반도체 제조, 디스플레이 산업 등의 진공공정에서 잔류기체의 종류와 양에 대한 관심이 높아지면서 사용이 쉽고 높은 정확도를 가지는 사중극 질량 분석기(QMS)가 널리 쓰이고 있다. 특히 고진공으로 내려가면서 리크디텍션(leak detection)과 미세량의 잔류기체 감지가 더욱더 요구된다. 그중에서도 진공공정에서의 수소 가스를 감지하는 것은 매우 중요하므로
$H_2$ /Ar 혼합가스를 이용하여 미세농도의 수소를 측정하였다. 측정하려는 가스를 부피확장 방법으로 가스챔버로 희석하여 이동시키고 핀홀에서 가스유량을 더 줄여서 QMS가 기체를 감지하는 압력범위를 유지하면서 측정하였다. 미세량의 수소기체를 감지하기 위해 이온소스의 emission current, Ion ref. voltage, cathode voltage의 변수를 조절하여 QMS를 최적화 하였으며, 그 결과 수십 ppm 농도까지 측정이 가능하다. -
진공펌프의 배기성능 지표들을 정량적으로 측정하기 위해서 사용하는 표준용기에 관한 규격들은 대체로 일치하는 사양들을 제시하지만 유독 기체도입 도관의 굵기에 대해서는 다양한 방식으로 규정하고 있어서 혼란스럽다. 현재 제정중인 ISO 규격에서는 도관 굵기를 용기 내경의 10분의 1로 규정하고 있는데 대형 펌프 용 표준용기에 맞춘다면 도관의 굵기가 지나치게 커질 수 있다. 과연 이렇게 굵은 도관이 정밀한 측정을 위해 꼭 필요한지 또는 오히려 방해가 되는 것은 아닌지 검토해 보기 위해 도관 굵기에 따라 도관출구를 빠져나오는 입자들과 오리피스 및 펌프 흡기구에 입사하는 입자들의 등방성에 대해 살펴보았다 계산 결과에 의하면 도관의 굵기는 오리피스 및 펌프 흡기구에 입사하는 입자들의 평균 방향 여현 값에 전혀 영향을 미치지 않는 것으로 나타났으며 반면에 도관이 오리피스나 흡기구 면에 국부적으로 그림자를 드리우거나 입자 분포와 평균 방향여현 분포를 왜곡시키지도 않았다. 즉 기체 도입 도관을 가늘게 해도 빔효과가 계속 전파되어서 측정에 악영향을 미치지 않으므로 별 문제가 안 되고, 도관이 규정되어 있는 범위 안에서 어느 정도 굵어져도 입자의 흐름을 방해한다는 증거는 없다는 뜻이다. 그렇다면 도관의 굵기를 한 값으로 못 박기보다 넓은 범위를 주어 가공 능력에 따라 사용자가 적절히 크기를 선택할 수 있도록 하는 것이 합리적이라고 판단된다.
-
크라이오 펌프는 크기에 비해 상대적으로 큰 배기속도를 가지고 있고 자체 기체 방출이 적어 비교적 쉽고 빠르게 도달압력을 낮출 수 있다. 또 초고진공 펌프로서 경쟁 상대인 터보분자 펌프보다 기체 돌입에 대한 기계적 사고 가능성이 낮다. 그러나 흡착 패널의 온도에 민감하게 반응하는 배기 성능 측면에서 볼 때 크라이오 펌프의 대유량 및 펄스 기체 부하에 대한 성능 유지 및 회복능력은 의문의 여지가 있다. 크라이오 펌프의 기체부하에 대한 공식적인 성능지표로는 최대배기량(max. throughput)과 교차(crossover)값이 있다. 전자는 연속적인 유량[
$Pa{\cdot}m^3/s$ ]에 대해, 또 후자는 일정 기체량[$Pa{\cdot}m^3$ ]에 대해 흡착 패널이 20 K를 넘지 않는 가동범위를 구하는 것이다. 교차값은 넓은 의미에서 펄스 기체부하에 대한 성능으로 볼 수도 있지만 원래 목적은 진공용기를 저진공 상태에서 고진공 상태로 전환하는 시점을 정하려는 데 있다. 펌프회사에서 제공하는 사양에는 대부분 아르곤 회복시간(Ar recovery time)이라는 지표가 있는데 이는 아르곤을 상당한 유량으로 흘리다 멈췄을 때 얼마나 빨리 기저 상태로 회복되는가를 나타낸다. 보통 사양서에 제시하지는 않지만 주로 크라이오 펌프의 재생상태를 알아보기 위해 사용하는 걸프(gulp) 시험이 있는데 일정량의 기체를 펄스로 도입한 후 압력변화를 기록하여 재생후 배기성능 회복 능력을 보는 것이다. 이들은 겉보기에 서로 다르지만 한편으로는 서로 중복되거나 연관성을 가지고 있어서 실용적인 면에서 절차들을 비교, 검토 및 개선하고 때에 따라서는 적절히 결합할 수 있는 방안을 모색할 필요성이 있다. -
반도체 소자 제조 공정에 사용되는 공정 펌프는 전체 소요되는 에너지(소비전력)에 52%를 소비하고 있다. 이러한 이유 때문에 반도체 fab 내에서 에너지 절감을 논의할 때 항상 공정용 진공 펌프가 1 순위에 오를 수밖에 없는 것이다. 반도체 공정용 진공 펌프는 사용되어지는 공정에 따라 유지되는 진공도가 달라지고 이에 따라 소비전력과 투입되는 utility의 양이 바뀌게 되어 진공도와 공정에 따른 에너지 소비의 pattern이 다르다. 한국표준과학연구원 진공센터에서는 각 공정 대응용 펌프의 종류에 따라 배기속도, 도달진공도, 소비전력, 진동, 소음 등 기본 펌프 성능 평가, light gas인 helium에 대응하는 기본 성능평가를 실시하고 있다. 또한 부가적으로 soft/medium 공정용의 경우 저전력 mode의 소비전력의 진공도에 따르는 측정변수의 pattern을 측정/분석하고 있으며, harsh 공정용의 경우 50~300 slm의 유량 주입에 따른 내구성 특성을 monitoring하고 있다. 드라이펌프의 기본적인 평가 성능과 각 회사의 SPM (single pump monitoring system) 측정 변수인 온도, 배기구 압력 변화 등의 자체 진단 인자를 포함하여 반도체 공정에서 드라이 펌프의 운용에 필요한 냉각수,
$N_2$ , 등과 같은 utility의 사용량 및 온도변화 등을 측정하여 드라이 펌프의 에너지 소비 pattern을 분석하고자 한다. -
한국표준과학연구원 진공센터에서는 국제규격에 바탕을 둔 저진공펌프 종합특성평가시스템을 구축하여
$1100mbar\;{\sim}\;10^{-3}mbar$ 압력 영역에서의 저진공펌프(roots, dry 등)류의 종합특성평가를 시행하고 있다. 저진공펌프 종합특성평가시스템은 국제적 절차에 따른 신뢰성을 바탕으로 구축하고 있으나, 한국표준과학연구원 진공센터 뿐만 아니라, 국내에서도 고진공 종합특성평가 시스템을 구축 하고 있지 않다. 이에 반도체/디스플레이 등 첨단 공정에서 진공 환경을 조성하는 핵심장비인 고진공펌프의 종합특성평가시스템을 개발하고자 터보펌프(TMP) 1000L/s 급의 database를 구축 하였다. 터보펌프(TMP)는 throughput method와 orifice method 두 가지 방법을 병행하여 pumping speed 측정한다. orifice method는 일종의 미세유량 측정 장치이며, 실험값과 계산값 유량의 오차 범위가 작고 신뢰성을 확보하면 throughput method 만으로 측정할 수 있다. Througput method는$10^{-6}mbar$ 압력 이상의 영역을 측정하며, ultimate pressure 및$150^{\circ}C$ 의 bake-out 을 진행하여 base pressure을 측정 할 수 있으며,$10^{-6}mbar$ 압력 이상의 pumping speed를 측정 할 수 있다. 이에 따른 정압형 유량시스템을 개발 중에 있으며, inlet pressure와 outlet pressure를 이용한 compression ratio를 측정 한다. Orifice method는 ultimate pressure와 base pressure을 측정하며, leak valve를 이용한 컨덕턴스(C)로 pressure ratio을 이용하여 유량값을 계산하며,$10^{-6}mbar$ 압력 이하의 pumping speed를 측정할 수 있다. 또한 throughput method와 orifice method의 pumping speed 뿐만 아니라 소비전력 및 소음, 진동, 온도 등 특성평가 관련 사항들의 전반적인 사항을 평가하여 터보펌프(TMP) 1000L/s 급의 database를 구축한다. 향후 예비 실험을 통한 고진공펌프의 종합특성평가시스템을 완비해 나가며, 고진공펌프 종합 특성평가시스템을 통하여 국제적으로 공인받을 수 있는 평가기준을 확립하고 그 기준에 의한 진공/기계적 성능의 전방위적인 종합특성진단과 공정대응성 평가 등 국제적 기술 신뢰성을 확보하고자 한다. -
우주용 고분자 재료의 기체방출 특성에 대한 측정 및 분석은 위성의 운용 시 방출된 분자에 의한 오염 거동을 예측하기 위한 전산 모사에 필요하다. 일반적으로 우주용 재료의 기체 방출량을 측정하는 방법으로는 TML(Total Mass Loss) 및 CVCM(Collected Volatile Condensible Material) 등이 규정되어있으나 이 방법들은 단지 측정 전과 후의 질량 차이만을 규정하고 있어서 시간에 따른 기체방출 양상을 분석하기 어렵다. 유량법(Throughput method)은 시료를 진공 용기에 넣고 일정한 배기속도로 배기하면서 측정한 압력 값으로부터 기체방출량을 얻는 방법이다. 유량법을 이용하면 시간에 따른 기체방출특성 뿐만 아니라 측정 전 후의 질량 차이도 측정 및 분석할 수 있다. 본 연구에서는 이 유량법을 이용하여 측정한 우주용 재료의 기체방출 특성을 분석하고, 이로부터 분자오염 거동을 예측하기 위한 전산 모사에 필요한 입력 데이터를 추출하였다. 이때 기체방출 데이터는 간단한 1차 탈착 곡선의 조합으로 근사하였다.
-
하나로 반사체의 수직공 안에 설치된 냉중성자원 시설계통의 수조내기기는 원자로에서 생성되는 열중성자를 약 22K의 감속재로 감속시켜 0.1~10 meV 범위에서 높은 선속을 갖는 냉중성자를 생산한다. 냉중성자를 생산하기 위한 냉중성자원 시설계통의 구성은 감속재인 수소를 포함하고 있는 수소계통, 수소의 외부누출을 방지하기 위한 가스블랭킷계통, 극저온의 액체수소를 생산하기 위한 헬륨냉동계통, 극저온인 액체수소 층을 감속재용기 내에 유지하기 위한 진공계통 등으로 되어있다. 이들 계통 중 진공계통은 냉중성자원 시설계통의 정상운전 시 액체수소 열사이펀, 감속재용기 등의 냉중성자원 극저온 부품의 단열을 위하여 진공용기의 내부 진공도를 공정진공도 이하로 유지하기 위한 계통이다. 정상운전 시 진공계통으로부터 발생되는 배기 가스는 배기 수집탱크에 포집된다. 냉중성자원 시설계통으로부터 발생되는 배기가스는 배기수 집탱크를 통하여 수소의 누출여부를 확인한 후 원자로홀로 배기되도록 되어 있으며, 만일의 경우 탱크내부의 배기가스 수소 농도가 기준치인 3.5%이상일 때는 유입 원을 자동으로 차단하고, 희석용 가스인 고압의 질소를 주입하여 수소의 농도를 기준치 이하로 낮춘 후 원자로 홀로 자동 배출하도록 되어 있다. 본 논문에서는 냉중성자가 생산되는 냉중성자원 시설계통의 운전과정에서 진공계통으로부터 배출되는 배기가스를 배기수집탱크로 포집하고, 이 가스에 대해 수소가스의 농도를 분석하여 원자로 홀로 안전하게 배기할 수 있도록 수행된 수소가스 분석에 대해 기술하였다.
-
공정 중 발생하는 입자는 반도체 생산 수율에 가장 큰 영향을 끼치는 원인으로 파악되며, 생산 수율을 저하시키는 원인 중 70% 가량이 이와 관련된 것으로 알려져 있다. 현재 반도체 공정에서 입자를 계측하는데 사용하는 PWP (Particle per Wafer Pass) 방법은 표준 측정방법으로 널리 쓰이고 있으나, 실시간으로 입자의 양을 측정할 수 없고, Test wafer 사용에 따른 비용증가의 단점이 있어 공정 중에 입자를 실시간으로 측정할 수 있는 대안기술이 필요한 실정이다. ISPM (In-Situ Particle Monitoring)은 레이저 산란방식을 이용한 실시간 입자측정 장비로서 오염원 발생에 대한 즉각적인 대처와 조치가 가능하고 부가적인 추가 비용이 발생하지 않기 때문에 실시간 모니터링 장비가 없는 현재의 반도체 공정에 충분히 적용될 가능성이 있다. 특히 CVD 공정은 반도체 공정의 약 30%를 차지할 만큼 중요한 단계로 생성되는 오염입자 모니터링을 통해 공정 불량 유무를 판단할 수 있을 것으로 기대된다. 본 연구에서는 Silane 가스를 이용한 PECVD (Plasma Enhanced Chemical Vapor Deposition) 공정 중 발생되는 오염입자를 ISPM을 이용하여 실시간으로 측정하였다. 챔버 배기구에 두 가지 타입의 ISPM을 설치하고 공정압력, 유량, 플라즈마 파워를 공정변수로 하여 각각의 조건에서 발생되는 오염입자의 분포 변화를 실시간으로 측정하였으며 결과를 비교 분석하였다.
-
The metallic nanoparticles (Pt, Au, Ag. Cu, etc.) supported on ceria-titania mixed oxide exhibit a high catalytic activity for the water gas shift reaction (
$H_2O\;+\;CO\;{\leftrightarrow}\;H_2\;+\;CO_2$ ) and the CO oxidation ($O_2\;+\;2CO\;{\leftrightarrow}\;2CO_2$ ). It has been speculated that the high catalytic activity is related to the easy exchange of the oxidation states of ceria ($Ce^{3+}$ and$Ce^{4+}$ ) on titania, but very little is known about the ceria titanium interaction, the growth mode of metal on ceria titania complex, and the reaction mechanism. In this work, the growth of$CeO_x$ and Au/$CeO_x$ on rutile$TiO_2$ (110) have been investigated by Scanning Tunneling Microscopy (STM), Photoelectron Spectroscopy (PES), and DFT calculation. In the$CeO_x/TiO_2$ (110) systems, the titania substrate imposes on the ceria nanoparticles non-typical coordination modes, favoring a$Ce^{3+}$ oxidation state and enhancing their chemical activity. The deposition of metal on a$CeO_x/TiO_2$ (110) substrate generates much smaller nanoparticles with an extremely high activity. We proposed a mechanism that there is a strong coupling of the chemical properties of the admetal and the mixed-metal oxide: The adsorption and dissociation of water probably take place on the oxide, CO adsorbs on the admetal nanoparticles, and all subsequent reaction steps occur at the oxide-admetal interface. -
Various structures of vacancy defects in graphene layers and carbon nanotubes have been reported by high resolution transmission electron microscope (HR-TEM) and those arouse an interest of reconstruction processes of vacancy defects. In this talk, we present reconstruction processes of vacancy defects in a graphene and a carbon nanotube by tight-binding molecular dynamics (TBMD) simulations and by first principles total energy calculations. We found that a structure of a dislocation defect with two pentagon-heptagon (5-7) pairs in graphene becomes more stable than other structures when the number of vacancy units is ten and over. The simulation study of scanning tunneling microscopy reveals that the pentagon-heptagon pair defects perturb the wavefunction of electrons near Fermi level to produce the
$\sqrt{3}\;{\times}\;\sqrt{3}$ superlattice pattern, which is in excellent agreement with experiment. It is also observed in our tight-binding molecular dynamics simulation that 5-7 pair defects play a very important role in vacancy reconstruction in a graphene layer and carbon nanotubes. -
Electronic structure of Calix adsorbed on epitaxial graphene (EG) was investigated using high resolution photoemission spectroscopy (HRPES). Increasing the deposition of calix molecule, we found that EG becomes n-type doping using secondary edge measurement (work function change). As we observe bonding nature of O 1s peak, we found that single O 1s peak can be clearly distinguished in the spectra indicating equivalent adsorption state. Finally, we were able to control the band gap of EG using valence band spectra as we change the amount of calix molecule. In this study, we will propose the possibility of band gap modulation of EG using calix molecule.
-
We have investigated an Au intercalated monolayer graphene on Ni(111) using angle-resolved photoemission spectroscopy (ARPES), high resolution photoemission spectroscopy (HRPES), and low energy electron diffraction (LEED) at the 3A2 ARUPS beamline in Pohang Accelerator Laboratory. We find the monolayer graphene is well grown on the Ni(111) surface by the adsorption of acetylene. However, the graphene does not show the characteristic
$\pi$ band near the Fermi level due to its strong interaction with the underlying substrate. When Au is adsorbed on the surface and then annealed at high temperature, we observe that Au is intercalated underneath the monolayer graphene. The process of the Au intercalation was monitored by HRPES of corresponding Au 4f and C 1s core levels as well as the electronic structure of the$\sigma$ ,$\pi$ states at$\Gamma$ , K points. The$\sigma$ ,$\pi$ bands of graphene shift towards the Fermi level and the$\pi$ band is clearly observed at K point after the intercalation of full monolayer Au. The full width at half maximum (FWHM) of the C 1s peak narrows to approximately 0.42 eV after intercalation. These results imply that the interaction between the graphene and substrate is considerably weakened after the Au intercalation. We will discuss the graphene is really closer to ideal free standing graphene suggested recently. -
Tetra(4-carboxyphenyl)porphine(TCPP) 흡착으로부터 그래핀 표면의 기능화에 대하여 방사광을 이용한 광전자 분광법으로 연구하였다. 최근 들어 그래핀을 이용한 소자개발에 있어서 그래핀의 우수한 전기적 특성을 저해하지 않으며 그 표면의 화학적 활성도를 개선하고자 하는 필요성이 대두되고 있다. 따라서 기능성 작용기를 가지고 있는 유기반도체 물질을 이용하여 그래핀 표면의 화학적 활성화를 촉진시킴과 동시에 보호층을 형성시키고자 하는 연구가 보고되고 있다. 그러나 일반적으로 그 유기분자들이 그래핀 표면에 흡착되었을 때 전하의 이동을 유도함으로서 원래 그래핀의 물리적 특성을 변화시킬 가능성을 가지고 있다. 본 연구에서는 6H-SiC 표면 위에 두층으로 에피텍셜 성장된 그래핀에 TCPP 분자를 흡착시킴으로서 그래핀의 고유 특성을 거의 저해하지 않음과 동시에 기능성 작용기의 역할을 충실히 수행할 수 있음을 보여 주고 있다. 이는 valence band dispersion과 일함수 변화 측정으로 관찰할 수 있었다. 또한 TMA를 이용한 TCPP의 수산화 작용기의 반응 과정은 일반적인 실리콘 산화막에 적용했을 때 일어나는 화학적 반응 과정과 다른 메커니즘으로 진행됨을 관찰하였다.
-
그라핀 나노리본은 독특한 전기적 특성으로 인하여 차세대 나노 소자용 신소재로 주목을 받고 있으며 리본의 폭과 가장자리 구조에 따라 여러 가지 다른 특성을 나타낸다고 알려져 있다. 우리는 Scanning Tunneling Microscopy(STM) 실험을 통하여 기울어진 6H-SiC(0001) 면 위에서 그라핀 나노리본의 성장 가능성을 조사하고 성장된 그라핀 나노구조의 가장자리에서 나타나는 구조에 대하여 연구하였다. 그라핀 성장의 초기 단계에서는 리본 형태의 그라핀 나노 구조를 볼 수 있었으나 그라핀 성장 과정을 거치면서 SiC 기판의 잘 정렬된 계단 구조가 망가져서 그라핀 나노리본 배열의 형성에는 한계가 있음을 확인할 수 있었다. 원자 수준의 STM 이미지를 통해서 그라핀 나노 구조의 가장자리에서 큰 육각형 형태의 양자 간섭 무늬를 관찰하였는데 이러한 형태는 흑연 위의 그라핀 나노 조각에 대한 연구에서 관찰된 것과 동일한 것으로 Armchair 형태의 가장자리 구조의 경우에 형성된다고 알려져 있다.[1] 이로부터 SiC(0001) 표면위에 형성된 그라핀 나노 구조의 경우에도 Armchair 형태의 가장자리 구조가 더 안정적임을 알 수 있었다. 이러한 구조의 국소 전자 구조에 대하여 알아보기 위하여 Scanning Tunneling Spectroscopy 측정도 함께 수행하였다.
-
Thin film of
$TiO_2$ deposited on carbon paper was fabricated by atomic layer deposition (ALD) using titanium isopropoxide (TTIP) and$H_2O$ as precursors. In this work, the photocatalytic activities of$TiO_2$ films with and without e-beam treatment were compared. The samples were treated by e-beam using e-beam energy of 1MeV and exposure range between 5 and 15kGy. The photocatalytic activity was evaluated by the photocatalytic degradation of methyleneblue (MB) under UV irradiation (365nm) at room temperature using an UV-vis spectroscopy. The surface properties were characterized by scanning electron microscope (SEM) and X-ray photoelectron spectroscopy (XPS). The sample treated by the low radiation dose has more catalytic activity than other ones. SEM images show that the high radiation dose caused the$TiO_2$ to aggregation on carbon paper. Due to the aggregation of$TiO_2$ , the partially exposed carbon paper was oxidized. -
Using a novel deposition technique, which can be described as pulsed chemical vapor deposition (CVD),
$TiO_2$ thin films were synthesized on carbon fibers. We show that these films exhibit extraordinary high absorption capacities of toluene vapor. Such an absorption phenomenon of toluene at room temperature was not found for other$TiO_2$ samples. We expect that$TiO_2$ thin films prepared here can be used for removing volatile organic compounds from indoor atmosphere. Structures of there$TiO_2$ films were studied by SEM and XPS, and the results are discussed. -
We designed a new experimental set-up for measuring activity of heterogeneously catalyzed reactions. Using this set-up, we studied reduction of carbon dioxide by carbon dioxide reforming of methane (CRM) using nickel powder as catalyst. The properties of the catalysts were characterized by X-ray diffraction (XRD), Brunauer, Emmett & Teller (BET) surface area and X-ray photoelectron spectroscopy (XPS) techniques. The reactivity experiments were performed in the temperature range of
$300\;-\;500^{\circ}C$ . At reactivity experiment, result showed consumption of$CO_2$ and$CH_4$ with a 1:1 stoichiometry. At the same time, carbon monoxide and hydrogen were produced, which could be used for synthesizing fuels such as methanol. During the reaction, deposition of carbon on Ni was observed, which caused deactivation of the catalyst. -
Behaviors of
$TiO_2$ -based photocatalysts with different surface structures on the removal of gas-phase toluene with and without UV irradiation are reported. P-25(Degussa)$TiO_2$ powder dispersed in distilled water by sonication was deposited on the transparent glasses and then dried. Some of the samples were further annealed in oven for 1 hr. These samples obtained before and after annealing were characterized by Brunauer- Emmett-Teller (BET), Transmission Electron Microscope (TEM), X-ray Photoelectron Spectroscopy (XPS) and Fourier Transform Infrared (FT-IR) spectrometry, respectively. Based on BET and TEM data, no significant structural change upon annealing could be identified. However, the sample without annealing showed a significantly higher ability for removing toluene both in the presence and absence of the UV light. XPS and FT-IR results clearly revealed that the population of the OH groups on the surface of$TiO_2$ was higher for the sample without annealing, indicating that the OH groups can enhance the adsorption capacity and photocatalytic activity of$TiO_2$ for the removal of the gas-phase toluene. -
$TiO_2$ thin films were prepared on C fibers, and photocatalytic activity of these films for removing gas-phase toluene was studied.$TiO_2$ films were deposited on C fiber with 0.5 A-per-cycle growth rate by Atomic Layer Deposition (ALD) using TTIP (titanium tetra-isopropoxide) and$H_2O$ as precursors. The catalysts were characterized by Brunauer-Emmett-Teller (BET) for surface area and Scanning Electron Microscope (SEM) for morphology, respectively. Moreover, the samples were further characterized by X-ray Photoelectron Spectroscopy (XPS). As a function of$TiO_2$ thickness, no significant change in the photocatalytic activity could be identified. Interestingly, the bare-carbon fiber showed an even higher photocatalytic activity than the$TiO_2$ thin films for removing toluene. Origin of the high photocatalytic activity of the bare C fiber is discussed. -
에너지 효율이 높은 LED조명을 사용하면 에너지 절감, 이산화탄소, 환경오염물질 배출 감소의 효과를 얻을 수 있다. 그러나 LED조명에서 발생하는 열은 LED조명의 수명과 에너지 효율을 감소시킨다. 따라서 LED조명을 상용화하기 위해서는 LED조명에서 발생되는 열을 효율적으로 제거하는 것이 필수적이며 LED조명 방열판의 생산단가 또한 낮아야 한다. 이러한 조건을 충족하는 LED조명용 방열판은 Al 6063이 주로 사용되고 있다. Al 6063은 열전도 특성이 우수하고 생산단가가 저렴하다. 그러나 100 W급 이상의 고출력 LED조명에 Al 6063을 사용하기 위해서는 Al 6063의 열 방출 특성을 향상시킬 필요가 있다. 금속의 열 방출 특성을 향상시키기 위해서 주로 이용되는 방법은 표면적을 극대화 시키는 것이다. 금속에 국부적인 깊이 부식을 일이키는 Pitting corrosion을 이용하면 저렴한 비용으로 Al 6063의 표면적을 극대화하여 방열판의 열 방출 특성을 향상시킬 수 있다. 실험에 사용한 기본적인 구조의 Al 6063 방열판의 크기는
$50{\times}50{\times}30(mm)$ 이며 1M HCl + 0.05M$H_2SO_4$ 에서$I_a$ = +40 mA,$t_a$ = 60 ms,$I_c$ = -40 mA,$t_c$ =20 ms로 50, 100, 200 cycle AC 에칭 하였다. Pitting corrosion된 방열판은$3W{\times}3$ 개의 LED모듈에서 1시간 발광 시킨 후, 열화상 카메라를 이용하여 표면온도를 측정하였다. 실험결과 AC에칭 cycle이 증가할수록 발열특성이 우수하였으며, Pitting corrosion을 이용하지 않은 방열판에 비해 최대$5^{\circ}C$ 의 표면온도 감소가 이루어졌다. 본 연구를 통해, 저렴하면서도 열 방출 특성이 높은 방열판을 설계하면, 고출력 LED조명의 상용화를 앞당길 수 있을 것이다. -
OLED(organic light emitting diode)는 액정디스플레이를 대체할 차세대 평판디스플레이로 많은 주목을 받고 있다. 현재 많이 사용되고 있는 OLED의 기판재료는 Glass기판이지만 차세대 Flexible한 display에서의 적용을 위해서는 가볍고 유연한 plastic을 기판 재료로 사용 할 것으로 보인다. 하지만 plastic이 기판재료로 된 OLED의 가장 큰 단점중의 하나가 수분과 산소에 민감하여 열화를 초래한다는 것이다. 이런 수분침투와 열화 과정으로 인해 OLED의 발광효과가 약해져 OLED의 수명과 직접적으로 연결된다. 하여 외부에서 OLED내부로 유입되는 산소, 수분으로 부터 발광재료와 전극의 산화를 방지하며 외부의 충격으로부터 소자를 보호하기 위한 봉지기술은 반드시 필요하다. 따라서 본 연구에서는, flexible한 OLED에 적용되는 금속 코팅한 막의 적층구조 및 기판의 노출온도에 따른 금속 코팅막의 수분침투 특성에 대해 MOCON의 weight gain test (WGT)를 통해 barrier layer에 대해 평가하고 이에 대한 mechanism을 확립하는데 그 목적이 있다. 금속을 코팅한 막은 OLED의 cathode와 anode 재료로 많이 사용되는 Al과 ITO를 sputter장비를 이용해 single layer와 double-layer의 두 가지 구조로 PET기판에 증착하였다. 증착한 Al막의 두께는 각각 50 nm, 100 nm, 200 nm, 400 nm 등 4가지로 하였다. double-layer의 경우에는 총 두께를 절반씩 기판의 양쪽에 증착하였다. 적층구조에 따른 수분침투 특성 평가 결과로 보면 같은 두께일 때 double-layer는 single layer에 비해서 모든 시편에서 수분의 투습율이 낮음으로써 더 좋은 수분침투의 barrier 특성을 나타내었다. 특히 100 nm이상인 경우 투습율은 예상한 값보다 50%이상 낮게 나타났다.
-
일반적인 근접장 현미경은 광섬유 팁 (tip) 끝에 수십 nm 크기의 구멍을 이용하여 근접장을 발생시키거나 측정한다. 근접장은 전파되는 빛보다 미세한 구조의 정보를 반영하게 되는데 수십 나노미터의 구멍대신 FRET (fluorescence resonance energy transfer)이라는 현상을 근접장 현미경에 적용하고자 한다. 10 nm 이내의 거리에서 상호작용을 하는 이 현상을 이용하여 광학적 분해능을 향상시킬 수 있다. FRET 현상의 도우너(donor)로서는 양자점을 사용하였으며 억셉터(acceptor) 로서는 Cy5 염료를 사용하였다. 팁으로는 광섬유를 에칭한 팁에 Cy5 염료를 코팅한 팁과 광섬유의 코어(core) 부근에 양자점이 포함된 광 폴리머를 응고시켜서 만들어진 팁을 사용하였다. 팁에 위치한 도우너와 시료로 사용되는 억셉터를 FRET 상호 작용 거리 내로 접근시키기 위하여 tuning fork를 이용한 shear force control을 사용하였다. 한 점에서의 접근 과정에서 FRET의 현상의 특징으로서 도우너인 양자점의 형광이 약해지고 Cy5의 형광이 강해지는 것을 측정하였다. 또한, 양자점을 Cy5 염료에 근접시켰을 때 발광 생존시간 (lifetime)이 짧아지는 것을 관찰하여 FRET 현상을 재확인 하였다.
-
Scanning Tunneling Microscopy Study of Alcohol Adsorption on NiAl(110) Deposited by Pulsed InjectionAlcohol is a vesatile polar solvent for molecules. As a preparation to deposit large molecules, we studied interaction of solvent molecules on metallic surface. in this work, we report on methanol adsorption on NiAl(110) with scanning tunneling microscopy (STM). These alcohol solvent molecules were deposited by a pulse injection method suitable for deposition of thermally unstable molecules. The injection of liquid alcohol onto the substrate in UHV was performed by using a high-speed solenoid valve with the back-pressure reduced down to 100 Torr. This technique allowed precise control over the amount of dosing of molecules to less than 1 L. Alcohol-induced features, attributed to methoxy, were found on bare NiAl(110) surface.
-
Supramolecualr ordering has been actively studied due to it's possible applications to the fabrication processes of nano-electronic devices. Van der Waals interaction and hydrogen bonding are frequently studied mechanisms for various molecular structures based on non-uniform charge distributions. Halogen atoms in molecules can have electrostatic interactions with similar strength. Big halogen atoms have strong non-uniform charge distributions. To study molecular orderings formed by hydrogen and halogen interactions, we chose a molecular system containing oxygen, hydrogen, and bromine atoms, a bromo-quinone. A two-dimensional molecular network was studied on Au(111) using a low-temperature scanning tunneling microscope. Bromo-quinone molecules form self-assembled square grids having windmill structures. Their molecular orderings, chiral structures, and defects are explained in terms of hydrogen and halogen interactions.
-
The epitaxial growth of MgO film on Fe(001) has been investigated by scanning tunneling microscopy (STM). After confirming the clean Fe(001)-c(
$2{\times}2$ ) substrate by STM, Mg was deposited at room temperature (RT) under$O_2$ partial pressure of$10^{-7}\;Torr$ . The MgO was grown as clusters, not as an epilayer even after postannealing at$400^{\circ}C$ , as shown in Figure (a). On the contrary, when Mg was deposited on Fe(001)-c($2{\times}2$ ) at RT and post-oxidized through exposing$O_2$ at partial pressure$10^{-7}\;Torr$ , the thin-layered film with some clusters was formed. Extended-annealing at$400^{\circ}C$ reduced the cluster density, and finally the single and epitaxial MgO-c($2{\times}2$ ) film was formed on Fe(001)-c($2{\times}2$ ) as shown in Figure (b). This ultrathin MgO film formed on Fe is expected to be applied to many technological applications, such as catalysis, microelectronics, and magnetic devices. -
Tribological behaviors of the hard film on soft substrate system were explored using the hard thin film of diamond-like carbon (DLC) coated the soft polymer of polydimethysiloxane (PDMS). A DLC film with the Young's modulus of 100 GPa was coated on PDMS substrate with Young's modulus of 10 MPa using plasma enhanced chemical vapor deposition (PECVD) technique. The deposition time was varied from 10 sec to 10 min, resulting in nanoscale roughness of wrinkle patterns with the thickness of 20 nm to 510 nm, respectively, at a bias voltage of
$400\;V_b$ , working pressure 10 mTorr. Nanoscale wrinkle patterns with 20-100 nm in width and 10-30 nm height were formed on DLC coating due to the residual stress in compression and difference in Young's modulus. Nanoscale roughness effect on tribological behaviors was observed by performing a tribo-experiment using the ball-on-disk type tribometer with a steel ball of 6 mm in diameter at the sliding speed of 220 rpm, normal load of 1N and 25% humidity at ambient temperature of$25^{\circ}C$ . Friction force were measured with respect to thickness change of coated DLC thin film on PDMS. It was found that with increases the thickness of DLC coating on PDMS, the coefficient of friction decreased by comparison to that of the uncoated PDMS. The wear tracks before and after tribo-test were analyzed using SEM and AFM. -
Using ab initio density functional theory, we study the structural and electronic properties of interface between Cu surface and highly electron withdrawing hexaazatriphenylene-hexanitrile (HAT-CN) known as an efficient hole injection layer for organic light emitting diodes (OLEDs). We calculate the equilibrium geometries of the interface with different HAT-CN coverages. Usually, some of C-N bonds located at the edge of the HAT-CN molecule are deformed toward Cu atoms resulting in the reconstruction of Cu surface. By analyzing the electron charge and the potential distributions over the interface, we observe the formation of surface dipoles, which modify the work function at the interface. Such dipole formation is attributed to two origins, one of which is a geometrical nature and the other is a bond dipole. The former is related to structural deformation mentioned above, whereas the latter is due to charge transfer between organic and metal surface.
-
Ion beam bombardment at low energy forms nanosize patterns such as ripples, dots or wrinkles on the surface of polymers in ambient temperature and pressure. It has been known that the ion beam can alter the polymer surface that induces skins stiffer or the density higher by higher compressive stress or strain energies associated with chain scissions and crosslinks of the polymer. Atomic scale structure evolution in polymers is essential to understand a stress generation mechanism during the ion beam bombardment, which governs the nanoscale surface structure evolution. In this work, Molecular Dynamics (MD) simulations are employed to characterize the phenomenon occurred in bombardment between the ion beam and polymers that forms nanosize patterns. We investigate the structure evolution of Low Density Polyethylene (LDPE) at 300 K as the polymer is bombarded with Argon ions having various kinetic energies ranging from 100 eV to 1 KeV with 50 eV intervals having the fluence of
$1.45\;{\times}\;1014 #/cm2$ . These simulations use the Reactive Force Field (ReaxFF), which can mimic chemical covalent bonds and includes van der Waals potentials for describing the intermolecular interactions. The results show the details of the structural evolution of LDPE by the low energy Ar ion bombardment. Analyses through kinetic and potential energy, number of crosslinks and chain scissions, level of local densification and motions of atoms support that the residual strain energies on the surface is strongly associated with the number of crosslinks or scissored chains. Also, we could find an optimal Ar ion beam energy to make crosslinks well. -
Self-organized nanostructures of dots, holes or ripples produced by energetic ion bombardment have been reported in a wide variety of substrates. Ion bombardment on an alloy or compound also draws much attention because it can induce a surface composition modulation with a topographical surface structure evolution. V. B. Shenoy et al. further suggested that, in the case of alloy surfaces, the differences in the sputtering yields and surface diffusivities of the alloy components will lead to a lateral surface composition modulation [1]. In the present work, the classical molecular dynamics simulation of Ar bombardment on metallic alloys at room temperature revealed that this bombardment induces a surface composition modulation in layer-by-layer mode. In both the
$Co_{0.5}Cu_{0.5}$ alloy and the CoAl B2 phase, the element of higher-sputtering yield is accumulated on the top surface layer, whereas it is depleted in lower layers. A kinetic model considering both the rearrangement and the sputtering of the substrate atoms agrees with the puzzling simulation results, which revealed that the rearrangement of the substrate atoms plays a significant role in the observed composition modulation. -
Very initial stage of oxidation process of Si (001) surface was investigated using large scale molecular dynamics simulation. Reactive force field potential was used for the simulation owing to its ability to handle charge variation associated with the oxidation reaction. To know the detail mechanism of both adsorption and desorption of water molecule (for simulating wet oxidation), oxygen molecule (for dry oxidation) and their atom constituents, interaction of one molecule with Si surface was carefully observed. The simulation is then continued with many water and oxygen molecules to understand the kinetics of oxide growth. The results show that possibilities of desorption and adsorption depend strongly on initial atomic configuration as well as temperature. We observed a tendency that H atoms come relatively into deeper surface or otherwise quickly desorbed away from the silicon surface. On the other hand, most oxygen atoms are bonded with first layer of silicon surface. We also noticed that charge transfer is only occur in nearest neighbor regime which has been pointed out by DFT calculation. Atomic structure of the interface between the oxide and Si substrate was characterized in atomic scale.
-
The separated quasi-one-dimensional (
$7{\times}7$ ) and ($5{\times}5$ ) phases on vicinal Si(557) surfaces were successfully realized by changing the crystallographic orientation and thermal treatment conditions. A small change in the crystallographic orientation of the Si(557) surface stabilized the quasi-one-dimensional ($5{\times}5$ ) phase of a (111) facet on vicinal Si(557) surfaces and made it coexist with a quasi-one-dimensional ($7{\times}7$ ) phase after an optimal thermal treatment, whereas only the quasi-one-dimensional ($7{\times}7$ ) phase was stable on the Si(557) surface. Interestingly, this causes the (111) terraces with different widths (L) to prefer only one of the$5{\times}5$ (L=12) and$7{\times}7$ (L=9) phases resulting in long-range order of both phases along the step edge direction, which was observed by scanning tunneling microscopy (STM) and was supported by first principle calculations. In contrast, the quasi-one-dimensional ($5{\times}5$ and ($7{\times}7$ ) phases were arranged randomly across the step edge direction. The change of surface morphology of vicinal Si(557) surfaces will be discussed with STM images and theoretical calculations by changing crystallographic cutting angles and thermal treatment conditions. -
Today, vast attention has been paid to periodic arrays of nanostructures due to their potential for applications such as memory with huge storage density. Such application requires large-scale fabrication of well ordered nano-sized structures. One of the most widely used methods for the ordered nanostructures is lithography. This top-down process, however, has the limit to reduce size. Here the promising alternative is the self-organization of ordered nano-sized structures such as large scale 2d carbon-induced reconstructions on W(110). In the present study, we report on the first well-resolved atomic resolution STM studies of the well-known R(
$15{\times}3$ ) and R($15{\times}12$ ) carbon induced reconstruction of the W(110). From the atomic image of R($15{\times}3$ ) for different values of tunneling gap resistance, we can tell there are no missing atoms in unit cells of R($15{\times}3$ ) and some atomic displacements are substantial from the clean W(110), even though not all the imaged position of atoms correspond to tungsten, but may include those of carbon. We are considering two cases; First case is related to lattice deformation, or top layer of W(110) is deformed in the process of relief of strain caused by random inserting of carbon atoms possibly in the interstitial position. In the second case, R($15{\times}3$ ) unit cell results from a coincidence lattice between clean W(110) substrate and tungsten carbide overlayer which has rectangular atomic arrangement and giving R($15{\times}3$ ) coincidence lattice. beta-W2C showing rectangular unit cell should be a candidate. Further, we report on new reconstructions. Unlike the well-known R($15{\times}12$ ) consisting of two parts, two inner structures between two "Backbone" structures. The new reconstruction, which we found for the first time, contains more parts between the "Backbone"s. Sometimes we can observe the reconstruction consists of only inner parts without "Backbone" parts. Thus, the observed reconstruction can be built by constructing of two types of "Lego"-like block. Moreover, the rectangle shape of "Backbone" transform to parallelogram-like shape over time, the so-called wavy-R($15{\times}12$ ). Adsorption of hydrogen can be the reason for this transformation. -
The high capillarity of a plastic fiber network having superhydrophilic Si-DLC coating is studied. Although the superhydrophilic surface maximize wetting ability on the flat surface, there remains a requirement for the more wettable surface for various applications such as air-filters or liquid-filters. In this research, the PET non-woven fabric surface was realized by superhydrophilic coating. PTE non-woven fabric network was chosen due to its micro-pore structure, cheap price, and productivity. Superhydrophobic fiber network was prepared with a coating of oxgyen plasma treated Si-DLC films using plasma-enhanced chemical vapor deposition (PECVD). We first fabricated superhydrophilic fabric structure by using a polyethylene terephthalate (PET) non-woven fabric (NWF) coated with a nanostructured films of the Si-incorporated diamond-like carbon (Si-DLC) followed by the plasma dry etching with oxygen. The Si-DLC with oxygen plasma etching becomes a superhydrophilic and the Si-DLC coating have several advantages of easy coating procedure at room temperature, strong mechanical performance, and long-lasting property in superhydrophilicity. It was found that the superhydrophobic fiber network shows better wicking ability through micro-pores and enables water to have much faster spreading speed than merely superhydrophilic surface. Here, capillarity on superhydrophilic fabric structure is investigated from the spreading pattern of water flowing on the vertical surface in a gravitational field. As water flows on vertical flat solid surface always fall down in gravitational direction (i.e. gravity dominant flow), while water flows on vertical superhydrophilic fabric surface showed the capillary dominant spreading.
-
Defects existing on the clean Si(5 5 12)-
$2{\times}1$ , composed of one-dimensional(1-D) structures such as honeycomb (H) chain,$\pi$ -bonded ($\pi$ ) chains, dimer-adatom (D-A) row, and tetramer (T) row, have been investigated by scanning tunneling microscopy (STM). It is found that the defects can be classified to two categories: One is originated from phase boundaries in D-A and T rows having$2{\times}$ periodicities, by which buckling directions are reversed, and the other is caused by missing atoms on$\pi$ chains, D-A rows, and T rows. All these defects are symmetric with respect to the [6 6$\bar{5}$ ] direction, which is due to one-dimensional symmetry along the [1$\bar{1}$ 0] direction. Especially it is worth noticing that on H chains none of such defects exist, which implies that the H chain is energetically the most stable among 1-D structures existing on Si(5 5 12)-$2{\times}1$ . -
Similar to the superhydrophobic surfaces of lotus leaf, water strider leg is attributed to hierarchical structure of micro pillar and nano-hair coated with low surface energy materials, by which water strider can run and even jump on the water surface. In order to mimick its leg, many effort, especially, on the fabrication of nanohairs has been made using several methods such as a capillarity-driven molding and lithography using poly(urethane acrylate)(PUA). However most of those effort was not so effective to create the similar structure due to its difficulty in the fabrication of nanoscale hairy structures with hydrophobic surface. In this study, we have selected a low surface energy polymeric material of polytetrafluoroethylene (PTFE, or Teflon) assisted with surface modification of CF4 plasma treatment followed by hydrophobic surface coating with pre-cursor of hexamethyldisiloxane (HMDSO) using a plasma enhanced chemical vapor deposition (PE-CVD). It was found that the plasma energy and duration of CF4 treatment on PTFE polymer could control the aspect ratio of nano-hairy structure, which varying with high aspect ratio of more than 20 to 1, or height of over 1000nm but width of 50nm in average. The water contact angle on pristine PTFE surface was measured as approximately
$115^{\circ}$ . With nanostructures by CF4 plasma treatment and hydrophobic coating of HMDSO film, we made a superhydrophobic nano-hair structure with the wetting angle of over$160^{\circ}C$ . This novel fabrication method of nanohairy structures has been applied not only on 2-D flat substrate but also on 3-D substrates like wire and cylinder, which is similarly mimicked the water strider's leg. -
Single crystalline Fe/NiO bilayers were epitaxially grown on Ag(001) and on MgO(001), and investigated by Low Energy Electron Diffraction (LEED), Magneto-Optic Kerr Effect (MOKE), and X-ray Magnetic Linear Dichorism (XMLD). We find that while the Fe film has an in-plane magnetization in both Fe/NiO/Ag(001) and Fe/NiO/MgO(001) systems, the NiO spins switch from out-of-plane direction in Fe/NiO/MgO(001) to in-plane direction in Fe/NiO/Ag(001). These two different NiO spin orientations generate remarkable different effects that the NiO induced magnetic anisotropy in the Fe film is much greater in Fe/NiO/Ag(001) than in Fe/NiO/MgO(001). XMLD measurement shows that the much greater magnetic anisotropy in Fe/NiO/Ag(001) is due to a 90o-coupling between the in-plane NiO spins and the in-plane Fe spins which causes a switching of the NiO spins during the Fe magnetization reversal.
-
The adsorption configurations of S-proline on Ge(100) were studied using scanning tunneling microscopy (STM), density functional theory (DFT) calculations, and high-resolution core-level photoemission spectroscopy (HRCLPES). We identified three adsorption structures of S-proline on Ge(100) through analysis of the STM images, DFT calculations, and HRCLPES results: (i) an 'intrarow O - H dissociated and N dative bonded structure', (ii) an 'O - H dissociation structure', and (iii) an 'N dative bonded structure'. Moreover, because adsorption through the N atom of S-proline produces a new chiral center due to symmetry reduction by N dative bonding, the adsorption configurations have either (R,S) or (S,S) chirality, yielding an (R,S)-'intrarow O - H dissociated and N dative bonded structure' and an (R,S)-'N dative bonded structure', with a preference for reaction at the Re face. This work presents a novel method for generating stereoselective attachment using S-proline molecules adsorbed onto a Ge(100) surface.
-
Monolayers of graphite can be grown by fine controlled surface graphitization on the surfaces of various metallic and semiconducting materials. Epitaxial graphene grown on polished silicon carbide crystal surfaces has drawn much attention due to well known vacuum annealing procedures from surface analysis methods, especially scanning tunneling microscopy(STM) and scanning tunneling spectroscopy(STS). In this study, we have grown single layer and few layer graphene on silicon terminated 6H-SiC(0001) crystals. The growth of graphene layers were observed by low energy electron diffraction(LEED) patterns. Scanning tunneling microscopy and spectroscopy measurements were performed to illustrate the electronic structure which may display some clue on the influence of the underlying structure. Spatially resolved STS results acquired at the edges of epitaxial graphene show in detail the electron density of states, which is compared to theoretical calculations. STM measurements were also done on graphene films grown by chemical vapor deposition(CVD) and transferred onto a SiC(0001) crystal. These observations may provide a hint for the understanding of carrier scattering at the edges.
-
Adsorption and ordering of methionine molecules on Ge(100) surface have been studied using high resolution photoemission spectroscopy and low-energy electron diffraction (LEED) to investigate the adsorption structure as a function of coverage. Analysis of C 1s, S 2p, N 1s, and O 1s core levels reveals quite different according to methionine coverage. We found that the relative population of the two types of thiolates induces a structural change in the ordering from
$2\;{\times}\;1$ to$1\;{\times}\;1$ . Such an unusual evolution of the methionine adsorption on the Ge(100) surface is discussed in relation to chemical reactions and possible molecular rearrangement on the surface. -
최근 자연모사를 통한 초저마찰 연구가 활발히 진행되고 있으며 리소그라피, 레이져 가공법 등의 다양한 방법을 통해 표면구조 제어가 시도되고 있다. 본 연구에서는 자장여과 아크 플라즈마 이온 소스를 이용한 WC-Co 및 SCM 415 금속소재의 표면구조 형상제어를 통해 저마찰 특성을 시도하였다. 자장여과 아크 소스는 90도 꺽힘형이며 5개의 자장 코일을 통해 아크 음극에서 발생된 고밀도(
$10^{13}\;cm^{-3}$ 이상) 플라즈마를 표면처리 대상 기판까지 확산시켰다. 공정 압력은 알곤가스 1 mTorr, 아크 방전 전류는 25 A, 플라즈마 수송 덕트 전압은 10 V이다. 기판 전압은 비대칭 펄스 (-80 %/+5 %)로 -600 V에서 -800 V까지 인가되었으며 -600 V 비대칭 펄스 인가시기판으로 입사하는 알곤 이온 전류 밀도는 약$4.5\;mA/cm^2$ 이다. WC-Co 시편의 경우 -600 V 전압 인가시, 이온빔 처리 전 46.4 nm(${\pm}12.7\;nm$ )의 조도를 갖는 시편이 5분, 10분, 20분동안 이온빔 처리함에 따라 72.8 nm(${\pm}3\;nm$ ), 108.2 nm(${\pm}5.9\;nm$ ), 257.8 nm(${\pm}24.4\;nm$ )의 조도를 나타내었다. SCM415 시편의 경우 -800 V 인가시, 이온빔 처리 전 20.4 nm(${\pm}2.9\;nm$ )의 조도를 갖는 시편이 20분동안 이온빔 처리함에 따라 275.1 nm(${\pm}43\;nm$ )의 조도를 나타내었다. 또한 주사전자현미경을 통한 표면 형상 관찰 결과, 이온빔 식각을 통해 생성된 거친 표면에$3-5\;{\mu}m$ 직경의 돌기들이 산발적으로 생성됨을 확인했다. 마찰계수 측정 결과 SCM415 시편의 경우, 이온빔 처리전 마찰계수 0.65에서 조도 275.1 nm 시편의 경우 0.48로 감소하였다. 본 연구를 통해 이온빔 식각을 이용한 금속표면 제어 및 저마찰 특성 향상의 가능성을 확인하였다. -
탄소나노튜브(CNT)는 기계적인 특성이 뛰어나며, 화학적으로 안정하고, 전기적으로 도체 및 반도체성을 가지고 있을 뿐만이 아니라 직경이 최소 1nm 수준으로 종횡비 및 비표면적이 매우 큰 특성을 가지고 있다. CNT는 투명전극, 유연성 디스플레이, 전자종이 분야 등 투명 전극 응용 분야에서 ITO 대체 신소재로 각광을 받고 있다. 본 발표에서는 SWCNT 전도막의 특성을 향상시키기 위해 PET 기판에 다양한 전처리 방법을 적용하여 SWCNT의 부착력 및 접착력, 투명전극의 면저항, 투과도 및 균일도 향상을 통해 SWCNT 투명전극 특성향상 연구를 진행했다. 접촉각과 표면에너지 제어를 통한 박막특성과의 상관관계 분석, 전처리 방법에 따른 표면에너지 및 제타포텐셜 변화와 박막특성과의 관계를 규명, Roughness 조절을 통한 기판의 면저항과 투과도 향상, 플라즈마 및 polymer 처리를 통해 물리적, 화학적 기판 전처리에 따른 SWCNT 투명전극 특성 향상을 목적으로 실험을 진행했다. 플라즈마 처리 후 polymer 처리된 박막에서는 친,소수 작용기 양의 변화에 따른 상관관계를 보이지 않았지만, 플리즈마 처리 후 친,소수 작용기 양과 Roughness 변화정도에 대해서는 면저항과 투과도의 변화를 보였다.
-
We have investigated adsorption of selenophene on Si(100) at room temperature using high resolution photoemission spectroscopy (HRPES) and near edge X-ray absorption fine structure (NEXAFS) in the partial electron yield (PEY) mode. The Si 2p, C 1s, Se 3d spectra of selenophene on Si(100) show that selenophene is nondissociatively chemisorbed on Si(100)-
$2{\times}1$ through [2+2] cycloaddition. NEXAFS has been conducted to characterize the adsorption geometry of selenophene on Si(100). Since the$\pi^*$ orbital of C=C bond show good angular dependence in carbon K-edge NEXAFS spectra, the angle$53{\pm}5^{\circ}$ determined from NEXAFS spectra. This majority structure is consistent with the [2+2] cycloaddition of selenophene to the dimer of the Si(100)-$2{\times}1$ surface. -
광촉매능을 갖는
$TiO_2$ 는 국내외적으로 많은 연구가 진행되고 있다. 빛의 조사로 발생하는 다양한 물리 화학적 촉매특성이 환경정화 뿐만 아니라 및 에너지 흡수차단 기능도 갖고 있어 최근 주목을 받고 있다. 응용분야로 초친수성 유리제품, 필터, 살균기능의 의료용 부품소재, 고효율 수소생산 및 태양전지 등에 활용성이 커서 친환경에너지 소재로 각광을 받고 있다. 본 연구에서는 초친수성 자동차의 사이드미러 개발을 위해 유리표면에 초친수성$TiO_2$ 를 코팅하 고 그의 특성을 평가하였다. 특히, 이종밴드갭을 갖는 복합구조$TiO_2/Cr_2O_3$ /Cr 박막을 스퍼터링법으로 증착하여 중간층인$Cr_2O_3$ 의 역할을 고찰하였다. 제조된 박막의 결정구조는 thin film형 X-선회절기(XRD)를 사용하여 분석하였으며, 박막의 표면 미세구조는 FE-SEM(Field Emission Scanning Electron Microscope)와 AFM(Atomic Force Microscope)으로, 화학구조는 XPS(X-ray Photoelectron Spectroscope)로 분석하였다. 친수성 평가는 실온 분위기에서 접촉각 측정기(Topcon-UVR2)를 사용하여 평가 하였으며 이때 조사되는 UV는 파장이 365nm이다.$Cr_2O_3$ (비정질)/Cr박막위에 제조된$TiO_2$ 박막은 균일한 anatase-$TiO_2$ 가 성장했으나, Cr,$Cr_2O_3$ (결정질)박막위에 제조 된$TiO_2$ 박막은 anatase상과 rulile상이 혼합된 형태로 성장하였다.$TiO_2$ /Cr,$TiO_2/Cr_2O_3$ (비정질)/Cr,$TiO_2/Cr_2O_3$ (결정질)/Cr박막은 UV조사 1시간 만에$10^{\circ}$ 이하의 초친수성을 나타내었다. 이종 밴드갭을 갖는$TiO_2/Cr_2O_3$ (비정질)/Cr박막은 40시간까지 친수성을 유지하는 결과를 나타냈다. -
Charge transfer mechanism of poly(4,4'-aminotriphenylene hexafluoroisopropylidenediphthalimide) (TP6F PI) which exhibits bistable ON and OFF switching has been studied using photoemission electron spectroscopy (PES) and near-edge x-ray absorption fine structure (NEXAFS). Here, we demonstrate novel set-up in which holes are injected by photoemission process instead of direct charge carrier injection via metal electrode. The accumulated charges on the PI surface in the OFF state abruptly flow across the PI film when the bias voltage of a back electrode reaches a specific value, indicating that the film is changed to the ON state. Core level and x-ray absorption spectra probed at charge injection region via photoemission process do not show any evidences implying structural modification of TP6F PI during the phase change. Whereas, in valence band spectra, the highest occupied molecular orbital (HOMO) is shifted toward Fermi level, responsible for improved hole-mobility of TP6F PI of ON state.
-
텅스텐 (110)면에 알루미늄 원자를 흡착시켜 저에너지 전자회절(LEED)과 이온산란분광법(ISS)을 이용하여 흡착구조를 연구하였다. 깨끗한 텅스텐 (110)면 표면에 알루미늄을 0.8ML 흡착시킨 후 1100K 온도로 열처리를 하였을 때 2-도메인의 p(
$2{\times}1$ ) LEED 이미지가 관측되었다. Al/W(110)-p($2{\times}1$ )계면에서 알루미늄 원자가 텅스텐 표면원자와의 결합거리와 방향 등 3차원적 흡착위치를 알아보기 위해 이온산란분광법을 이용하여 측정하였다. 그 결과 알루미늄 원자는 텅스텐의 두 원자 사이(bridge-site)의 가운데에 위치하였으며, 텅스텐의 첫 번째 원자 층으로부터의 높이는$2.18{\pm}0.01{\AA}$ 이다. 알루미늄 원자와 가장 가까운 텅스텐 원자까지의 거리는$2.57{\pm}0.01{\AA}$ 이다. -
이차이온질량분석기(SIMS)는 수 kV의 에너지를 갖는 일차이온(
$O_2^+$ ,$Cs^+$ )을 시료표면에 충돌시켜 표면에서 떨어져 나온 이온의 질량 및 개수를 분석하는 장비이다. SIMS는 성분원소의 깊이분포도 측정, 질량분석, Image mapping등 다양한 분석을 할 수 있다. 특히 극미량 분석이나 깊이분포도 분석에서 가장 뛰어난 성능을 가지고 있어 아직까지 많이 사용하고 있다. 하지만 SIMS는 이온빔을 이용한 스퍼터링(Sputtering) 방법으로 분석을 하므로 파괴적이며 매질효과가 심하다. 또한 Matrix 물질의 함량이나 물질 자체가 변한다면 Sputtering rate도 그에 따라 변하게 된다. 이러한 현상에 의해 Sputtering rate는 다른 물질이 섞여 있는 경우 Sputtering rate이 빠른 물질이 먼저 Sputtering이 되는 Preferential Sputtering 현상이 나타나기 때문에 계면에서 깊이분해능에 좋지 않은 영향을 주게 된다. 본 연구에서는 SIMS로 Si(100) 기판 위에 약 100nm 두께로 Fe가 증착된 시료를 분석하였다. 이차이온으로$O_2^+$ 이온을 사용하였으며 이온의 입사각을 변화시켜 각 조건에서 생기는 Fe 표면의 Topograph을 SEM으로 관찰하였으며, Topograph와 SIMS깊이분해능의 관계을 이해하고$O_2^+$ 이온의 입사각 변화에 따른 Fe 표면의 Topograph의 형태와 산화도를 이해하고자 한다. -
FINEMET type nanocrystalline materials synthesized by controlled crystallization of amorphous ribbons[1] exhibit excellent soft magnetic properties making them attractive for technological applications. Present work reports the electronic structure studies of Co-substituted FINEMET to get information on the effect of successive Co substitution on local environment around Fe and Co atom by using near edge x-ray absorption fine structure (NEXAFS) and x-ray magnetic circular dichroism (XMCD) measurements. NEXAFS spectroscopy and XMCD measurements have been carried out at Fe
$L_{3,2}$ and Co$L_{3,2}$ -edges to investigate the chemical states and electronic structure of FINEMET [$(Fe_{100-x}Co_x)_{78}Si_9Nb_3Cu_1Ba$ ](0$L_{3,2}$-edge reveal that Fe is in 2+ state and in tetrahedral symmetry with other elements. The magnetic properties exhibiting soft magnetic behavior[2] are discussed on the basis of the electronic structure studied through XMCD. -
We report the electronic structure of CoFeO-R (R=Hf, La, Nb) thin films studied by x-ray absorption spectroscopy (XAS). These ferrites thin films were prepared by pulsed laser deposition method and characterized by XAS measurements at O K-, Co and Fe L-edges. The O K-edge spectra suggest that there is a strong hybridization between O 2p and 3d electrons of transition metal cations and Fe
$L_{3,2}$ -edge spectra indicate that Fe-ions exist in$Fe^{2+}$ with tetrahedral site of the spinel structure. Divalent Co ions is also distributed in tetrahedral site with rare earth ions goes to octahedral sites of spinel structure. X-ray magnetic circular dichroism (XMCD) is also used to explain the symmetry and magnetic nature dependence on rare-earth ions. -
Recent technical advances in OLEDs (organic light emitting devices) requires more and more the improvement in low operation voltage, long lifetime, and high luminance efficiency. Inverted top emission OLEDs (ITOLED) appeared to overcome these problems. This evolved to operate better luminance efficiency from conventional OLEDs. First, it has large open area so to be brighter than conventional OLEDs. Also easy integration is possible with Si-based driving circuits for active matrix OLED. But, a proper buffer layer for carrier injection is needed in order to get a good performance. The buffer layer protects underlying organic materials against destructive particles during the electrode deposition and improves their charge transport efficiency by reducing the charge injection barrier. Hexaazatriphenylene-hexacarbonitrile (HAT-CN), a discoid organic molecule, has been used successfully in tandem OLEDs due to its high workfunction more than 6.1 eV. And it has the lowest unoccupied molecular orbital (LUMO) level near to Fermi level. So it plays like a strong electron acceptor. In this experiment, we measured energy level alignment and hole current density on inverted OLED structures for hole injection. The normal film structure of Al/NPB/ITO showed bad characteristics while the HAT-CN insertion between Al and NPB greatly improved hole current density. The behavior can be explained by charge generation at the HAT-CN/NPB interface and gap state formation at Al/HAT-CN interface, respectively. This result indicates that a proper organic buffer layer can be successfully utilized to enhance hole injection efficiency even with low work function Al anode.
-
Currently, organic light-emitting diodes (OLEDs) have been proven of their readiness for commercialization in terms of lifetime and efficiency. In accordance with emerging new technologies, enhancement of light efficiency and extension of application fields are required. Particularly inverted structures, in which electron injection occurs at bottom and hole injection on top, show crucial advantages due to their easy integration with Si-based driving circuits for active matrix OLED as well as large open area for brighter illumination. In order to get better performance and process reliability, usually a proper buffer layer for carrier injection is needed. In inverted top emission OLED, the buffer layer should protect underlying organic materials against destructive particles during the electrode deposition, in addition to increasing their efficiency by reducing carrier injection barrier. For hole injection layers, there are several requirements for the buffer layer, such as high transparency, high work function, and reasonable electrical conductivity. As a buffer material, a few kinds of transition metal oxides for inverted OLED applications have been successfully utilized aiming at efficient hole injection properties. Among them, we chose 2 nm of
$WO_3$ between NPB [N,N'-bis(1-naphthyl)-N,N'-diphenyl-1,1'-biphenyl-4,4'-diamine] and Au (or Al) films. The interfacial energy-level alignment and chemical reaction as a function of film coverage have been measured by using in-situ ultraviolet and X-ray photoelectron spectroscopy. It turned out that the$WO_3$ interlayer substantially reduces the hole injection barrier irrespective of the kind of electrode metals. It also avoids direct chemical interaction between NPB and metal atoms. This observation clearly validates the use of$WO_3$ interlayer as hole injection for inverted OLED applications. -
$(ZrO_2)_{0.66}(HfO_2)_{0.34}$ thin films as gate dielectrics have been proposed to overcome the problems of tunneling current and degradation mobility inachieving a thin equivalent oxide thickness. An extremely thin$SiO_2$ layer is used in order to separate the carrier in MOSFET channel from the dielectric field fluctuation caused by phonons in the dielectric which decreases the carrier mobility. The electronic and optical properties influenced the device performance to a great extent.$(ZrO_2)_{0.66}(HfO_2)_{0.34}$ dielectric films on p-Si (100) were grown by atomic layer deposition method, for which the conduction band offsets, valence band offsets and band gapswere obtained by using X-ray photoelectron spectroscopy and reflection electron energy loss spectroscopy. The band gap, valence and conduction band offset values for$(ZrO_2)_{0.66}(HfO_2)_{0.34}$ dielectric thin film, grown on Si substrate were about 5.34, 2.35 and 1.87 eV respectively. This band alignment was similar to that of$ZrO_2$ . In addition, The dielectric function (k,$\omega$ ), index of refraction n and the extinction coefficient k for the$(ZrO_2)_{0.66}(HfO_2)_{0.34}$ thin films were obtained from a quantitative analysis of REELS data by comparison to detailed dielectric response model calculations using the QUEELS-$\varepsilon$ (k,$\omega$ )-REELS software package. These optical properties are similar with$ZrO_2$ dielectric thin films. -
Electronic and Optical Properties of amorphous and crystalline Tantalum Oxide Thin Films on Si (100)Kim, K.R.;Tahir, D.;Seul, Son-Lee;Choi, E.H.;Oh, S.K.;Kang, H.J.;Yang, D.S.;Heo, S.;Park, J.C.;Chung, J.G.;Lee, J.C. 382
$TaO_2$ thin films as gate dielectrics have been proposed to overcome the problems of tunneling current and degradation mobility in achieving a thin equivalent oxide thickness. An extremely thin$SiO_2$ layer is used in order to separate the carrier in MOSFETchannel from the dielectric field fluctuation caused by phonons in the dielectric which decreases the carrier mobility. The electronic and optical properties influenced the device performance to a great extent. The atomic structure of amorphous and crystalline Tantalum oxide ($TaO_2$ ) gate dielectrics thin film on Si (100) were grown by utilizing atomic layer deposition method was examined using Ta-K edge x-ray absorption spectroscopy. By using X-ray photoelectron spectroscopy and reflection electron energy loss spectroscopy (REELS) the electronic and optical properties was obtained. In this study, the band gap (3.400.1 eV) and the optical properties of$TaO_2$ thin films were obtained from the experimental inelastic scattering cross section of reflection electron energy loss spectroscopy (REELS) spectra. EXAFS spectra show that the ordered bonding of Ta-Ta for c-$TaO_2$ which is not for c-$TaO_2$ thin film. The optical properties' e.g., index refractive (n), extinction coefficient (k) and dielectric function ($\varepsilon$ ) were obtained from REELS spectra by using QUEELS-$\varepsilon$ (k,$\omega$ )-REELS software shows good agreement with other results. The energy-dependent behaviors of reflection, absorption or transparency in$TaO_2$ thin films also have been determined from the optical properties. -
Gas-phase hydrogen atoms create a variety of chemical and physical phenomena on Si surfaces: adsorption, abstraction of pre-adsorbed H, Si etching, Si amorphization, and penetration into the bulk lattice. Thermal desorption/evolution analyses exhibited three distinct peaks, including one from the crystalline bulk. It was previously found that thermal-energy gaseous H(g) atoms penetrate into the Si(100) crystalline bulk within a narrow substrate temperature window(centered at ~460K) and remain trapped in the bulk lattice before evolving out at a temperature as high as ~900K. Developing and sustaining atomic-scale surface roughness, by H-induced silicon etching, is a prerequisite for H absorption and determines the
$T_s$ windows. Issues on the H(g) absorption to be further clarified are: (1) the role of the detailed atomic surface structure, together with other experimental conditions, (2) the particular physical lattice sites occupied by, and (3) the chemical nature of, absorbed H(g) atoms. This work has investigated and compared the thermal H(g) atom absorptivity of Si(100), Si(111) and Si(110) samples in detail by using the temperature programmed desorption mass spectrometry (TPD-MS). Due to the differences in the atomic structures of, and in the facility of creating atom-scale etch pits on, Si(100), (100) and (110) surfaces, the H-absorption efficiency was found to be larger in the order of Si(100) > Si(111) > Si(110) with a relative ratio of 1 : 0.22 : 0.045. This intriguing result was interpreted in terms of the atomic-scale surface roughening and kinetic competition among H(g) adsorption, H(a)-by-H(g) abstraction,$SiH_3(a)$ -by-H(g) etching, and H(g) penetraion into the crystalline silicon bulk. -
Effect of the flow rate of nitrogen sputter gas on the properties of thin zirconium oxynitride filmsZirconium oxynitride films were obtained by r.f. reactive magnetron sputtering of a zirconium target with nitrogen flow rate ranging from 0 to 60 sccm. The phases present in the films were determined by X-ray diffraction (XRD). Measurements of the oxidation state
$ZrON_x$ films were investigated by X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES). Thickness of these samples was estimated by spectroscopic ellipsometry (SE) and scanning electron microscopy (SEM). We found that the surface morphology of$ZrON_x$ films measured by atomic force microscopy (AFM) was also depended on the nitrogen gas flow. -
Copper oxide thin films were deposited on the p-type Si(100) by r.f. magnetron sputtering as a function of different oxygen concentration. The deposited copper oxide thin films were investigated by atomic force microscopy (AFM), scanning electron microscopy (SEM), spectroscopic ellipsometry (SE), X-ray diffraction (XRD), and X-ray photoelectron spectroscopy (XPS). The SEM and SE data show that the thickness of the copper oxide films was in the range of 100-400 nm. AFM images show that the surface morphology was depended on the oxygen ratio. The crystal structure of copper oxide films was changed from metallic copper to copper oxide with increasing oxygen concentration. The oxidation states of Cu 2p and O 1s resulted from XPS were consistent with XRD results.
-
최근 널리 보급되고 있는 치과용 임플란트는 티타늄(Ti) 또는 티타늄 합금(Ti-6Al-4V) 보철과 크라운을 연결하여 사용하고 있다. 티타늄은 생체 친화성이 우수하나, 생체 활성도가 없어 치유기간이 긴 단점이 있다. 이를 보완하기 위해 인체 경조직과 유사한 수산화아파타이트(hydroxyapatite,
$Ca_{10}(PO_4)_6(OH)_2)$ 를 티타늄 임플란트 표면에 코팅하는 방법이 연구되고 있으나 수산화아파타이트 코팅은 티타늄과의 접착성이 나쁘기 때문에 시술 및 사용과정에서 코팅층이 임플란트로부터 박리되는 문제점이 있다. 본 연구에서는 티타늄과 수산화아파타이트 사이에서 접착력을 향상시키는 buffer layer로서 지르코니아(8YSZ, 8mol% Yttria-stabilized zirconia)를 연구하였다. 지르코니아는 고온에서 안정하며, 티타늄 합금과 수산화아파타이트 사이의 반응을 방지하며, 박막밀도와 기계적 강도가 좋은 생체세라믹스이다. 지르코니아 박막을 펄스 레이저 증착법을 이용하여 증착 온도$600^{\circ}C$ , 레이저 fluence$2\;J/cm^2$ 에서 산소($O_2$ ) 분압을 바꿔 가며 증착하였다. 그 위에 수산화아파타이트 박막을 역시 펄스 레이저 증착법으로 증착하였다. Scratch test와 Pull-off test를 통해 접착력을 평가한 결과 지르코니아 buffer layer 삽입에 의해 티타늄 합금과 수산화아파타이트 사이의 접착력이 향상되었음을 확인하였다. 또한 산소분압이 박막의 특성 및 접착력에 미치는 영향을 고찰하였다. -
식품산업 및 가정의 주방에 이르기까지 다양한 분야에서 식품을 가공 조리하는 곳에는 보건 건강을 위하여 비닐장갑은 반드시 필요하다. 최근에는 다양한 소재를 이용하여 위생 비닐장갑을 개발을 하고 있으나 일회용성으로 저가의 물품으로 인식되어 생활에 중요성에 비해 개발이 미흡한 실정이다. 위생 비닐장갑은 다양한 산업에서 필수품으로 활용되고 있는 만큼 위생적이고 내구성이 높은 제품의 개발이 절실히 요구되는 실정이다. 이에 본 연구에서는 봉합면의 측면이 사용중 터지지 않도록 하기 위하여 봉합선의 폭을 기존의 0.1 mm 대신에 1 mm정도로 넓게 하는 기술과 무균성 위생 비닐장갑의 제조 공정 자동화에 주력함으로써, 고품위 무균성 위생비닐장갑을 열공정 안정화 자동화 공정으로 제작코자 하였다. 본 연구의 수행시 당면한 가장 큰 문제점은 봉합선의 폭이 넓어짐에 따라서 knife 형태를 갖는 가열된 금형의 칼날이 비닐과 접촉되어 실링을 하는 단계에서 금형에 비닐이 녹아서 붙어버리는 sticking 현상이 발생하였다. 이는 현장에서 심각한 문제로 더 이상 상용화가 불가능함을 의미한다. 이에 본 연구에서는 금형(die) 재료로 2가지의 서로 다른 소재를 선택해서 상온 상압플라즈마 처리를 함으로써 금형과 비닐사이에 발생하던 sticking 문제를 해결하고자 하였다. 금형으로 사용한 소재는 스테인리스(STS304)와 공구강(SCM)을 사용하였다. 두 시편에 대하여 상온상압 플라즈마 처리를 수행한 뒤 증류수와 Diiodomethane를 이용하여 접촉각과 표면에너지를 측정하였다. 상온 상압플라즈마 처리 시간은 0 ~ 9초로 하였다. 스테인리스의 경우 접촉각이 증류수를 이용하였을 때
$69.7^{\circ}$ ,$32.2^{\circ}$ ,$16.7^{\circ}$ 였으며 Diiodomethane을 이용하였을 때는$37.3^{\circ}$ ,$17.6^{\circ}$ ,$10.6^{\circ}$ 였다. 표면에너지(surface energy)의 경우 48.13 mN/m, 72.06 mN/m, 78.66 mN/m로 플라즈마 처리시간이 길어질수록 표면 에너지 값이 증가하였다. 공구강의 경우는 증류수를 이용하였을 때 접촉각이$70.2^{\circ}$ ,$36.8^{\circ}$ ,$28.9^{\circ}$ 였으며 Diiodomethane를 이용하였을 때는$38.65^{\circ}$ ,$22.8^{\circ}$ ,$20.2^{\circ}$ 였다. 표면에너지의 경우 47.43 mN/m, 69 mN/m, 73.15 mN/m로 스테인리스와 같이 표면에너지 값이 커지는 것을 확인할 수 있었다. 학술대회에서는 금형의 표면에너지를 증기시키거나 감소시키는 방법에 대한 연구결과를 발표할 예정이다. -
Molybdenum oxide thin films were deposited on p-type Si(100) by an RF magnetron sputtering method. The physical and chemical properties of these films were studied with X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS) techniques. The thickness of molybdenum oxide thin films was measured by spectroscopic ellipsometer (SE) and the thickness was about 200 nm. As the oxygen gas pressure increased, the thickness was decreased, the phases of the thin films were changed, and the amount of metallic Mo decreased but the contents of
$Mo^{6+}$ species increases. -
우리 연구그룹에서는 분자 소자에서의 소자 구조와 전도도 간의 상관관계를 알아보기 위해서 분자동역학 전산모사와 전자밀도범함수이론 계산 및 전하수송성 계산을 자동으로 수행할 수 있는 소프트웨어를 개발하고 이를 적용해 다양한 나노소자를 연구하고 있다. 본 발표에서는 hexanedithiolate 단일 분자가 Au(111) 전극 사이에서 다양한 S-Au 접점 구조를 가지고 구성된 소자 모델에서 열적 진동이 소자 전도도에 끼치는 효과를 통계적으로 분석하여 단분자 소자 실험에서 제기된 여러 개의 conductance peak의 측정에 대한 논란에 대해 이론적인 규명을 시도할 것이다.
-
Superconducting proximity effects of Nb/Si(111) were investigated with scanning tunneling microscopy(STM) and scanning tunneling spectroscopy(STS). A highly-doped(
$0.002\;{\omega}{\diamondsuit}cm$ ) Si wafer pieces were used as substrate and Nb source was thermally evaporated onto the atomically clean silicon substrate. The temperature of the silicon sample was held at$600^{\circ}C$ during the niobium deposition. And the sample was annealed at$600^{\circ}C$ for 30 minutes additionally. Volmer-Weber growth mode is preferred in Nb/Si(111) at the sample temperature of$600^{\circ}C$ . With proper temperature and annealing time, we can obtain Nb islands of lateral size larger than Nb coherence length(~38nm). And outside of the islands, bare Si($7{\times}7$ ) reconstructed surface is exposed due to the Volmer-Weber Growth mode. STS measurement at 5.6K showed that Nb island have BCS-like superconducting gap of about 2mV around the Fermi level and the critical temperature is calculated to be as low as 6.1K, which is lower than that of bulk niobium, 9.5K. This reduced value of superconducting energy gap indicates suppression of superconductivity in nanostructures. Moreover, the superconducting state is extended out of the Nb island, over to bare Si surface, due to the superconducting proximity effect. Spatially-resolved scanning tunneling spectroscopy(SR-STS) data taken over the inside and outside of the niobium island shows gradually reduced superconducting gap. -
초전도 가속공동기의 소재는 순수 Nb로 제작하는 것이 일반적이다. 그러나 극저온 (2-4.5K)에서 열전도도가 낮아서 순간적인 Normal zone이 발생되면 이를 원활이 냉각되지 못하여 Quench로 발생 가능성이 높다. 초전도 가속공동기는 약 3 mm 두께의 Nb 판을 이용하는데, 500 MHz 공동기의 전자기장의 침투깊이가 불과 수 nm에 불과해서 나머지 부분은 사실상 불필요한 부분이다. 따라서 이 경우 매우 비싼 초전도 공동기 소재의 낭비가 매우 심하다. 또 Nb 판으로 공동기를 제작할 경우 매우 비싸고 시간이 많이 소요되는 전자빔용접을 해야 하고 또 제작 후 표면처리가 매우 번거롭고 장시간을 요한다. 이러한 단점을 보완하기 위해서 구리판으로 성형가공법을 이용하여 공동기를 제작하고, 내부의 RF 표면에 수
${\mu}m$ 두께의 Nb 코팅을 한 공동기를 개발하여 CERN의 LEP에 설치하여 실용화하였다. 이렇게 하여 소재비용을 포함한 초전도 공동기 제작, 표면처리 비용 절감은 만족할 만한 결과를 얻었다. 구리의 높은 열전도에 의한 고 가속전기장의 기대와 달리 가속전기장이 최고 약 7 MV/m 정도로 제한되었다. 그후 꾸준히 연구개발을 진행하여 현재 약 22 MV/m 까지 기록하고 있으나, 순수 Nb 공동기의 약 50 MV/m에 비하면 현저히 낮은 수준이다. 본 연구는 Nb 코팅법을 이용하여 Nb 코팅 초전도 공동기의 한계를 넓히기 위한 것이다. 본 발표는 "Sputtering 법에 의한 초전도 Nb coating 소재의 RF 한계 극복 연구"의 기초연구 결과를 보고하고자 한다. -
In this study, high-speed chemical dry thinning process of Si wafer and evolution of surface roughness were investigated. Direct injection of NO gas into the reactor during the supply of F radicals from
$NF_3$ remote plasmas was very effective in increasing the Si thinning rate due to the NO-induced enhancement of surface reaction but thinned Si surface became roughened significantly. Addition of Ar gas, together with NO gas, decreased root mean square (RMS) surface roughness of thinned Si wafer significantly. The process regime for the thinning rate enhancement with reduced surface roughness was extended at higher Ar gas flow rate. Si wafer thinning rate as high as$22.8\;{\mu}m/min$ and root-mean-squared (RMS) surface roughness as small as 0.75 nm could be obtained. It is expected that high-speed chemical dry thinning process has possibility of application to ultra-thin Si wafer thinning with no mechanical damage. -
Yoon, Ok-Ja;Lee, Hyun-Jung;Jang, Yeong-Mi;Kim, Hyun-Woo;Lee, Won-Bok;Kim, Sung-Su;Lee, Nae-Eung 393
The biocompatibility of materials used for biomedical applications depends on chemical composition, mechanical stiffness, surface energy, and roughness. The plasma treatment and etching process is a very important technology in the biomedical fields due to possibility of controlling the surface chemistry and properties of materials. In this work,$N_2/H_2$ plasma were treated on single-walled carbon nanotubes (SWCNTs) paper and characterization of treated SWCNTs paper was carried out. Also we investigated neurite outgrowth from SH-SY5Y on treated SWCNTs paper. The results indicated that$N_2/H_2$ plasma-modified SWCNTs paper enhanced neuronal cell adhesion, viability, neurite outgrowth and branching in vitro and exerted a positive role on the health of neural cells. -
Ammonium ion (
${NH_4}^+$ ) was suggested as the origin of interstellar$6.85\;{\mu}m$ band. Early study, in which organic molecule and water ice film mixtures were photolyzed so that organic acids could be produced, explained the generation of${NH_4}^+$ from the reaction of photogenerated organic acid and ammonia ($NH_3$ ). However, the observed abundance of organic acids or their counter-anions are not so high in interstellar ice and not enough to protonate$NH_3$ into${NH_4}^+$ in the observed level. Because of the shortage in photogenerated organic acids, the candidate of acid which protonates$NH_3$ should be modified. Here, we prepare$NH_3/H_2O$ binary mixtures and photolyze them with vacuum ultraviolet (VUV, peak at 10.6 and 10.0 eV). We find the ammonium ion (${NH_4}^+$ ) from photolyzed mixture by using low energy sputtering (LES) and reflection absorption IR spectroscopy (RAIRS). As a hydronium ($H_3O^+$ ) can be produced by UV irradiation and protonate bases,${NH_4}^+$ may be formed from the reaction of photogenerated$H_3O^+$ and$NH_3$ . We show the generation of${NH_4}^+$ without any kind of organic molecules or acids, and it may explain the relatively high abundance of${NH_4}^+$ compared to the counter-anions or organic acids in interstellar ice. -
RIS(Reactive Ion Scattering)은 저 에너지 이온 빔을 쏘아 표면을 분석하는 방법이다. 분자 동력학 계산(MD simulation) 결과에 따르면
$Cs^+$ 이온은 두꺼운 얼음 표면에서 산란이 거의 일어나지 않는다. 본 연구에서는 이와 달리 Pt(111)과 Ru(0001) 표면에 생성시킨 두꺼운 얼음 표면에서$Cs^+$ 이온 산란 실험이 가능함을 보였다. 한편, RIS signal은 얼음 층이 쌓인 구조나 두께에 따라 영향을 받는데, Ru(0001)과 Pt(111)의 표면에 생성시킨 crystalline water ice에서 시간과 water ice film의 두께가 RIS signal에 어떠한 영향을 미치는지도 조사하였다. -
최근에 자구벽 이동을 이용한 race track memory, 혹은 나노자성체의 자구 동역학 등에 대한 관심이 집중되고 있다. 용량은 하드디스크의 크기를 가지며 속도는 SRAM, 집적도는 DRAM에 필적하는 새로운 메모리의 실현은 지금까지 이용되는 대부분의 메모리를 대체할 가능성이 있다. 이러한 메모리의 개발에 가장 기본이 되는 측정기술은 나노크기의 자성 구조체에서 자구 혹은 자구벽 이동을 측정하는 기술로써 현재 국내에서 자성 나노구조의 자화방향과 더불어 topography를 동시에 측정할 수 있는 장치는 본 SEMPA가 유일하다. SEMPA는 기존에 사용되어지던 SEM(전자 현미경) 에서 알 수 있는 나노 구조의 형상이외에 전자의 스핀방향을 검출함으로써 형상과 스핀의 결함된 imaging 을 할 수 있다. 일반적으로 기존의 SEM의 경우 고 에너지빔의 전자빔을 주사시키고 이때 발생되는 이차 전자의 수를 2차원상의 영역에 따라 달라지는 비로 mapping 을 하게 된다. 이때 전자의 수뿐만 아니라 이들의 스핀편향(spin polarization) 을 측정할 수 있다면 형상뿐만 아니라 표면에서의 스핀상태를 동시에 측정 할 수 있게 된다. 본 발표에서는 이 방법을 이용하여 나노구조체의 자구측정 결과를 제시하고자 한다.
-
Bone is considered as hierarchically organized biocomposites of organic (collagen) and inorganic (hydroxyapatite) materials. The precise structural dependence between hydroxyapatite (HAp,
$Ca_{10}(PO_4)_6(OH)_2)$ crystals and collagen fibril is critical to unique characteristics of bone. To meet those conditions and obtain optimal properties, it is essential to understand and control the initial growth mechanisms of hydroxyapatite at the molecular level, such as other nano-structured materials. In this study, collagen fibrils were prepared by adsorbing native type I collagen molecules onto hydrophobic surface. Hydrophobicity was introduced on the Si wafer surface by using PECVD (plasma enhanced chemical vapor deposition) method and cyclohexane as a precursor. Biomimetic nucleation and growth of HAp on the self-assembled collagen nanofibrils were occurred through incubation of the sample in SBF (simulated body fluid). Chemical and morphological evolution of HAp nanocrystals was investigated by surface-sensitive analytical techniques such as ToF-SIMS (Time-of-Flight Secondary Ion Mass Spectrometry) and AFM (Atomic Force Microscopy) in the early growth stages (< 24 hrs). The very initial stages (< 12 hrs) of mineralization could be clearly demonstrated by ToF-SIMS chemical mapping of surface. In addition to ToF-SIMS and AFM measurement, scanning electron microscopy, energy dispersive spectroscopy and X-ray diffraction analysis were conducted to characterize the HAp layer in the late stages. This study is of great importance in the growth of real bone-like materials with a structure analogous to that of natural bones and the development of biomimetic nanomaterials. -
To determine the molecular directionality of PI chains depending on rubbing condition, we measured the angle resolved near edge X-ray absorption fine structure (NEXAFS) spectra at C K-edge of the rubbed PI films. Twisted nematic mode PI (PI-TN) and in plane switching mode PI (PI-IPS) were introduced to examine the effect of rubbing conditions on the chain directionality. The average tilt angle a of the PI molecules was estimated through the measured intensity change of
$C=C\;{\pi}^*$ in NEXAFS C K-edge spectrum by controlling the stage speed and the pile contact depth. After rubbing, the irregular molecular direction changed to a regular direction with a molecular tilt angle of$51.2^{\circ}$ for PI-TN and$49.6^{\circ}$ for PI-IPS at the rubbing condition of the roll speed of 1000 rpm, stage speed of 50 mm/sec, and file contact depth of 0.3 mm. The molecular tilt angle$\alpha$ was linearly decreased in the PI-TN and PI-IPS samples with increasing depth of the pile contact. -
Polyethylene glycol(PEG)은 강력한 단백질 및 세포흡착 억제력을 가지고 있어 다양한 생물학적 연구에 사용되고 있으나, 기판과의 결합력이 무척 약해 기판 위에 박막을 형성하기가 매우 어렵다는 문제점이 있다. 이번 연구에서는 capacitively-coupled plasma chemical vapor deposition(CCP-CVD)를 이용하여 PEG를 유리 기판 위에 플라즈마 중합하여 plasma-polymerized PEG(PP-PEG) 기판을 만들었다. PP-PEG 박막은 FT-IR, XPS, ToF-SIMS 분석을 통하여 PEG와 매우 유사한 화학적 조성을 가지고 있음을 확인할 수 있었다. 또한 PP-PEG 기판은 photolithography 방법을 이용하여 표면에 photoresist를 패턴한 뒤 아민작용기를 가지는 plasma-polymerized ethylenediamine (PPEDA)를 증착하여 표면이 amine/PEG로 패턴화된 박막 기판을 만들었다. 패턴된 기판에 단백질 및 세포를 고정화하였을 때, 아민 작용기가 노출된 부분에만 고정화가 나타나고 PP-PEG 영역에는 단백질 및 세포의 흡착이 효율적으로 억제되는 것을 형광측정 및 ToF-SIMS chemical imaging 방법을 이용하여 확인하였다. 이러한 바이오칩 제작기술은 단백질 및 세포 칩을 포함한 여러 분야에서 폭넓게 응용될 수 있을 것으로 기대된다.
-
우리는 기본 진공
$10^{-11}\;Torr$ 의 UHV surface magneto-optical Kerr effect (SMOKE) 시스템을 quarter-wave plate를 사용하였던 기존의 방식에서 PEM (photo elastic modulator)를 사용하는 방식으로 장비의 기능을 향상시켰다. 기존 quarter-wave plate를 사용하는 방식의 경우 Kerr signal을 구하기 위해 편광자와 검광자를 수직으로 두어 광량을 0으로 만들어야 한다. 그러나 금속의 경우 대부분 가시광선 영역에서 큰 반사율 때문에 측정되는 광량이 편광자와 검광자를 거치면서 넓은 각도 범위에서 최소값을 갖기 때문에 정확한 영점을 잡는 데 한계가 있다. 이러한 단점을 해결하기 위하여 우리는 PEM을 이용한 위상변조방식을 사용하였다. 위상변조 방식은 Kerr signal과 관계된 양을 PEM을 이용하여 50 kH ($1{\omega}$ )와 100 kH ($2{\omega}$ )의 진동 주파수에 공조시키고 이를 Lock-in-amplifier를 사용하여 탐지하기 때문에 좋은 sensitivity를 얻을 수 있을 뿐 아니라 Kerr ellipticity와 Kerr rotation을 동시에 측정할 수 있다. 자화에 필요한 전자석은 순철로 된 코어를 제작하여 챔버에 부착하였고 10 A에서 최대 7 kOe의 고자장을 얻을 수 있어 포화자화가 큰 물질에 대해서도 필요한 자성영역까지 측정이 가능하게 하였다. 또 저온 측정을 위해 SMOKE 샘플 홀더를 개조하여 액체 질소를 이용하여 100 K 근방의 영역에서 온도를 제어할 수 있도록 저온 장치를 구성하였다. 여기에서 샘플 근처에 위차한 e-beam heater가 장착된 고온 부분과 액체 질소 냉각, 온도감지를 위한 센서, cartridge heater가 장착된 저온 부분을 sapphire plate로 분리하여 저온용 cartridge heater의 파손을 최소화하였다. 이러한 SMOKE 시스템을 구성한 후에 우리는 Fe/Cr(001)시스템의 자성특성에 대해 연구하였다. Fe/Cr 시스템은 Fe/Cr/Fe의 exchange coupling이나 bulk Cr의 복잡한 자성 특성 때문에 주목을 받아왔다. 이 연구에서 우리는 저온 및 상온에서 Cr(001) 단결정 위에 증착된 Fe 박막의 자성 특성을 보고한다. -
현대사회로 들어오면서 과거와 달리 외부에 있던 화장실이 내부로 들어오면서 변기 뚜껑을 닫지 않고 toilet flushing을 할 때 배변에 포함된 다량의 장티푸스, 포도상 구균 같은 종류의 세균이 화장실 곳곳에 퍼짐에 의하여 면역력이 약한 경우 여러 가지 세균성 질환 및 2차 감염을 통하여 장티푸스 및 피부 질환 등이 발생한다. 본 연구에서는 이런 감염을 최소화하기 위한 방법으로 플라즈마를 이용한 세변기 표면의 오염 방지처리 기술을 개발하는 것을 목표로 한다. 일차적으로 여러 가지 운용 조건에서 오염의 정도를 파악하고자 배변의 양에 따라 toilet flushing 시 피부 오염의 물질 노출 정도를 평가 하였다. 실험 방법은 배변을 모사하여 toilet system에 넣고 물을 내려 무게에 따른 물 튀김 정도와 위치 분포를 분석하였다. 밀가루 반죽의 무게가 증가하면서 피부에 닿는 물 튀김 면적이 특정 무게에서 최대 60.02 mm2까지 증가하다 감소했다. 또한 실제 측정 결과를 통하여 물 튀김의 분포가 뒤쪽에 집중되는 것을 볼 수 있었다. 이와 같이 실제 toilet flushing시 세균에 의하여 피부 오염 및 국부 감염이 발생할 수 있다는 것을 확인할 수 있었다. 또한 비데 동작 시 변기 내부에 잔존하는 세균 자체가 확산되는 정도를 3차원 유체 역학 모델링으로 예측하였다. 앞으로 세변기 뚜껑의 플라즈마 표면처리 및 toilet flushing 유로 디자인 개선을 통해 세균의 잔류 및 퍼짐을 최소화함으로써 최종적으로 세균성 질환을 줄일 수 있는 방법을 개발하는 것이 본 연구의 1단계 목표다.
-
The formation of Au nano particles from thin films on patterned substrates depends sensitively on film thickness and time. The nano Au line and shape formed by annealing Au films on patterned oxide substrates are different from those formed on semiconductor. In this experiment, we deposited thin Au films on patterned
$Al_2O_3$ (0006) using E-beam evaporator, and annealed them at various temperatures and thickness under various conditions by RTA. We measured behavior of the Au during annealing Au structure and shape are changed when Au film becomes thicker. The shape of the resultant Au nano particles and their coarsening process are investigated using SEM and XRD. -
The Pt-Ni alloy is an electro-catalyst of interest in the low temperature direct methanol fuel cells(DMFCs). It has been already reported that the Pt-Ni alloy catalysts may even have enhanced activity compared to pure platinum catalyst, depending on how the surfaces are prepared. The order-disorder transition in bimetallic alloy such as
$\beta$ -CuZn, Cu3Au, and CuAu have been investigated greatly by x-ray diffraction. After annealing the bimetallic alloy, the crystal structure changes as observed in the order-disorder transition of Cu3Au which changes from the face centered cubic to a simple cubic structure. Pt-Ni bimetallic alloy has been already reported to have the face centered cubic structure. However, in nano-scale Pt-Ni bimetallic alloy crystals the crystal structures changes to a simple cubic structure. In this experiment, we have studied the order-disorder transition in Pt-Ni bimetallic nanocrystals. Pt/Ni thin films were deposited on sapphire(0001) substrates by e-beam evaporator and then Pt-Ni alloy were formed by RTA at 500, 600, and$700^{\circ}C$ in a vacuum environment and Pt-Ni nano particles were formed by RTA at$1059^{\circ}C$ in a vacuum environment. We measured the structure of Pt-Ni bimetallic alloy films using synchrotron x-ray diffraction and SEM. -
Baek, H.W.;Chae, J.S.;Jung, S.Y.;Woo, S.J.;Ha, J.H.;Song, Y.J.;Son, Y.W.;Zhitenev, N.B.;Stroscio, J.A.;Kuk, Y. 404
Despite much works have been done on the geometric structures of ripples, defects and edge atoms in a graphene device, there has been no report showing the direct correlation between the structures and the transport property. Unlike scanning tunneling microscopy or other electron microscopes, Scanning Gate Microscope (SGM) is a unique microscopic tool with which the local electronic structure and the transport property of a device can be measured simultaneously. We have performed a transport measurement in nanometer scale using a scanning gate microscope (SGM). We have found the nanoscopic pictures of electron and hole puddles and the role of graphene- device edges in the transport measurements. These experimental findings were successfully explained with a theoretical model. -
Study on deep Si etching mechanism using in-situ surface temperature monitoring in
$SF_6/O_2$ plasmaThermocouple 을 통해 Inductively coupled plasma 에 노출된 실리콘 기판 표면온도를 공정조건 변화 에 따라 실시간 (in-situ) 측정하였다. 이를 바탕으로 공정변화에 따른 플라즈마 내 활성종의 거동을 연구하였다. 더 나아가 기판의 표면온도변화 및 활성종의 거동해석을 토대로 공정변화에 의한 딥 실리콘 구조형성 메커니즘을 해석하였다. 플라즈마에 노출된 기판표면 온도를 상승시키는 주 활성종은 positive ion 이며 ICP power, Bias power, 플라즈마 압력 변화에 따라 positive ion 의 밀도 및 가속에너지가 변화하는데 이러한 거동변화는 기판의 표면온도를 변화시킴을 알 수 있었다. 딥 실리콘 구조의 측벽 및 바닥에 형성되어 있는 passivaiton layer 즉$SiO_xF_y$ (silicon oxyflouride) 는 온도에 매우 민감한 물질이며 이는 딥 실리콘 구조 내부로 입사하는 positive ion 거동변화에 따라 그 성질이 변화하여 deep Si 구조 형상을 변화시킴을 알 수 있었다. 기판표면 온도가$0^{\circ}C$ 이하의 극저온으로 유지된 상황에서 플라즈마를 방전할 경우 positive ions 의 가속에너지로 인해 기판표면온도가 상승하며 액화질소 유량증가를 통해 다시 기판의 표면온도를 유지시킬 수 있었다. 이를 통해 플라즈마 방전 전과 방전 후의 기판 표면온도는 상온의 기판뿐만 아니라 극저온의 기판에서도 다름을 알 수 있었다. 냉각환경 변화에 따른 딥 실리콘 구조형성 메커니즘을 positive ions 거동 그리고 온도 감소에 의한$SiO_xF_y$ 성질 변화를 이용해 해석할 수 있었다. -
일반적으로, 나노스케일의 MOS 소자에서는 게이트 절연체 두께가 감소함에 따라 tunneling effect의 증가로 인해 PID (plasma induced damage)로 인한 소자 특성 저하 현상을 감소하는 추세로 알려져 있다. 하지만 요즘 많이 사용되고 있는 high-k 게이트 절연체의 경우에는 오히려 더 많은 charge들이 trapping 되면서 PID가 오히려 더 심각해지는 현상이 나타나고 있다. 이러한 high-k 게이트 식각 시 현재는 주로 Hf-based wet etch나 dry etch가 사용되고 있지만 gate edge 영역에서 high-k 게이트 절연체의 undercut 현상이나 PID에 의한 소자특성 저하가 보고되고 있다. 본 연구에서는 이에 차세대 MOS 소자의 gate stack 구조중 issue화 되고 있는 metal gate 층과 gate dielectric 층의 식각공정에 각각 중성빔 식각과 중성빔 원자층 식각을 적용하여 전기적 손상 없이 원자레벨의 정확한 식각 조절을 해줄 수 있는 새로운 two step 식각 공정에 대한 연구를 진행하였다. 먼저 TiN metal gate 층의 식각을 위해 HBr과
$Cl_2$ 혼합가스를 사용한 중성빔 식각기술을 적용하여 100 eV 이하의 에너지 조건에서 하부층인$HfO_2$ 와 거의 무한대의 식각 선택비를 얻었다. 하지만 100 eV 조건에서는 낮은 에너지에 의한 빔 스케터링으로 실제 패턴 식각시 etch foot이 발생되는 현상이 관찰되었으며, 이를 해결하기 위하여 먼저 높은 에너지로 식각을 진행하고$HfO_2$ 와의 계면 근처에서 100 eV로 식각을 해주는 two step 방법을 사용하였다. 그 결과 anistropic 하고 하부층에 etch stop된 식각 형상을 관찰할 수 있었다. 다음으로 3.5nm의 매우 얇은$HfO_2$ gate dielectric 층의 정확한 식각 깊이 조절을 위해$BCl_3$ 와 Ar 가스를 이용한 중성빔 원자층 식각기술을 적용하여$1.2\;{\AA}$ /cycle의 단일막 식각 조건을 확립하고 약 30 cycle 공정시 3.5nm 두께의$HfO_2$ 층이 완벽히 제거됨을 관찰할 수 있었다. 뿐만 아니라, vertical 한 식각 형상 및 향상된 표면 roughness를 transmission electron microscope(TEM)과 atomic force microscope (AFM)으로 관찰할 수 있었다. 이러한 중성빔 식각과 중성빔 원자층 식각기술이 결합된 새로운 gate recess 공정을 실제 MOSFET 소자에 적용하여 기존 식각 방법으로 제작된 소자 결과를 비교해 본 결과 gate leakage current가 약 one order 정도 개선되었음을 확인할 수 있었다. -
본 논문에서는 확장된 히든마코브모델을 이용하여 플라즈마 식각공정에서 식각종료검출을 위한 방법을 연구하였다. 플라즈마 식각장비는 유도성 결합플라즈마 시스템을 사용하였으며, 종료점 검출을 위해 식각공정이 진행됨에 따른 플라즈마의 상태를 확인할 수 있는 광학 방사 분광기(Optical Emission Spectroscopy: OES)를 사용하였다. 식각이 진행되는 동안 여기되는 입자들은 특정한 재료에 해당하는 파장에서 빛을 방출한다. 플라즈마상태에서 여기되는 원자와 분자들에 의해서 방출되는 빛은 OES를 통해 식각되는 물질을 확인하기 위해서 특별한 파장의 빛을 선택하여 분석한다. 본 논문에서는 확장된 히든마코브모델을 이용해 산화물이 식각될 때 방출하는 고유한 파장의 빛을 분석하여 식각이 종료되는 시점을 찾는 연구를 하였다. 제안된 확장형 히든마코브 모델은 세미-마코브모델과 분절특징 히든마코브모델을 결합한 것으로, 확률적 통계기법을 통해 종료시점을 찾아내는 방법이다. OES를 통해 얻은 데이터는 식각 종료가 일어나기 전의 파장의 상태와 식각이 종료된 후의 파장의 상태로 구분되어지는데, 식각종료시점에서 파장의 상태가 변화하며 이를 감지하여 식각종료점을 검출한다. 분절특징 히든마코브모델을 이용하여 식각종료시점 전후의 파장의 상태를 모델링 하였으며, 일반적인 마코브 모델의 특정상태가 유지될 시간의 확률을 변형된 세미-마코브 모델을 이용하여 OES를 통해 얻은 데이터 내에서 식각 종료가 일어나기 전의 상태가 유지될 수 있는 확률을 모델링 하였다. 실험을 통해 얻어진 6개의 데이터중 4개를 학습을 위해 사용하여 모델링을 하였고 나머지 2개의 데이터를 검증을 위해 사용한 결과, 확장형 히든마코브모델의 식각종료시점검출에 있어 뛰어난 정확성과 우수성을 증명하였다.
-
현재 AC-PDP에서는 이온 sputtering 으로부터 유전층을 보호하기 위하여 유전체 위에 MgO 박막을 증착하여 사용하고 있으며 MgO 박막은 높은 2차 전자 방출 계수와 내 sputtering 특성을 가지고 있다. MgO 박막은 증착 조건에 따라 각각 다른 방전특성을 가지는 것으로 널리 알려져 있어 본 실험에서는 MgO 박막을 증착하는데 사용하는 pellet의 크기를 변화시켜가면서 MgO박막을 증착하여 그에 따른 방전특성을 고찰해 보았다. 각각의 MgO pellet의 크기는 1.5, 0.5 mm 와 60 um이며, 기존의 MgO pellet의 크기는 6 mm 이다. MgO pellet 을 E-beam evaporation 방법으로 증착하여 최적화된 test panel을 제작하였다. 제작된 test panel의 방전 전압 특성을 측정하였으며, 실험 결과 AC-PDP의 면방전 구동 시 MgO pellet의 크기가 작아짐에 따라 방전 개시 전압과 방전 유지 전압이 약 11 %, 16 % 감소하였다. 그러나 MgO pellet의 크기가 60 um 경우의 방전 개시 전압은 MgO pellet의 크기가 0.5 경우의 방전 개시 전압과 차이가 없었다. 이는 MgO 증착시에 사용되는 pellet은 적정의 크기가 있는 것으로 보인다.
-
솔라셀은 차세대 대체 에너지 소스로 최근 큰 각광을 받고 있다. 솔라셀의 제조에 있어 가장 중요한 공정은 마이크로 결정질 및 비결정질 실리콘(uC-Si:H and a-Si:H) 박막을 증착하는 PECVD (Plasma Enhanced CVD)공정이다. 현재까지 이 증착공정을 위한 플라즈마 소스로 CCP(Capacitively Coupled Plasma)가 주로 사용되어 왔다. 그러나, CCP를 플라즈마 소스를 사용한 경우 솔라셀 대량 생산 적용시 다른 방법들에 비해 긴 공정 시간이 해결해야 할 문제점으로 대두되었다. 본 발표에서는 솔라셀의 대량 생산을 위한 마이크로 결정질 실리콘 박막 증착에 있어 현 시점에서 해결되어야 할 문제점에 대해 고찰해 보고자 한다. 현재까지 이러한 문제점들을 해결하기 위해 적용되어 왔던 플라즈마 소스들을 나열하고 이러한 플라즈마 소스에 대한 특성 및 문제점들을 고찰한다. 또한, PECVD 공정상의 문제점을 해결하기 위한 플라즈마 조건을 플라즈마 벌크에서의 전자에너지 분포를 기준으로 제시하고자 한다. 솔라셀용 결정질 실리콘 박막 증착용 플라즈마 소스로 hollow cathode 방전이 가장 유력시되고 있다. 본 연구에서는 CCP 플라즈마에서 hollow cathode 방전시 발생되는 플라즈마 특성에 대한 기초 연구를 제시한다. 기초 연구를 위해 다양한 불활성 가스인 아르콘, 헬륨, 크립톤 가스에 13.56 MHz의 RF 파워를 인가하고 방전되는 플라즈마 밀도 변화를 관찰하였다. 특히, 다양한 hole diameter에서 발생되는 플라즈마 밀도의 변화를 기존 평면 CCP 플라즈마의 밀도에 비교하여 분석함으로써 hole diameter에 따른 효과를 관찰하였다. 이러한 결과는 PIC 시뮬레이션을 통해 얻은 전자에너지 분포함수를 바탕으로 메커니즘을 논의하고자 한다. 마지막으로 솔라셀용 PECVD공정을 위해 고밀도 플라즈마 소스의 필요성뿐 만 아니라 대면적 소스의 구현에 대한 문제점을 고찰하였다. 대면적 공정에서 가장 중요한 핵심 연구 이슈는 공정 균일도를 높이는 것이다. CCP 플라즈마 소스에서 전극의 크기가 대면적화 됨에 따라 발생되는 전자기파 효과에 의한 불균일도에 대해 RF 전자기장 시뮬레이션을 통해 확인하고, 균일도 확보를 위한 방안에 대한 논의하고자 한다.
-
한TFT-LCD, Solar cell, 반도체 등에 사용되는 Si 박막은 주로 PECVD로 형성한다. 이 때 사용되는 원료 가스로
$SiH_4$ 가 있으며 대개$H_2$ 로 희석해서 사용한다. 저온 증착의 경우 전자 충돌 해리과정을 이용하여 증착이 이루어지며 이 때 중간 생성물로$SiH_3$ ,$SiH_2$ 와 고차유도체($Si_xH_y$ )가 생성된다. 고밀도 플라즈마를 이용하는 경우에는 이들의 이온(양, 음)의 비율도 막질 형성에 중요한 요소가 된다. 본 발표에서는 안테나가 외부 및 내부에 있는 경우에 대해서 모델링하였으며 해리된 유도체의 비율은$SiH_3$ >$SiH_2$ 의 순서였고 가스 조성비(수소 희석비), U-type 내장형 안테나와 기판 사이의 거리, 챔버 내의 펌핑 포트의 위치 등에 의한 차이가 플라즈마 온도 및 밀도의 균일도에 미치는 영향을 분석하였다. 수치 모델상의 가장 중요한 변수의 하나인 이온, 라디칼의 표면 재결합 상수는 문헌에서 보고된 값을 구하기 어려운 경우에는 가장 실제와 근접한 경향이 나타나는 값을 사용하였다. 이 부분은 분자 동력학 등의 기법을 이용하여 보다 상세한 데이터를 만들어 낼 수 있는 방법의 적용이 필요하다. 기본적인$SiH_4$ 의 화학 반응식은 이원기[1]등의 데이터를 이용하였다. 계산 결과 중의 특이한 점의 하나는 고차 유도체인$Si_2H_4$ 의 경우 중성보다 오히려 양이온의 밀도가 1 order이상 높았다. 내장형 Y-type 안테나의 경우 전력 흡수 밀도가$10^7\;W/m^3$ 수준으로 높은 영역이 안테나 주변으로 나타났으며 안테나와 기판 사이의 거리와 압력에 따라서 기판에서의 균일도가 결정 되었다. -
Cavity mode Whistler wave를 사용하는 자화유도결합플라즈마 (Magnetized Inductively Coupled Plasma, MICP)의 제반 특성을 비등방성 수송계수를 가지는 Drift-Diffusion 근사, 에너지 보존 방정식 및 유도전자계를 self-consistent 하게 고려하여 계산하였다. 이러한 접근법은 비충돌성 전자가열현상을 고려하지 못하는 단점에도 불구하고, 반도체 장비설계에 필수적인 전자온도, 밀도, 플라즈마 전위, 시스템의 임피던스 특성에 대한 경향성 파악에 매우 유용하다. 뿐만 아니라 전자밀도분포가 공간내에 형성되는 R-wave mode에 미치는 영향을 분석할 수 있다. 직경 320 mm를 가지는 작은 반응기에서 시뮬레이션과 실험결과를 비교하여 본 모델링 방법의 타당성을 검증한 후, 450 mm wafer가공에 적합한 대면적 플라즈마 반응기에서 플라즈마 특성을 연구하였다. 수 mTorr의 공정압력에서 약 10 Gauss전후의 약한 자장이 인가됨으로서 반경방향의 전자밀도 균일성이 대폭 향상되었다. 플라즈마 및 안테나의 대면적화에 수반되는 높은 Q값이 자장의 인가로 큰 폭으로 감소함으로서 임피던스메칭의 안정성이 비약적으로 개선되었고 전력전달 효율 또한 크게 증가함을 알 수 있었다. 본 연구 결과는 차세대 450 mm 반도체 공정장비의 개발에 있어 자화유도결합플라즈마가 매우 유용하게 사용될 수 있음을 보여준다.
-
플라즈마는 미세 전기 소자 제작에 있어 박막의 증착, 식각, 세정등 여러 가지 공정에서 널리 사용되고 있다. 미세 소자의 선폭의 감소와 높은 생산성을 위한 웨이퍼 면적의 대형화가 진행됨에 따라 플라즈마의 균일도는 공정 수율 향상의 관점에서 중요한 요소로 그것의 계측과 공정 중 실시간 감시에 필요성이 부각되고 있다. 플라즈마에 존재하는 라디칼의 밀도, 이온의 밀도, 전자 온도 등의 웨이퍼 상에서의 공간 분포와 공정 결과물과의 상관관계에 대한 연구는 현재까지 다양하게 진행 되었으며 특히, 라디칼의 공간 분포가 공정 결과물의 균일도와 큰 상관 관계가 있는 것으로 알려져 있다. 라디칼의 농도 분포를 계측은 레이저 유도 형광법, 발광 분광법, 흡수 분광법 등을 통하여 이루어져 왔으며, 특히 발광 분광법의 경우 계측의 민감성, 편의성등을 이유로 가장 널리 사용되고 있다. 그러나 현재 까지 진행된 발광 분광법을 이용한 라디칼의 공간 분포 계측은 그 자체로 공간 분포를 계측하는 것이 아닌 플라즈마 밀도의 축 대칭성을 가정하여 Abel inversion을 적용하거나, 광섬유를 플라즈마에 직접 삽입하는 방식을 사용하기 때문에 실제 반도체 제작공정을 비롯한 미세소자 공정 플라즈마의 라디칼 밀도 분포를 실시간, 비 접촉 방식으로 계측 하는데 한계가 있다. 본 연구에서는 반도체 공정 플라즈마의 밀도 균일성 분석을 위한 공간 분해 발광 분광기를 제안한다. 기존의 발광 분광법과 비교하여 공간 분해능 향상을 위하여 직렬로 설치된 다수의 렌즈, 개구, 그리고 핀홀을 이용하였다. 공간 분해 발광 분광기의 공간 분해능을 계산하였으며, 실험을 통하여 검증 하였다. 또, HDP CVD를 이용한
$SiO_2$ 박막 증착 공정에서 산소 라디칼의 농도와 증착된 박막의 두께 분포의 상관 관계를 계측 함으로써 공간 분해 발광 분광기의 플라즈마 공정 적용 가능성 입증 하였다. -
In semiconductor manufacturing field, all equipments have various sensors to diagnosis the situations of processes. For increasing the accuracy of diagnosis, hundreds of sensors are emplyed. As sensors provide millions of data, the process diagnosis from them are unrealistic. Besides, in some cases, the results from some data which have same conditions are different. We want to find some information, such as data and knowledge, from the data. Nowadays, fault detection and classification (FDC) has been concerned to increasing the yield. Certain faults and no-faults can be classified by various FDC tools. The uncertainty in semiconductor manufacturing, no-faulty in faulty and faulty in no-faulty, has been caused the productivity to decreased. From the uncertainty, the rough set theory is a viable approach for extraction of meaningful knowledge and making predictions. Reduction of data sets, finding hidden data patterns, and generation of decision rules contrasts other approaches such as regression analysis and neural networks. In this research, a RGB sensor was used for diagnosis plasma instead of optical emission spectroscopy (OES). RGB data has just three variables (red, green and blue), while OES data has thousands of variables. RGB data, however, is difficult to analyze by human's eyes. Same outputs in a variable show different outcomes. In other words, RGB data includes the uncertainty. In this research, by rough set theory, decision rules were generated. In decision rules, we could find the hidden data patterns from the uncertainty. RGB sensor can diagnosis the change of plasma condition as over 90% accuracy by the rough set theory. Although we only present a preliminary research result, in this paper, we will continuously develop uncertainty problem solving data mining algorithm for the application of semiconductor process diagnosis.
-
KSTAR vacuum vessel has been boronized by carborane (
$C_2B_{10}H_{12}$ ) to reduce various kinds of impurities including carbon and oxygen from the wall, since carborane is solid, non-toxic, non-explosive and is easily evaporated, while diborane ($B_2D_6$ ) is toxic and explosive. To find the best wall condition for the removal of contaminants before application to KSTAR, various amounts (0.3g, 0.5g, 1g) of carborane are tested in a test chamber, where filament discharge was generated in the mixture of helium and carborane with the same KSTAR target pressure (~ 5 mTorr) from base pressure (${\sim}10^-7\;Torr$ ). Discharge is performed by a pulse sequence mode with 3 second power on and 5 second power off. Deposited films of a-C/B:H are characterized by ellipsometery, AES and XPS, and are compared with those of KSTAR. -
면방전 구조의 AC-PDP는 페닝 혼합 기체 중에서 Xe 플라스마에서 발생되는 VUV (Vacuum Ultra Violet) 에 의해 들뜬 형광체로부터 가시광이 발생된다. Xe 여기종은 828 nm의 공명준위를 거쳐 147 nm의 진공자외선을 방출하며 823 nm의 준안정준위에서 분자선을 거쳐 173 nm의 진공 자외선을 낸다. 이러한 Xe 여기종의 밀도를 측정하기 위해서는 828 nm와 823 nm의 레이저를 외부에서 인위적으로 조사하여 측정하면 IR (Infrared)의 흡수전과 흡수후의 빛의 세기로 Xe 여기종의 밀도 및 분포를 계산할 수 있다. 본 실험에서는 823 nm에 초점을 두었으며 LAS (Laser Absorption Spectroscopy) 기법을 통하여 He-Ne-Xe(15%, 20 %, 30%) 400Torr의 3종 기체의 Xe 함량에 따른 시공간의 Xe(
$1s_5$ ) 여기종 밀도 분포와 방전효율을 관측하였다. 최근 3전극 면방전형 AC-PDP 효율 향상을 위해 3종 기체의 Xe함량비의 방전기체에 대한 연구가 수행되고 있다. 이러한 기초 데이터는 혼합기체 조건에 따른 면방전 구조의 3전극 AC-PDP의 발광 효율을 개선하는 데 유용한 자료로 활용될 것이다. -
본 연구에서는 저가격, 대면적화를 위한 롤투롤 스퍼터를 설계&개발하고, 성막직전 PET 기판의 열처리 유무를 통한 ITO 박막을 성막 시킨 저항막 방식의 터치 패널용 투명 전극에 대하여 전기적, 광학적, 구조적, 표면적 특성을 분석하였다. 롤투롤 스퍼터는 degassing챔버와 스퍼터 챔버가 한 시스템에 구성되었고, Degassing 챔버는 좌우측의 Rewinder/Unwinder 롤러에 의해 감고 풀어지는 PET기판의 수분 및 가스를 중앙부에 위치한 히터를 통해 제거하며, 수분 제거 후 스퍼터 챔버로 옮겨진 1250 mm폭의 PET기판을 Unwinder/Rewinder 롤러에 장착하며, Unwinder 롤러로부터 풀려진 PET 기판은 guide 롤러를 거쳐 cooling drum과의 물리적 접촉에 의해 PET 기판의 냉각이 일어나게 된다. ITO 캐소드 전에 장착된 할로겐 히터 상부로 기판이 지나가면서 열처리가 진행되고 열처리 후 두 개의 ITO 캐소드 상부를 지나면서 연속적으로 ITO 박막이 PET 기판에 성막 되게 된다. ITO 박막의 주요 성막 변수인 DC Power, Ar/
$O_2$ 가스 유량비, 기판의 속도는 최적으로 고정하고, 성막 직전 기판의 열처리에 유무에 따른 ITO박막의 필름을 각각 고온 챔버에서$140^{\circ}C{\times}90min$ 동안 열처리를 통한 내열성 테스트를 진행하여 ITO 필름의 특성 향상을 비교 분석하였다. 분석을 위해 전기적 특성은 four-point probe로 측정했고, 투과도는 Nippon Denshoku사(社)의 COH-300A를 이용해 가시광(550nm)에서 분석했고, FE-SEM으로 ITO박막 의 표면 상태를 분석하였다. 또한 Bending Tester(Z-100)를 이용하여 기계적 안정성을 분석하였다. 성막직전 PET 기판의 열처리를 하지 않은 ITO박막은 고온의 챔버 에서$140^{\circ}C{\times}90min$ 동안 내열성 테스트 후 면저항이 511($\omega/\Box$ )에서 630($\omega/\Box$ )으로 높아졌으나, 성막직전 열처리를 통한 ITO 박막인 경우에는 465($\omega/\Box$ )에서 448($\omega/\Box$ )로 안정화 되었고, 투과율은 성막직전 열처리를 통해 1%향상되어 89%를 보였고, 유연성 또한 보다 우수한 특성을 보였다. 표면 조도는 평균 0.416 nm의 낮은 값을 보였다. 이는 PET 기판의 degassing 공정 중 충분히 제거되지 않은 가스나 불순물을 성막직전 열처리 공정으로 충분히 제거하여 깨끗한 PET 기판 상에 ITO 박막을 성막시키고, 열처리시 기판에 주어진 열에너지에 의해 보다 밀도가 높은 ITO 박막이 성장했기 때문으로 사료 된다. -
작은 직경의 외부 전극 형광램프와 냉음극 형광램프는 LCD-TV의 광원으로 사용하고 있다. 교류 전압으로 구동되는 외부전극 형광램프와 교류 및 직류 전압으로 구동되는 냉음극 형광램프에서 광 방출 신호를 관측하였다. 이러한 빛은 양광주의 고전압부에서 접지부로
$10^5-10^6\;m/s$ 의 속도로 전파한다. 램프에서 방출된 광이 양광주를 따라 전파하는 현상은 일반 형광등과 네온싸인관에서도 동일하게 관측된다. 이러한 빛의 전파 현상은 지난 70년의 형광 램프 역사상 처음 관측되었다. 양광주 영역의 플라즈마는 높은 전압과 수 십 kHz가 인가되는 전극부에서 발생한 고밀도 플라즈마의 확산으로 생성된다. 고전압이 인가된 전극부에서 발생한 고밀도의 플라즈마는 인가되어지는 구동 주파수에 해당하는 섭동으로 작용하여 플라즈마 파동으로 양광주 영역으로 전파된다. 이러한 플라즈마 파동은 고밀도 전극부에서 저밀도 양광주 영역으로 플라즈마 밀도의 차이에 의하여 된다. 이때 파동의 전파 속도는 관 전류에 따라 달라진다. 타운젠트 방전 이전의 저 전류일 때는${\sim}10^5\;m/s$ 이며, 타운젠트 방전 이후 글로우 방전에서의 전파 속도는${\sim}10^6\;m/s$ 로 증가한다. 또한 타운젠트 방전 이전의 저 전류에서는 파동이 감쇠하는 경향을 보이며, 고 전류에서의 파동의 감쇠는 매우 작다. 관측된 광신호의 결과로부터 전파되는 파동의 원인은 플라즈마 확산에 의한 밀도의 차이에 의한 것으로 해석된다. 즉, 수 십 kHz의 구동 주파수를 갖는 플라즈마 파동이 양광주의 플라즈마 밀도 구배에 의하여 전파된다. 이러한 파동은 높은 전압이 인가되는 전극부에서 낮은 전압부로 향하는 조류의 흐름과 같이 나타난다. -
최근 친환경 저전력 차세대 조명소자로 발광다이오드가 각광을 받고 있다. 하지만 종래의 수평형 발광다이오드는 사파이어 기판의 열악한 열전도도 및 전기전도도 특성으로 인하여 효율적인 열방출의 저하가 생기게 되고, 양전극과 음전극의 수평배치에 기인한 심각한 전류쏠림현상 등이 수평형 발광다이오드의 고전력 소자로서의 응용에 걸림돌로 작용하고 있다. 근래에 수평형 발광다이오드의 대안 중 하나로 수직형 발광다이오드에 대한 연구가 활발히 진행되고 있다. 수직형 발광다이오드에서는, 수평형 발광다이오드에서의 전류쏠림현상을 향상시키기 위해 얀전극과 음전극을 수직으로 배치시킨다. 그리고 열전도도 및 전기전도도 특성이 떨어지는 사파이어를 제거하기 위해 LLO(Laser Lift Off)공정이 사용된다. LLO공정으로 인해 수직형 발광다이오드의 구조는 수평형 발광다이오드와 달리 n-GaN이 위로 배치되는 특성을 가진다. 본 연구에서는, 수직형 발광다이오드의 광추출 효율을 증가시키기 위해 SiO2 나노입자를 이용한 GaN 표면요철 형성기술을 개발, 적용 하였다. SiO2 나노입자를 n-GaN상에 단일층으로 분산시키기 위해 PR(PhotoResist), 나노입자, IPA(Isopropyl Alcohol)이 혼합된 용액을 스핀코팅시켰고 그 결과를 SEM으로 확인할 수 있었다. GaN 식각을 위해 SiO2 나노입자를 마스크로 사용하였고, BCl3가스를 사용한 건식식각을 진행하였다. 그 결과 조밀하고 균일한 크기의 Cylinderical Trapezoid 식각 형상이 n-GaN표면에 형성되었음을 SEM으로 확인할 수 있었다. 우리는 표면요철이 없는 발광다이오드와 SiO2 나노입자를 이용한 표면요철이 형성된 발광다이오드의 특성을 비교하였다. 그 결과 표면요철이 있을 때 광출력이 증가함을 확인할 수 있었다. 거기에 더하여 표면요철의 높이가 300nm~1000nm로 변화함에 따른 소자의 특성변화 또한 관찰할 수 있었다.
-
최근 AC-PDP의 효율향상을 위해 MgO Protective Layer 위에 별도의 기능막(Functional Layer)을 적용하고 있으며 기능막의 재료로는 MgO 나노 입자를 사용하고 있다. 그러나 장시간 구동시 AC-PDP Panel 내에서 Plasma 방전에 의하여 MgO Protective Layer와 기능막이 방전 공간에 형성 된 이온에 의해 Sputtering 또는 재 증착 될 수 있다. 본 실험에서는 기능막이 적용된 AC-PDP Test Panel을 제작하여 장시간 구동 후 MgO Protective Layer와 기능막인 MgO 나노 입자의 재형성된 형태를 주사 전자 현미경(Scanning Electron Microscope)을 통해 Surface Profile 및 구조의 변화를 분석하고, 또한 음극선 분광 분석(Cathodoluminascence)을 통하여 방전 영역과 비방전 영역의 delay time, 방전전압 및 효율 등의 전기 광학적 특성과의 관계를 분석하고자 한다.
-
AC PDP에서 유전체 보호막으로 사용되는 MgO 박막은 높은 이차전자방출계수(
$\gamma$ )로 인해 방전전압을 낮춰주는 중요한 역할을 하고 있다. 이러한 MgO 보호막의 이차전자방출계수를 증가시키기 위해 MgO 의 Energy Band Structure 규명이 중요한 연구 주제가 되고 있다. MgO의 이차전자방출계수($\gamma$ )는 Auger 중화 이론에 의해 방출 메커니즘이 설명이 되고, 그 원리는 다음과 같다. 고유의 이온화 에너지를 가진 이온이 MgO 표면에 입사 되면, Tunneling Effect에 의해 전자와 이온 사이에 중화가 일어나고, 중화가 되고 남은 에너지가 MgO Valance Band 내의 전자에게 전달되면 이때 남은 에너지(${\Delta}E$ )가 MgO의 일함수(Work function) 보다 크게 되면 이차전자로 방출된다. 본 실험 에서는$\gamma$ -FIB System을 이용하여 결정 방향이 (100), (110), (111)을 갖는 Single Crystal MgO에 이온화 에너지가 24.58eV인 He Ion source를 주사 하였을 때 Auger self-convolution을 통해 이차전자의 운동 에너지 분포를 구하고, 이를 통해 MgO 내의 Energy Band Structure를 실험적으로 측정하였다. 이를 통해 MgO Single Crystal의 일함수 및 Defect Level의 분포를 확인하였다. -
적색과 녹색 유기발광소자 보다 청색 유기발광소자는 상대적으로 발광 효율이 낮고 색 순도가 낮으며 수명이 짧은 이유로 유기발광소자를 이용한 전색 디스플레이 패널 구현에 많은 어려움이 있다. 이 문제를 해결하기 위하여 청색 유기발광 소자의 발광 효율을 향상하기 위한 방법으로 기존의 제작되는 불순물이 첨가된 단일 발광 호스트층을 이용한 유기발광소자와는 다르게 불순물이 첨가된 혼합된 발광 호스트층으로 구성된 발광 기능층을 가진 유기발광소자의 전기적 성질과 광학적 성질에 대한 연구를 하였다. 본 연구에서는 1.3-Bis(carbazol-9-yl)benzene 인광 호스트 유기화합물과 5%의 3-Tert-butyl-9,10-di(naphtha-2-yl)anthracene 형광 호스트 유기화합물을 혼합된 발광 기능층으로 적용하고 8%의 bis(3,5-difluoro-2-(2-pyridyl)phenyl-(2-carboxypyridyl-l) iridiumIII 인광 불순물을 첨가한 청색 유기발광소자와 5%의 4,4'-Bis[4-(diphenylamino)styryl] biphenyl (BDAVBi) 형광 불순물을 첨가한 청색 유기발광소자를 제작하여 전기적 성질과 광학적 성질을 비교하였다. 형광 불순물인 BDAVBi를 첨가하여 제작된 청색 유기발광소자는 전류밀도
$20\;mA/cm^2$ 에서 5.78 cd/A의 발광 효율을 구현하였다. 대역폭이 큰 인광 호스트 물질에서 형성된 엑시톤이 효율적으로 대역폭이 상대적으로 작은 형광 호스트로의 에너지 전달이 일어나고 형광 호스트에서 형성된 엑시톤이 대역폭이 더 작은 형광 불순물로의 에너지 전달이 효율적으로 전달 됨을 알 수 있다. 인광 호스트에서 형성된 엑시톤이 중간 과정을 거치지 않고 바로 형광 불순물로의 에너지 전달이 형성되어 주입된 캐리어가 기존의 소자보다 에너지 전달 과정을 거쳐 다수의 엑시톤이 소멸하지 않고 발광에 기여하여 상대적으로 전류가 작게 흐르고 다량의 엑시톤이 외부로 추출되어 효율이 증가하였다. 전계 발광 스펙트럼 분석에서 메인 피크가 467 nm 영역에서 형성되지만 불순물에 의한 부 피크가 491 nm 영역에서 형성되어 시각계 곡선과 중첩되는 영역을 추가로 형성하여 효율이 증가하게 되는 것을 알 수 있었다. 그러므로 불순물이 첨가된 혼합된 호스트 발광층을 적용한 유기발광소자는 높은 발광 효율을 가지는 청색 유기발 광소자 디스플레이 패널 제작 가능성을 제공하고 있다. -
유기 발광 소자는 전색 디스플레이, 액정디스플레이의 백라이트유닛 및 조명으로의 사용가능성 때문에 많은 관심을 받아 왔고 지속적으로 발전하여 디스플레이 뿐 아니라 조명 시장에서 관심을 갖게 되었다. 그러나 유기 발광 소자의 효율은 무기 발광 소자의 효율보다 낮고 제작하는 데 고비용을 요하기 때문에 조명시장으로의 원활한 진입을 위해서는 지속적인 연구가 필요적이다. 발광층에 삼원색을 혼합하여 백색 유기 발광 소자를 제작하는 방법은 그 제조 공정이 복잡하고 공정 단가가 크게 상승할 우려가 있고 발광 물질의 수명을 동시에 고려해주어야 하는 문제점이 있다. 이 문제를 해결하기 위하여 청색 유기 발광 소자를 제작하고 색변환층으로 적색 형광체를 사용하면 그 단순한 구조에 기인한 간단한 공정으로 인해 가격과 소자성능의 안정성을 가지는 장점을 가질 수 있다. 색변환층의 두께를 통해 유기 발광 소자의 발광 스펙트럼을 아주 용이하게 조절할 수 있어 높은 연색지수를 갖는 백색 발광 유기 소자의 제작이 가능하여 조명으로의 적용 가능성이 아주 크다. 이를 바탕으로 높은 휘도를 갖는 청색 유기 발광 소자의 유리 기판 반대편에 적색 형광체층을 두께별로 도포하여 백색 유기 발광 소자를 제작하였다. 색변환층으로 사용될 적색 형광체는
$CaAl_{12}O_{19}:Mn^{4+}$ 화합물로써 졸-겔 방법을 사용하여 제작하였다. 제작한$CaAl_{12}O_{19}:Mn^{4+}$ 화합물에 대한 X 선 회절 패턴은 형성된 형광체의 구조임을 알 수 있었다. 각기 다른 형광체의 도포 조건에 따른 구조적 성질과 색변환 효율의 변화를 알아보기 위해 주사전자 현미경 측정으로 확인하였다. 제작된 적색 형광체와 청색 유기 발광 소자는 광루미네센스 스펙트럼과 전계 발광루미네센스 스펙트럼 결과를 사용하여 발광 메커니즘을 분석하였다. -
유기발광소자의 제작 기술이 빠르게 발전함에 따라 디스플레이와 조명 분야에서 많은 응용 가능성을 보여주고 있다. 유기발광소자의 발광효율은 발광층내에서 전자와 정공의 비와 밀접한 관계가 있기 때문에 전자 수송층과 정공 수송층내에서 전하의 이동도를 제어하는 구조에 대한 연구는 매우 중요하다. 본 연구에서는 전자 수송층으로 tris(8-hydroxyquinoline)aluminum (
$Alq_3$ )와 4,7-diphenyl-1,10-phenanthroline (BPhen)의 다중 이종구조를 사용하여 제작된 녹색 유기발광소자의 전기적 성질과 광학적 성질을 연구하였다.$Alq_3$ 와 BPhen 다중 이종구조의 위치와 이종구조 개수의 변화에 따라 전자의 변하는 전송특성으로 인하여 변화되는 발광특성을 체계적으로 조사하였다. 유기발광소자의 구동전압은$Alq_3$ /BPhen 이종구조의 수가 증가할수록 증가하는 경향을 보인다.$Alq_3$ 와 BPhen 내에서 전자의 이동도가 다르기 때문에$Alq_3$ /BPhen 이종계면에 전자가 축적되어 공간전하를 형성하므로 계면에서 내부전계가 형성되어 구동전압이 약간 증가하는 경향을 보인다. 또한$Alq_3$ /BPhen 이종계면에서 축적된 전자들로 인하여 형성된 내부 전계로 인해 저전압에서 누설 정공의 수가 증가하였다. 그러나 다중 이종구조로 된 전자 수송층을 포함한 유기발광소자의 발광 효율은 구동전압이 증가할수록 안정화 되었다. 이는 이종계면의 수가 증가함에 따라 각각의 이종계면에서 축적되는 전자의 양이 감소하기 때문에 고전압에서 효율감소율이 작아졌다.$Alq_3$ /BPhen 다중 이종구조를 가진 전자 수송층내에서 전자의 전송 메카니즘에 대한 이해는 유기발광소자의 발광효율이 안정화된 구조를 설계하는데 중요한 실험적 결과를 제공한다. -
유기발광소자는 전류구동소자로서 소자를 대형화할 때 소모 전력이 급격히 증가하여 다른 디스플레이 제품에 비해 더욱 더 높은 전력효율을 요구한다. 높은 전력효율과 낮은 구동전압을 갖는 유기발광소자를 제작하기 위해서 P-I-N구조의 유기발광소자에 관한 연구가 활발히 진행되고 있다. 본 연구에서는 일함수가 큰 투명 Indium Tin Oxide (ITO) 양극 위에 p 형 불순물인 2,3,5,6-tetrafluoro-7,7,8,8-tetracyano-quinodimethane (F4-TCNQ) 를 4,4',4"-tris(N-(2naphthyl)-N-phenylamino)triphenylamine (2-TNATA)에 도핑하여 정공주입 및 정공수송을 향상하였으며, 그위에 N,N'-bis(1-naphthyl)-N,N'-diphenyl- 1,1'-biphenyl-4,4'-diamine (NPB) 층을 증착 후, tris-(8-hydroxyquinoline) aluminum (
$Alq_3$ ) 발광층과 전자 수송층으로 사용하여 전자와 정공이 재결합을 하여 엑시톤을 형성하여 녹색 빛을 측정하였다. p 형 불순물은 정공 수송층의 에너지 장벽을 감소하며 발광층으로의 정공주입량을 증가하는 역할을 하여 구동전압을 감소하였으나 발광층내에서 전자와 정공의 비를 불균일하게 하여 발광효율은 약간 감소하였다. p형 불순물인 F4-TCNQ의 도핑의 농도에 따라 측정된 발광특성의 변화로부터 정공의 전송 메카니즘을 분석하였으며 이는 p형 불순물 첨가된 녹색 유기발광소자의 전하수송 메카니즘을 이해하는데 중요한 자료를 제공할 것이다. -
유기 발광 소자는 차세대 디스플레이 소자와 조명 광원으로서 많은 응용성 때문에 활발한 연구가 진행되고 있다. 하지만 청색 유기 발광 소자는 적색과 녹색 유기발광소자들에 비해 상대적으로 발광효율이 낮고 색 순도가 떨어지며 수명이 짧기 때문에 전색 유기발광소자를 구현하는데 문제가 있다. 이런 문제점을 해결하기 위하여 청색 유기 발광소자의 재료 개발, 다층 이종구조 및 형광/인광성 물질의 도핑에 대한 연구가 진행되고 있다. 이와 더불어 색안정성과 색순도가 향상된 진청색 고효율 청색 유기발광소자는 백색유기발광소자의 응용성 때문에 이에 대한 연구가 주목을 받고 있다. 본 연구에서는 청색 유기 발광 소자의 발광효율을 높이고 색안정성과 색순도를 향상하기 위해 4,4'-Bis (2,2'-diphenyl-ethen-1-yl)biphenyl (DPVBi) 와 4,4'-Bis(carbazol-9-yl) biphenyl (CBP)로 구성된 나노크기의 폭을 가진 우물 형태의 이중 발광층 구조를 사용한 청색 유기발광소자를 제작하였다. 제작된 청색유기발광소자의 전기적 성질과 광학적 성질을 조사하여 색안정성 및 색순도 향상 메카니즘을 관찰하였다. DPVBi/CBP 이중 발광층을 가지는 청색 유기발광소자에서 CBP의 HOMO 에너지 준위의 값이 3.2 eV로 매우 크기 때문에 정공을 막는 정공 장벽층의 역할을 하게 되어 정공이 발광층에 머무르게 된다. 또한 DPVBi의 LUMO 값의 크기 5.8 eV, CBP의 LUMO 값의 크기는 6.3 eV이므로 상대적으로 CBP의 전자에 대한 주입장벽이 크기 때문에 발광층에 머무르는 전자의 양이 증가된다. 청색 발광층에 사용된 이중 발광층은 단일 발광층에 비해 더 많은 전자와 정공이 존재하기 때문에 전자-정공 재결합 확률을 높였으며 재결합 영역이 발광층 중심의 이중발광층 계면으로 이동하여 발광 영역이 국소화되어 전압변화에 따른 색의 변화가 적고 색순도가 더욱 향상되었다.
-
유기발광소자는 고휘도, 광시야각, 저생산비용 및 빠른 응답속도의 장점을 갖고 디스플레이 소자와 조명 광원의 응용에 대하여 연구가 많이 진행되었다. 고효율과 색안정성을 가진 유기발광소자를 제작하기 위하여 소자의 다양한 구조에 대한 연구가 활발히 진행되고 있다. 유기발광소자의 발광효율을 향상시키기 위해서는 정공의 수송이나 주입을 감소, 또는 전자의 수송이나 주입을 향상시켜 전자와 정공의 균형을 조절하는 방법이 많이 제안되었다. 본 연구에서는 전자수송층으로 사용되는 tris(8-hydroxyquinolate)aluminum (
$Alq_3$ ) 보다 전자의 수송을 향상시킬 수 있으며 발광층에서 전자 수송층으로 빠져나가는 정공을 막는 정공장벽층의 역할을 하여 정공의 손실을 감소시킬 수 있는 7-diphenyl-1,10-phenanthroline (BPhen)과$Alq_3$ 를 혼합하여 혼합 전자 수송층을 사용하였으며, 이를 사용하여 제작된 소자에 대하여 전기적 성질과 광학적 성질의 변화를 조사하였다. 혼합 전자 수송층을 삽입한 소자는 Alq3만을 전자 수송층으로 사용한 소자에 비해 동일 전압에서 낮은 전류밀도와 높은 구동전압을 보였으나 발광세기와 발광효율은 많이 향상되었다. 혼합 전자 수송층을 사용하여 제작한 소자의 발광세기와 발광효율이 향상된 원인은 발광층으로 주입되는 전자가 증가하였고 전자 수송층 역할을 하는 BPhen 이 낮은 HOMO 에너지준위로 인한 정공의 손실을 작게하므로 전자-정공의 재결합 확률이 증가하였음을 알 수 있다. 전자 주입층 또는 정공주입층만을 삽입한 소자를 제작하여 전류밀도-전압특성을 측정하여 전자 및 정공의 전송특성을 조사하였다. 혼합 전자 수송층을 사용하여 제작된 유기발광소자의 발광효율에 대한 메카니즘을 실험결과를 사용하여 설명하였다. -
전색 디스플레이의 배경조명과 일반조명으로 응용 가능한 백색 유기발광소자를 제작하기 위해서는 삼원색을 혼합하는 방법과 단색광원의 색변환을 이용하는 방법등이 제안되었다. 삼원색을 혼합하는 방법의 연구가 접근방법 및 효율개선이 용이하기 때문에 많은 연구가 진행되어왔다. 그러나 색변환 방법을 사용하는 구조는 삼원색을 혼합하는 방법에 비해 공정이 단순하며 공정 가격이 낮아지고 안정적인 구조라는 장점이 있기에, 본 연구에서는 무기물 형광체를 청색유기발광 소자에 결합하여 제작된 백색 유기발광소자의 전기적 성질과 광학적 성질을 규명하는 연구를 진행하였다. 본 연구에서는 나노크기의 균일한 형광체를 제작 할 수 있는 졸겔 방법으로 적색 형광체를 제작하였다. 졸겔 방법으로 제작된 형광체에 대한 주사현미경 측정 결과 입자의 표면이 고르며 크기가 작고 균일 하였고, 높은 온도 열처리에 따라서 용매제가 대부분 제거되었기 때문에 형광체 발광 특성이 잘 일어났음을 확인 할 수 있었다. 제작된 형광체의 광학적 성질을 조사하기 위해 형광 루미네센스 측정을 하여 발광특성을 분석하였으며 실제 청색 유기발광소자에 적용하기 위해 tris((3,5-difluoro-4-cyanophenyl)pyridine)iridium (FCNIr)-doped 3,5-bis (N-carbazolyl) benzene (mCP)를 발광층으로 사용하는 진청색의 인광 유기발광소자 배면에 무기물 형광체를 결합하여 인가한 전압에 따른 전계발광분광특성의 변화를 조사하였다. 유기발광소자와 결합된 적색 무기물 형광체는 진청색 인광 유기발광소자에서 발광된 청색빛의 일부를 흡수하여 적색으로 색변환을 하였고 이는 무기물 형광체내에 첨가된 Mn 원자에 의해 색변환이 이루어졌음을 확인하였다. 무기물 형광체를 사용한 백색 유기발광소자의 색변환 메카니즘 및 효율 증진에 대한 연구는 고효율 유기발광소자 제작을 가능하게 할 것이다.
-
유기 발광 소자내의 전공과 전자의 균형과 효율적인 재결합을 통한 발광소자의 효율 향상을 위한 다양한 연구가 소자의 응용에 매우 큰 영향을 주고 있다. 그러나 대부분의 전도성 유기물내에서 정공의 이동도 가 전자의 이동도 보다 100 배 정도 빠르기 때문에 발광 효율을 향상시키기 위한 효율적인 전자 주입이 요구된다. 본 연구에서는 전자주입효율을 향상하기 위하여 강한 전자 받게 역할을 하는 플러렌(
$C_{60}$ )의 장점을 이용한 이중 전자 주입층을 제작하고 녹색 유기 발광 소자에 사용하여 발광효율의 변화를 관찰하였다. 유기 발광 소자에서 전자의 이동도를 향상하여 발광 층내로 주입되는 전자의 주입량을 증가하여 엑시톤 형성 확률을 높이기 위하여 전자 주입 층 내에$C_{60}$ 을 첨가하였다.$C_{60}$ 만으로 이루어진 단층 전자 주입 층으로 구성된 유기발광 소자는 Al과$C_{60}$ 계면사이에 거칠기가 큰 계면으로 인해 발생된 누설전류로 인해 Cesium flouride (CsF) 단층 전자 주입 층에 비해 낮은 발광효율을 나타냈다. 플러렌의 높은 전자전도성을 유지하며 누설전류의 흐름을 방지하기 위하여 매우 얇은 CsF층을 알루미늄 금속과 플러렌사이에 형성함으로써 플러렌과 Al 사이의 공유결합을 없애 누설전류를 줄였으며 Cs의 무거운 원자량으로 인해 전자 수송층으로의 확산되는 량이 적어 발광층에서 엑시톤의 재결합효율이 개선되어 유기발광 소자의 발광효율 향상이 나타남을 관찰하였다. -
TFT 제조 방법 중 LTPS (Low Temperature Polycrystalline Silicon)는 저온과 저비용 등의 이점으로 인하여 flat panel display 제작에 널리 사용된다. 이동도와 전류 점멸비 등에서 이점을 가지는 ELA(Excimer Laser Annealing)가 널리 사용되고 있지만, 이 방법은 uniformity 등의 문제점을 가지고 있다. 이를 극복하기 위한 방법으로 MICC(Metal Induced Capping Crystallization)이 사용되고 있다. 이 방법은
$SiN_x$ ,$SiO_2$ , SiON등의 capping layer를 diffusion barrier로 위치시키고, Ni 등의 금속을 capping layer에 도핑 한 뒤, 다시 한번 열처리를 통하여 a-Si에 Ni을 확산시키킨다. a-Si 층에 도달한 Ni들이 seed로 작용하여 Grain size가 매우 큰 film을 제작할 수 있다. 채널의 grain size가 클 경우 grain boundary에 의한 캐리어 scattering을 줄일 수 있기 때문에 MIC 방법을 사용하였음에도 ELA에 버금가는 소자의 성능과 안정성을 얻을 수있었다. 본 연구에서는 large grain TFT의 Gate bias stress에 따른 소자의 안정성 측정 및 분석에 목표를 두었다. -
MICC 방법으로 제작된 TFT는 large grain과 그에 따른 grain boundary의 감소로 인하여여, 소자의 전기적 특성을 좋게 할 수 있다. 본 연구에서는 bi-layer channel의 large grain size TFT를 제작하여 소자의 전기적 특성을 비교하였다. Channel의 width / length의 크기는 각 각의 경우
$7/5{\times}2$ ,$10/5{\times}2$ ,$15/5{\times}2$ (${\mu}m$ )로 하였다. 소자의 성능 측정 결과 Field-effect mobility의 경우에는 channel width가 증가할 수록 감소하는 경향성을 나타내었으며, Threshold voltage의 경우에는 조금 감소하는 경향성은 있었으나 변화의 폭이 매우 작았다. Output characteristics 의 경우에는 모든 set에서 좋은 saturation 특성을 보였다. 이것은 current croding이 없었다는 것을 의미하는데, 큰 grain size로 인한 효과로 해석 할 수 있다. 본 연구에서는 bi-layer channel에서 corner effect에 중점을 두어 소자의 전기적 특성 변화에 대하여 논하였다. -
본 논문은 기존의 수은 형광 램프와 LED를 대체할 수 있는 무 수은 면광원의 방전 가스 조성 변화(He, Ne, Ar, Xe)에 따른 전기 광학 특성에 관한 연구이다.[1]~[4] 무 수은 면광원의 기본 구조는 그림 1과 같이 방전 공간 내에 유전체에 의해 방전 공간과 분리된 한 쌍의 평행한 전극으로 이루어져 있다. 그리고 방전 공간 내면에는 일정한 두께와 형상을 가지는 형광체가 도포되어 있고 주 전극의 반대 평판유리 외벽에 보조전극을 형성하였다. 방전을 발생시키기 위한 기본적인 구동 방법은 5~25kHz의 주파수와
$0.7{\sim}1.5{\mu}s$ 의 폭을 가지는 사각 펄스를 사용한다.[4] 그림 2는 Ne-Xe 가스를 기본으로 하여 He 첨가에 따른 전기 광학 특성을 보여준다. He 첨가량이 증가할수록 동작 전압이 높아지면서 방전 개시와 동시에 수축 방전으로 전이되는 형태를 보이며, 효율 또한 감소함을 보였다. 이것은 무 수은 면광원에서는 높은 He의 이차전자 방출 계수보다 He의 높은 이온화 에너지가 더 크게 작용하기 때문이라 생각된다. 그림 3은 Ne-Xe 가스를 기본으로 하여 Ar 첨가에 따른 특성을 보여준다. He과는 다르게 Ar 첨가량이 증가할수록 동작 전압 마진이 넓어진다. 그러나 동작 전압이 상승하고, 효율 역시 감소하는 단점이 있다. 이것은 Ar은 Ne에 비해 이온화 에너지가 낮지만 Ar-Xe 조합은 Penning 효과를 얻을 수 있는 혼합 가스가 아니며, Ar의 2차전자 방출 계수 역시 Ne에 비해 낮기 때문에 결과적으로 방전 전압은 상승하고 효율이 감소하는 결과를 보여준다. 그러므로 무 수은 면광원에서 낮은 구동 전압과 높은 휘도 효율을 얻기 위해서는 Ne-Xe 가스조건이 가장 적합한 가스 조건이다. 효율 개선을 위해서는 Ne-Xe 가스 조건에서 압력을 높이거나 높은 Xe 함량의 가스 조성비를 사용하여 자외선 발광원인 Xe 가스량을 높이는 방법이 가장 유리하다. 그림 4는 Ne-Xe 가스 조건에서 Xe 가스량을 높이면 효율이 증가하는 경향성을 보여준다. 가스 최적화 연구와 더불어 형광체 최적화 연구[5]를 통해서 Ne-Xe25% 100Torr 가스 조건에서 그림 5와 같은 19,000nit의 높은 휘도와 75lm/W의 고 효율 특성을 얻을 수 있었다. -
Organic light emitting diodes (OLED) thin films were fabricated by Electrostatic spray deposition (ESD). In this study, we reported the thickness, morphology, current efficiency, luminescence of OLED fabricated by ESD. These results were compared with the spin coating method, and showed that also ESD is a good fabrication method for OLED because of its characteristics similar with the results using spin coating. The active layer consists of organic blends with Poly(N-vinylcarbazole) (PVK), 2-(4-Biphenyl)-5-(4-tert-butylphenyl)-1,3,4-oxadiazole (PBD), N,N'-Bis(3-methylphenyl) -N,N'-bis(phenyl)-benzidine (TPD), Tris(2-phenylpyridine)iridium(III) (Ir(ppy)3), and the structure of OLED consists of aluminum (Al), lithium fluoride (LiF), organic blends, PEDOT:PSS and Indium-tin-oxide (ITO), which was used as the top cathode, cathode interfacial layer, emitting layer and bottom anode, respectively. The results suggest that Electrostatic spray deposition is a promising method for the next generation of OLED fabrication since it has a probability fabricating large-area thin films.
-
A microplasma current switch (MPCS) for a device operated in a current mode like organic light-emitting diodes (OLEDs), which features matrix addressability and current switching, is presented as well as its architecture and operational principle. The MPCS utilizes the intrinsic memory and conductivity of plasmas to achieve matrix addressability and current switching. We have fabricated a
$100\;mm\;{\times}\;100\;mm$ MPCS panel in which its cell pitch is$1080\;{\mu}m\;{\times}\;1080\;{\mu}m$ . The matrix addressability and current switching were verified. In addition, the current-voltage (I-V) characteristic of the unit cell was measured when plasmas were ignited. In principle, the scheme of the MPCS is equivalent to that of a double Langmuir probe diagnosing plasma parameters except for their relative dimensions to a plasma volume. Accordingly, the I-V characteristic was analyzed by a double Langmuir probe theory, and the plasma density and electron temperature were estimated from the I-V curve using a collisional double Langmuir probe theory. -
Axial type 가상음극발진기를 이용하여 전극의 기하학적 구조와 고출력 마이크로파의 출력 특성을 분석하고, 최대 파워의 조건에 대한 전자기기 효과를 알아보았다. 고출력 마이크로파 발생 장치인 Vircator는 강렬한 상대론적 전자빔 발생장치로 최대전압 600 kV, 최대전류 88 kA, 펄스폭 60 ns의 특성을 가진다. Anode와 cathode의 간격은 4 mm로 최적화 하였고, 이 조건에서 마이크로파의 출력 특성을 분석하여 보았을 때 WR-137 수신안테나에서 최대 출력143 MW와 5.4 GHz의 진동수를 측정하였다. 출력 효율을 증가시키기 위해서 도파관 중앙에 폭이 10 mm인 반사판을 사용하였고, 그 결과 반사판이 공진구조에 기여하여 정상파(standing wave)를 형성하여 마이크로파 출력 효율 향상하여 WR-137에서 최대 549 MW의 출력을 보였다. 향상된 마이크로파의 출력을 이용하여 각도와 거리를 변화해 가며 컴퓨터와 전자소자로 제작된 회로에 대해 전자기기 효과 실험을 실시하였다. 그 결과 컴퓨터의 경우
$0^{\circ}$ 1 m에서 hard kill 상태가 되었다. 전자소자 회로의 경우는$0^{\circ}$ 30 cm에서 hard kill의 상태로 되었다. 또한 면적 대비 출력 파워로 비교 했을 경우 약$0.484\;{\mu}J$ 의 마이크로파의 영향임을 확인할 수 있었다. -
A inclined slot-excited plasma source is newly designed and constructed for higher flux HNB(Hyperthermal Neutral Beam) generation. The present source is different from the vertical SLAN(SLot ANtenna) sources [1] in two aspects. One is that the slots are inclined, and the other is that the magnetic field is configured to a cusp type. These modifications are intended to make the source plasma operated in sub-milli-torr pressure regime and as thin as possible, both of which is to get higher HNB flux by decreasing the re-ionization rate of the reflected atoms from the neutralizer [2]. The plasma is generated in a quartz tube of internal diameter 170 mm enclosed in a aluminum application chamber of larger diameter 250 mm. The microwave power is fed to the plasma chamber by 8 inclined slots cut into the application chamber wall. The slots are coupled the chamber to a WR280 waveguide wound around it to form a ring resonator. In order to make two slots
$\lambda_g/2$ apart in phase, the adjacent slots are rotated in opposite directions. The rotation angle of the slots are set to$60^{\circ}$ from the chamber axis. Between the quartz chamber and the aluminum cylindrical chamber 8 NdFeB magnets are equally spaced and fixed to form the cusp magnetic field confinement and ECR (Electron Cyclotron Resonance) field. In this presentation, the magnetic and electromagnetic simulations, and the measured plasma parameters are given for both the inclined and the vertical slot-excited plasma sources. We also discuss how the sources can be tailored to suit better-performing HNB sources. -
국내 반도체 시장은 세계 1위의 시장점유율을 가지고 있지만 핵심장비의 경우 국내 장비 기술의 낙후로 인해 대부분을 선진국에 의존하는 실정이다. 따라서 국내 장비 기술의 발전 요구에 따라 연구가 진행되었으며 기존 PE-CVD (Plasma Enhanced Chemical Vapor Deposition) 장비에서의 하전입자에 의한 기판 손상 가능을 제거하고 개개의 반응 원소의 에너지와 플럭스를 조절하여 다양한 공정온도에서 증착을 구현할 수 있는 HNB-CVD(Hyperthermal Neutral Beam Chemical Vapor Deposition) 장비를 개발하였다. 고밀도 플라즈마 생성을 위한 마이크로웨이브 SLAN(Slot Antenna) 소스를 사용하였으며 대면적 공정에 적합하도록 설계하였다. 최적의 설계와 진단을 위한 마이크로웨이브 SLAN 소스내의 E-field 분포 시뮬레이션과 Langmuir Probe 진단이 이루어졌다.
-
DC Hollow cathode 방전은 약 100여 년 전, Paschen에 의해 실험된 이후로 광원, 스퍼터링 공정, 이온빔 소스 등 다양한 분야에 이용되어 왔다. 최근 태양전지용 마이크로 결정질 실리콘 증착 시, RF CCP의 전극에 복수의 홀 혹은 트렌치 구조를 두어 Hollow cathode 방전 효과를 이용하여 향상된 공정 속도로 공정을 진행한다. 그러나 RF-MHCD (Multi hole cathode discharge) 공정을 위한 최적 규격의 홀 기에 관한 연구는 그 중요성과 응용성에도 불구하고 깊게 이루어지지 못한 바 있다. 그러므로 저자는 Capacitively Coupled Plasma (전극 간격 : 4cm, 전극 직경 : 14cm) 장비에서 평면 전극과 10mm 깊이와 각각 3.5mm, 5mm, 7mm, 10mm 직경의 홀이 있는 4개의 전극을 이용하여 Argon RF-MHCD 방전을 관찰하여 조건 별 최적의 홀 전극 디자인을 도출하였다. 실험 조건은 64.5mTorr ~ 645mTorr압력 범위/ 1A~9A이며, 플라즈마는 전극 사이 중앙에 설치한 RF-compensated Langmuir Probe와, 전극과 전기적으로 접촉하는 1000:1 Probe 와 Voltage-Current Probe를 이용하여 측정되었다. 실험 결과 압력 조건 별로, 최적의 전자 밀도를 유도하는 전극 상 홀의 직경이 달라짐을 확인하였다.
-
The abnormal behavior of the argon metastable density during the E-H mode transition in argon ICP discharge was investigated. Lots of investigations including global models expected that during and after the mode transition of ICP discharge, the density of metastable increases with applied rf power (i.e. electron density). However, recent direct measurement of metastable density revealed that the metastable density of argon decreases with the applied power during and after the mode transition. This result may not be explained by the previous global model which is based on the assumption of the Maxwellian electron energy distribution function (EEDF). In this paper, to explain this abnormal behavior with simple manners, a simple global model taking account of the effect of the non-Maxwellian EEDFs incorporating into a set of coupled rate equations is proposed. The result showed that the calculated metastable density taking account of non-Maxwellian EEDF and its evolution during the transition has an abnormal behavior with electron density and is in good agreement with the previous measurement results, indicating the close coupling of electron kinetics and the behavior of metastable density. The proposed simple model is expected to provide qualitative kinetic insight to understand the behavior of the metastable density in various plasma discharges which typically exhibit non-Maxwellian distribution.
-
다양한 가스 방전에서의 전자에너지 분포함수 측정을 통하여 E-H mode 전이에 대한 연구를 하였다. 단원자 가스 방전에서는, 압력이 증가함에 따라 E-mode에서 H-mode로의 전이를 위한 인가 파워는 크게 바뀌지 않았다. 하지만, 다원자 가스에서는 압력이 증가함에 따라 상당히 높은 인가 파워가 필요하였다. 이것은 다원자 가스에서 압력이 증가함에 따라, 해리, 진동 및 회절 운동, 그리고 음이온 생성으로 인한 플라즈마 밀도의 감소에 의한 것이다. 그에 따라, H-mode 전이를 위한 충분한 플라즈마 밀도를 생성하기 위해서 더 큰 인가 파워가 필요하게 된다. 이러한 연구는 혼합가스에서도 측정하였다.
-
$SF_6$ 기체 및 Ar/$SF_6$ 혼합 기체 방전은 실제 반도체 및 디스플레이 공정에서 널리 쓰이고 있지만, 측정상의 어려움으로 인하여 정량적인 데이터 및 기본 연구가 부족한 실정이다. 본 연구는 유도 결합 Ar/$SF_6$ 혼합 기체 플라즈마에서 다양한 압력과 혼합 가스 비율에 따른 전자 에너지 분포 측정을 통한 플라즈마 변수 연구에 관한 내용이다. 낮은 가스 압력에서$SF_6$ 기체의 혼합 비율이 증가함에 따라서 상대적으로 적은 전자 밀도 감소와 전자 온도의 증가가 보였다. 하지만, 높은 가스 압력에서$SF_6$ 기체의 혼합 비율이 증가함에 따라 상당한 전자 밀도 감소와 급격한 전자 온도 증가 (~ 9 eV)가 관찰되었다. 이러한 전자 온도와 전자 밀도의 극적인 변화는$SF_6$ 기체 증가에 의한 전자-중성종 충돌과 음이온 생성으로 인한 것으로 여겨지며, 유체 모델 및 전자 가열 모드를 고려하여 해석하였다. -
Hyperthermal neutral beam (HNB)은 박막 성장에 필요한 에너지와 반응 입자들을 동시에 공급할 수 있기 때문에 특히, 저온에서 박막을 성장시킬 때 매우 유용하다. 이와 같은 목적으로 race track 형태의 자기장 구조를 갖고 있는 2.45 GHz electron cyclotron resonance (ECR) plasma를 이용한 HNB 소스를 개발하였다. HNB 소스에서 인출되는 입자들은 중성 입자 뿐만 아니라 이온이나 전자와 같은 하전 입자들로 구성되어 있다. 그러나 양질의 HNB를 얻기 위해서는 하전 입자들의 구성 비율을 최소화해야 한다. HNB 소스는 하전 입자의 구성 비율을 1 % (
$1{\mu}A/cm^2$ ) 이하가 되도록 설계되었다. 이것을 위해서 영구 자석의 자기장을 이용한 plasma limiter를 설계하였다. 대부분의 전자는 limiter 앞에 형성된 자기장의 구조와 반응하여 주로 gradient B drift와 curvature drift를 통하여 차단되고, 이온은 로렌츠 힘을 받아 빔 축으로 부터 벗어나도록 하였다. Limiter의 특성을 연구하기 위해서 정전탐침을 limiter에서 빔 축 방향으로 이동시키면서 I-V 곡선과 이온 포화 전류 및 전자 포화 전류를 측정하였다. 측정 결과를 바탕으로 plasma limiter의 성능을 검증하였고 문제점을 논의하였다. -
공정 플라즈마 장치에서 이중 주파수법을 이용하여 실시간 유전박막 두께 측정법에 대한 보상연구를 하였다. 이중 주파수법은 유전박막과 플라즈마 쉬스를 간단한 전기적인 등가회로로 모델링하여 유전박막의 두께를 측정하는 방법이다. 이중 주파수법의 문제는 측정탐침의 인가전압에 따른 유전박막의 두께 측정치가 다르다는 점이다. 플라즈마 쉬스를 선형 저항만으로 등가하였기 때문에, 쉬스의 인가전압에 상관없이 쉬스 저항의 값이 일정하다는 가정이 존재한다. 그러나 쉬스 저항은 쉬스의 인가전압에 종속적이면서 비선형적인 특성을 갖는다. 측정 탐침에 출력 전압이 인가될 때 쉬스 양단에서 인가전압에 따른 쉬스의 등가저항의 비선형성을 고려하여 측정 탐침에 증착된 유전박막의 커패시턴스성분에 대한 방정식을 Numerical analysis로 풀어 유전박막의 두께 측정값을 보상하였다. 보상된 위의 방법으로 다양한 RF파워, 압력에 따라
$Al_2O_3$ 박막의 두께를 실시간으로 측정하여 비교하였다. 그 결과 이 방법은 낮은 플라즈마 밀도(${\sim}10^9cm^{-3}$ )에서도 인가전압에 따른 유전 박막두께측정의 오차를 줄일 수 있었다. -
액체 표면을 전극으로 하는 플라즈마 방전은 생물학적 살균, 분해 처리 등에 필요한 UV 및 화학적 활성종의 생성에 유리하여 널리 활용되고 있다. 하지만 그 특성 등에 관한 연구는 액체막의 유동 및 기하학적 구조 상 진단의 제한으로 인하여 아직 미비한 상태이다. 전해질 내 방전은 전극 표면의 기포 막 에 인가되고 그 두께에 따라 변한다. 따라서 본 연구에서는 액상 전해질의 인가 전압 및 점성도를 독립적으로 조절하여 기포 막 크기와 인가 전력간의 관계와 이에 따른 전해질 내 플라즈마의 특성이 음극 글로우 방전임을 밝혔다. 실험에서는 전기 전도도 1.6-3.2 S/m의 NaCl 수용액 전해질에 양극성 전극을 삽입하고 350 kHz의 전압을 인가하여 플라즈마를 발생하였다. 인가된 전압은 230 - 280 V이며 전해질의 점성도는 젤라틴을 첨가하여 1E-4-1.1 kg/m
${\times}$ sec로 조절하였다. 기포 막의 두께 및 변화는 고속카메라를 통하여 관측하였으며 인가되는 전압 및 전류는 고전압 프로브와 전류 프로브를 통하여 관찰하였다. 기포 막은 전극표면에서 막 비등을 통하여 발생됨을 밝혔다. 인가 전력과 손실 열에너지간의 비율에 따라 기포막은 수축과 확장의 진동을 반복하였으며 전기 유체적 모델을 통하여 기포 막의 동적 거동에 따른 플라즈마에 인가된 전력의 변화를 정량적으로 분석할 수 있었다. 기포 막의 평균적인 두께는 인가 전압과 비례하여 약$150\;{\mu}m$ 에서$200\;{\mu}m$ 로 증가하였으며 진폭은 점성의 증가 시 약$50\;{\mu}m$ 에서$20\;{\mu}m$ 로 감소하였다. 순간적인 플라즈마 인가 전력은 평균적인 두께에 따른 평균적인 두께에 대해서는 15 - 20 W의 변화를 보였으나 진폭의 감소 시 17 - 70 W의 보다 큰 폭으로 증가하였다. 이를 통하여 점성도가 큰 조건에서 기포 막의 확장이 억제되어 방전이 유지됨을 알 수 있었다. -
최근 복잡한 고진공 시스템에서 수행되는 플라즈마 공정을 대신하여 진공 시스템 없이 대기압 플라즈마를 이용한 보다 경제적이고 신속하게 공정을 수행하는 연구가 활발히 진행 중이다. 이러한 대기압 플라즈마의 높은 응용성을 이용한 에칭과 증착 등의 기술은 플라즈마의 물리적 접근 없이 세계적으로 몇몇 선도 연구그룹에서 시도되고 있다. 본 연구팀에서는 대기 중에서 He, Ar,
$N_2$ ,$O_2$ , Air 등의 여러 종류의 기체에서 방전하여 미세가공이 가능한$500\;{\mu}m$ 이하의 마이크로 제트를 개발하였다. 입력전압, 기체유량, 노즐의 구조와 크기 등의 여러 운전변수의 조절을 통해 폴리머 기판위에서 방전되는 마이크로 플라즈마 제트의 안정된 방전조건을 찾았고, 전압-전류 특성곡선(V-I characteristics), 광방출분광법(OES), 시간분해 이미지 촬영법(ICCD), 기체온도 측정법 등을 이용하여 발생된 플라즈마의 물리적인 특성을 분석하였다. 발생된 플라즈마를 이용해 처리된 폴리머 기판의 물성변화를 AFM을 통해 관찰하여 짧은 플라즈마 처리시간에도 효과적인 표면개질의 변화를 확인하였다. 마지막으로 본 기술을 이용한 대기압 마이크로 공정의 응용기술 및 가능성을 연구하였다. -
전자빔 플라즈마는 전자 소스 부분, 전자 가속 부분, 전자빔 플라즈마 생성 부분으로 구성되어 있다. Hollow cathode형태의 전극과 anode역할을 하는 안쪽 그리드로 DC방전을 일으켜 전자를 발생시키고 안쪽 그리드와 바깥쪽 그리드 사이의 전압차이로 전자를 가속시킨다. 가속된 전자는 중성 가스와 비탄성 충돌을 하게 되어 플라즈마가 생성이 된다. 이러한 방식으로 생성된 전자빔 플라즈마는 플라즈마 형성 공간에 전기장이 없어 전자가 에너지를 얻을 수 없으며, 중성가스와 비탄성 충돌로 인해 에너지를 쉽게 잃기 때문에 전자 온도가 낮게 유지가 된다. 일반적으로 바깥쪽 그리드는 접지를 시켜 전자빔 플라즈마를 발생시키지만, DC 전원을 연결하여 양의 전압을 걸어주면 전자빔 플라즈마의 밀도는 크게 변하지 않고 전자 온도가 급격히 상승하게 된다. Ar 전자빔 플라즈마의 경우 바깥쪽 그리드가 접지에 연결되었을 경우 전자 온도는 0.5eV 정도인 것에 비해 바깥쪽 그리드에 20V DC전압을 걸어주면 전자 온도가 1eV 정도로 크게 증가를 한다. 그 이유는 바깥쪽 그리드 전압의 영향으로 전자빔 플라즈마 전위가 상승하게 되고 그 결과 높은 에너지를 가진 전자가 플라즈마 전위에 갇히게 되기 때문이다.
-
탄소나노튜브(CNT, carbon nanotube)를 에미터 재료로 사용하여 전계방출 디스플레이 (FED, field emission display)용 캐소드(cathode)를 제작할 때 CNT paste를 구성하는 유기성 바인더를 제거하기 위한 열처리 공정은 필수적이며, 이후에 CNT의 돌출을 위한 표면처리 공정이 뒤따르게 된다. 이러한 표면처리에는 여러 가지 방법들이 있으며 캐소드 제작시 매우 중요한 부분이다. 그 이유는 열처리 과정을 거치게 되면 이물질들이 CNT를 감싸게 되는데 이는 전계방출을 방해하는 요소가 되기 때문에 이를 효과적으로 제거해주지 않으면 전계방출의 효율성이 떨어지기 때문이다. 본 연구는 스크린 인쇄법으로 제작한 CNT 캐소드의 표면처리에 관한 것으로 Tpae 방법을 이용하여 1차적으로 표면처리를 수행한 후 2차적으로 재열처리법을 이용하여 열처리 후 CNT를 감싸고 있는 이물질(ash, residue)등을 효과적으로 제거하였는지 전계방출 특성을 통하여 알아보았다.
-
일찍이
$SiO_2$ (Silicon dioxide) 박막은 다양한 분야에서 유전층, 부식 방지층, passivation층 등의 역할을 해왔다. 그리고 이러한 박막 공정은 대부분 진공의 환경에서 그 공정이 이루어지고 있다. 하지만 이러한 진공 system은 chamber, loadlock 그리고 펌프 등의 다양한 진공장비로 인한 생산 비용 증가, 공정의 복잡성뿐만 아니라 공정의 대면적화에 어려움을 지니고 있다. 그리고 최근 flexible display의 제조 공정에서 polymer 혹은 plastic 기판을 제조 공정에 적용시키기 위해 저온 공정이 필수적으로 요구 되고 있다. 이러한 기술적 한계를 뛰어 넘기 위해 최근 많은 연구가들은 atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD)에 대해 지속적으로 다양한 연구를 하고 있다. 본 연구에서는 remote-type의 modified pin-to-plate dielectric barrier discharge (DBD) 시스템을 이용한$SiO_2$ 무기 박막 증착에 관해 연구하였다.$O_2$ /He/Ar의 gas와 5 kV AC power (30 kHz)의 전원장치를 통해 고밀도 대기압 플라즈마를 발생시켰고, silicon precursor로는 hexamethyldisilazane (HMSD)를 사용하였다. 먼저 HMDS와$O_2$ gas의 flow rate 변화에 따른 증착률을 조사하였고 그 다음으로 박막의 조성 및 표면 특성을 조사하였다. HMDS의 유량이 100 ~ 300 sccm으로 증가함에 따라 증착속도는 증가했다. 하지만 FT-IR을 통해 HMDS의 유량이 증가하면 반응에 참여할 산소 분자의 부족으로 인해$-(CH_3)_X$ 의 peak intensity가 증가하고, -OH의 peak intensity가 점차 감소함을 관찰 할 수 있었다. 또한 증착된 박막의 표면에 particle과 불균일한 surface morphology 등을 SEM image를 통해 관찰 하였다. 산소 유량이 탄소와 관련된 많은 불순물들의 제거에 도움이 됨에도 불구하고 14 slm 이상의 산소가 반응기 내로 주입되게 되면 대기압 플라즈마의 discharge가 불안정하게 되어 공정효율을 저하시키는 요소가 되었다. 결과적으로 HMDS (150 sccm)/$O_2$ (14 slm)/He (5 slm)/Ar (3 slm)의 조건에서 약 42.7 nm/min 증착률을 가지며, 불순물이 적고 surface morphology가 깨끗한$SiO_2$ 박막을 증착할 수 있었다. -
Magnetic random access memory (MRAM) has made a prominent progress in memory performance and has brought a bright prospect for the next generation nonvolatile memory technologies due to its excellent advantages. Dry etching process of magnetic thin films is one of the important issues for the magnetic devices such as magnetic tunneling junctions (MTJs) based MRAM. CoFeB is a well-known soft ferromagnetic material, of particular interest for magnetic tunnel junctions (MTJs) and other devices based on tunneling magneto-resistance (TMR), such as spin-transfer-torque MRAM. One particular example is the CoFeB - MgO - CoFeB system, which has already been integrated in MRAM. In all of these applications, knowledge of control over the etching properties of CoFeB is crucial. Recently, transferring the pattern by using milling is a commonly used, although the redeposition of back-sputtered etch products on the sidewalls and the low etch rate of this method are main disadvantages. So the other method which has reported about much higher etch rates of >
$50{\AA}/s$ for magnetic multi-layer structures using$Cl_2$ /Ar plasmas is proposed. However, the chlorinated etch residues on the sidewalls of the etched features tend to severely corrode the magnetic material. Besides avoiding corrosion, during etching facets format the sidewalls of the mask due to physical sputtering of the mask material. Therefore, in this work, magnetic material such as CoFeB was etched in an ICP etching system using the gases which can be expected to form volatile metallo-organic compounds. As the gases, carbon monoxide (CO) and ammonia ($NH_3$ ) were used as etching gases to form carbonyl volatiles, and the etched features of CoFeB thin films under by Ta masking material were observed with electron microscopy to confirm etched resolution. And the etch conditions such as bias power, gas combination flow, process pressure, and source power were varied to find out and control the properties of magnetic layer during the process. -
유기발광소자(organic light-emitting diodes, OLEDs)는 저공정비용, 경량화, 가용성 및 대면적화 등의 장점으로 조명 분야와 디스플레이 분야로의 응용 가능성으로 인해 크게 주목을 받아 왔다. 이러한 OLED 소자의 고효율, 고휘도 및 저소비전력 등을 구현하기 위해서는 전극으로부터 전하 주입 층으로 효율적인 전하 주입이 요구된다. 즉, 각 전극의 폐르미 준위로부터 전하 전도준위대로의 전하주입 장벽이 없어야 한다. 본 연구에서는 홀 주입장벽이 없는 정공주입 층으로
$MoO_x$ (molybdenum oxide)가 도핑된 NPB(N, N'-diphenyl-N, N'-bis(1-naphthyl)-1,1'-biphenyl-4,4'-diamine) 층을 사용하여 hole-only 소자를 제작하고 전류-전압 특성을 통해 양극으로부터 홀주입 층으로의 hole-ohmic 특성을 고찰했다. 또한, 전자 주입장벽이 없는 전자주입 층으로$C_{60}$ (fullerene)/LiF(lithum fluoride)의 이종 층을 사용하여 electron-only 소자를 제작하고 음극으로부터 전자주입 층으로의 전자 ohmic 특성을 조사했다. 또한, 전극으로부터 전하주입 층으로 ohmic 특성을 더 자세히 이해하기 위하여 전하주입 층의 자외선 광방출 스펙트럼(ultraviolet photoemission spectra)을 조사했다. 한편, glass/ITO/$MoO_x$ -doped NPB (x%: x=0,25, 50 및 75; 5nm)/NPB (63nm)/$Alq_3$ (37nm)/$C_{60}$ (5nm)/LiF (1nm)/Al (100nm)로 구성된 all-ohmic OLED 소자의 발광특성은$MoO_x$ 의 도핑 농도가 25%이상일 때 최적의 특성을 보여줬다. 이러한 현상은 정공주입 층에서 p형 도핑 농도의 증가에 따른 정공 농도의 증가에 기인한다. 또한$MoO_x$ 의 도핑 농도의 증가에 따라 정공주입 층의 new gap state와 전극의 페르미 준위의 pinning에 기인한다. 25%의$MoO_x$ 을 가진 OLED소자는 7.2V의 낮은 전압에서$58300 cd/m^2$ 의 높은 휘도를 보여줬다. -
Recently, field emission emitters made by carbon nanotubes(CNTs) and ink-jet method have been extensively studied due to their low cost, little limitation of size and a high resolution. When CNT emitters are operated, a few highly protruded ones generate most of the emission currents that cause spatial nonuniformity. In this study, we applied selective oxidation to solve this problem on ink-jet printed CNT emitters. Consequently, O2 exposures to field emitting CNT arrays give rise to a permanent damage selectively on the highly emitting CNTs. In spite of turn-on field increase, emission images was showed remarkably uniform after oxygen trimming.
-
최근들어 80인치 이상의 대경 고화질 display 및 휴대용 projection display 제작이 가능한 LCoS (Liquid Crystal on Silicon) display에 대한 관심이 높아지고 있다. LCoS projection display는 높은 개구율, 빠른 응답속도, 고화질, 대형 디스플레이 임에도 불구하고 낮은 제조단가 등의 여러 가지 장점을 가지고 있다. LCoS projection display의 핵심 기술로는 높은 투과도와 낮은 반사율을 갖는 유리기판, 무기 배향막 증착 기술, Si back plane과의 접합기술 등이 있다. 이 중 LCoS projection display 제작을 위한 첫 단계인 유리기판은 가시광선 영역에서 96% 이상의 높은 투과도와 3% 미만의 반사도를 요구하는 기술을 필요로 한다. 본 연구에서는 indium이 doping된 tin oxide (ITO)를 투명 전도성막으로 사용하고,
$SiO_2/MgF_2$ 이중 박막을 반사방지막으로 채택하여 고투과도 및 저반사율을 갖는 유리기판 제조에 응용하였다. 먼저 15nm 두께의 ITO 박막을 DC sputtering을 이용하여 8-inch 크기의 corning1737 유리기판 상에 증착한 후, 그 반대편에 e-beam evaporation 장비를 사용하여 120nm 두께의 반사 방지막을 증착하였다. 또한 유리기판 상에 증착된 투명 전도성막의 표면개질을 위하여 Ar plasma를 이용하여 treatment를 수행하였다. 이 때 sputtering 조건은 DC power, Ar 유량 및 압력을 조절함으로서 높은 투과도를 갖는 최적의 조건을 구현하였고, e-beam evaporation을 이용한 반사방지막 증착 조건은$SiO_2$ 와$MgF_2$ 의 계면에서 빛의 반사를 최소화할 수 있는 최적의 조건을 구현하였다. 제작된 유리기판은 가시광선 영역에서 97% 이상의 투과도를 보였으며, 최대 2.8%의 반사율을 보여, LCoS display 제작에 적합함을 확인할 수 있었다. 또한 Ar plasma 처리 후 ITO 박막의 면저항 값은$100\;{\omega}/{\Box}$ , 표면 거칠기는 rms 값 기준 0.095nm, 접촉각$20.8^{\circ}$ 의 특성을 보여, 타 index matched transparent conducting oxide가 coating된 유리기판에 비해 우수한 특성을 보였다. -
차세대 디스플레이로서 주목 받고 있는 유연성 정보표시 소자 개발에 대한 요구도가 날로 증대되고 있다. 유연성 정보표시 소자로서 플라스틱 기반 유연성 소자가 특히 주목 받고 있으나, 이의 실용화를 위해서는 플라스틱 기판에 적용 가능한 보호막 형성 기술 개발이 선행되어야 한다. 플라스틱 필름의 경우 높은 산소 및 수분 투과율 때문에 유연성 디스플레이의 응용에 걸림돌이 되고 있다. 플라스틱 기반 유연성 소자의 장수명화를 위해서는 수분과 산소의 투과를 방지하는 passivation layer 형성 기술이 필수적으로 요구된다. 본 연구에서는, polyethylene terephethalate (PET) 기판상에 증착된
$SiO_x$ 보호막의 합성에 있어서 중간층 유무에 따른 투습특성의 변화를 살펴보았다. 기화된 HMDSO (Hexamethyldisiloxane)와 Ar 및$O_2$ 혼합기체를 이용하여 PECVD 방법으로$SiO_x$ 박막을 합성하였다. 15 nm 두께의$Al_2O_3$ 를 중간층으로 사용하여 중간층 유무에 따른 초기성장 거동 변화가$SiO_x$ 박막의 투습 특성에 미치는 영향을 조사하였다.$SiO_x$ 박막 구조와 화학적 조성은 각각 FE-SEM과 FT-IR을 이용하여 분석하였으며, AFM을 이용하여$SiO_x$ 박막 표면 미세 형상을 관찰하였다. 투습률은 MOCON사(社)의 Permatran-W 3/33 MA을 이용하여 측정하였다. 그리고 반복 굽힘 시험기를 이용하여$SiO_x$ 보호막의 동적 투습 특성을 조사하였다.$Al_2O_3$ 중간층 유무에 따라$SiO_x$ 박막의 투습률 (WVTR; water vapor transmission rate)은${\sim}10^{-1}g/m^2/day$ (300 nm-thick$SiO_x$ /PET)에서${\sim}5{\times}10^{-3}g/m^2/day$ (300 nm-thick$SiO_x$ /15 nm-thick$Al_2O_3$ /PET)으로 변화하였다. 300 nm-thick$SiO_x$ /15 nm-thick$Al_2O_3$ /PET 시편의 경우 곡지름 50 mm에서 1,000회 반복 굽힘 후에도 투습률 변화를 보이지 않았다. 이와 같은$SiO_x$ 박막의 투습 특성 변화는$Al_2O_3$ 중간층 유무에 따른 초기 성장 거동의 변화로 해석된다. FE-SEM 및 AFM 표면 미세 구조 관찰을 통한 초기 성장 거동 변화 조사 결과,$Al_2O_3$ 중간층 없이 PET 기판위에$SiO_x$ 박막 증착한 경우 3 차원 성장을 하는 반면, PET기판위에$Al_2O_3$ 중간층 형성 후$SiO_x$ 박막 증착하는 경우 2 차원 성장을 하게 됨을 관찰하였다. 따라서 본 연구를 통하여, 플라스틱 기반 유연성 표시 소자에 적용하기 위한$SiO_x$ 보호막 합성 에 있어서 초기 성장 거동의 변화가 투습 특성에 민감한 영향을 미침을 알 수 있었다. -
In this study, zinc germanate (
$Zn_2GeO_4$ ) thin films has been synthesized by using radio frequency magnetron sputtering and the divalent manganese-activated luminescence was characterized. X-ray diffraction patterns of the as-deposited$Zn_2GeO_4$ :Mn films showed only a broad feature, indicative of an amorphous structure. Scanning electron microscopy images revealed that the as-deposited$Zn_2GeO_4$ :Mn has a smooth surface morphology. The$Zn_2GeO_4$ :Mn films were found to be crystallized by annealing in air ambient at temperatures as low as$700^{\circ}C$ . The annealed$Zn_2GeO_4$ :Mn possessed a rhombohedral polycrystalline structure. The broad-band photoluminescent emission spectrum from 470 to 650nm was obtained at room temperature from the$Zn_2GeO_4$ :Mn films. The emission peak was centered at around 535nm in the green range, which originates from the intrashell transition of manganese$3d^5$ electrons from$^4T_1$ excited-state level to the$^6A_1$ ground state. The PL emission spectrum had an asymmetric line shape, which results from the$^3d_5$ electron transitions of divalent manganese ions located at different sites of the zinc germanate host crystal lattice. Electroluminescent devices were fabricated using$Zn_2GeO_4$ :Mn as an emission layer. The fabricated devices showed a green EL emission similar to the PL emission. The CIE chromaticity color coordinates of the EL emission were determined to be x=0.308 and y=0.657. -
In order to achieve a high efficient a-Si solar cell, the TCO (transparent conductive oxide) substrates are required to be a low sheet resistivity, a high transparency, and a textured surface with light trapping effect. Recently, a zinc oxide (ZnO) thin film attracts our attention as new coating material having a good transparent and conductive for TCO of solar cells. In this paper the optical properties of
$H_2$ post-treated BZO (boron doped ZnO, ZnO:B) thin film are investigated with$O_2$ -plasma treatment. The BZO thin films by MOCVD (Metal Organic Chemical Vapor Deposition) are investigated and the samples of$H_2$ post-treated BZO thin film are tested with$O_2$ -plasma treatment by plasma treatment system with 13.56 MHz as RIE (Reactive Ion Etching) type. We measured the optical properties and surface morphology of BZO thin film with and without$O_2$ -plasma treatment. The optical properties such as transmittance, reflectance and haze are measured with integrating sphere and ellipsometer. This result of the BZO thin film with and without$O_2$ -plasma treatment is application to the TCO for solar cells. -
차세대 디스플레이로 널리 알려져 있는 플렉서블 디스플레이는 휴대하기 쉽고, 깨지지 않으며, 변형이 자유로워 현재 우리 사회에 크게 주목받고 있다. 플렉서블 디스플레이의 구현을 위해서는 기존의 유리 기반 디스플레이 소자 기술에 더하여 플렉서블 기판소재에 적용 가능한 투명전도막 기술의 확립이 필요하다. 디스플레이 산업에서 주로 사용되는 투명전도막은 ITO (indium tin oxide) 및 IZO (indium zinc oxide)와 같은 투명전도성 산화물 박막 (TCO, transparent conducting oxide)이다. 그런데 플라스틱 기판이 굽힘 환경에 놓이게 되면 그 위에 증착된 산화물 박막이 쉽게 파손될 수 있다. 따라서 플렉서블 디스플레이 기술에 있어서 변형에 따른 TCO 박막의 파괴 거동에 대한 연구가 필수적이다. 본 연구에서는 PET (polyethylene terephthalate) 기판 상에 증착된 IZO 박막의 반복 굽힘 시 계면구조 변화에 따른 파괴거동을 조사하였다. 플라스틱 기판의 사용을 위해서는 산소 및 수분의 투과 방지막이 필요하며 본 연구에서는 투과 방지막 (또는 보호막)으로서
$SiO_x$ 박막을 적용하였다. IZO 박막은$In_2O_3$ - 10 wt% ZnO 타겟을 사용하여 RF magnetron sputtering법으로$100^{\circ}C$ 미만에서 저온 증착하였다. 보호막으로 사용되는$SiO_x$ 박막은 HMDSO (hexamethyldisiloxane)와 Ar 및$O_2$ 혼합기체를 이용하는 PECVD 방법으로 합성하였다. 변형에 따른 TCO 박막의 파괴 거동을 조사하기 위하여 반복 굽힘 시험 (cyclic- bending test)을 실시하였다. 반복 굽힘 시험 중 실시간으로 IZO 박막의 전기저항 변화를 측정하여 박막의 파괴 거동을 모니터링 하였다. 시편 A (135 nm-thick IZO/PET), B (135 nm-thick IZO/ 90 nm-thick$SiO_x$ /PET), C (135nm-thick IZO/ 300 nm-thick$SiO_x$ /PET)에 대하여 곡지름 35mm, 1000회 반복 굽힘을 실시하여 변형 중의 전기저항 변화를 조사하였다. 그리고 굽힘 시험 완료 후, FE-SEM을 이용한 시편 표면형상 관찰을 통하여 균열생성 정도를 관찰하였다. 반복 굽힘 시험 결과, A 와 C 시편의 경우, 각각 반복 굽힘 20회, 550회에서 급격한 전기저항의 증가가 관찰되었다. 그러나 B 시편의 경우, 1000회 반복 굽힘 후에도 전기저항의 변화는 나타나지 않았다. 이와 같이 반복 굽힘에 의한 IZO 박막의 파괴 거동 변화는 IZO 박막과 기판의 계면구조변화에 기인한 것으로 해석된다. IZO 박막과 기판의 계면에$SiO_x$ 층을 삽입함으로써 계면 접합강도가 향상되었을 것으로 추측된다. 따라서 변형에 대한 파괴 저항 특성이 우수한 투명전도성 산화물 박막의 형성을 위해서는 적절한 계면구조 제어를 통한 계면 접합 특성의 향상이 필요하다. -
CMOS 소자가 서브마이크론(
$0.1\;{\mu}m$ ) 이하로 스케일다운 되면서 단채널 효과(short channel effect), 게이트 산화막(gate oxide)의 누설전류(leakage current)의 증가와 높은 직렬저항(series resistance) 등의 문제가 발생한다. CMOS 소자의 구동전류(drive current)를 높이고, 단채널 효과를 줄이기 위한 가장 효율적인 방법은 소스 및 드레인의 얕은 접합(shallow junction) 형성과 직렬 저항을 줄이는 것이다. 플라즈마 도핑 방법은 플라즈마 밀도 컨트롤, 주입 바이어스 전압 조절 등을 통해 저 에너지 이온주입법보다 기판 손상 및 표면 결함의 생성을 억제하면서 고농도로 얕은 접합을 형성할 수 있다. 그리고 얕은 접합을 형성하기 위해 주입된 불순물의 활성화와 확산을 위해 후속 열처리 공정은 높은 온도에서 짧은 시간 열처리하여 불순물 물질의 활성화를 높여주면서 열처리로 인한 접합 깊이를 얕게 해야 한다. 그러나 접합의 깊이가 줄어듦에 따라서 소스 및 드레인의 표면 저항(sheet resistance)과 접촉저항(contact resistance)이 급격하게 증가하는 문제점이 있다. 이러한 표면저항과 접촉저항을 줄이기 위한 방안으로 실리사이드 박막(silicide thin film)을 형성하는 방법이 사용되고 있다. 본 논문에서는 (100) p-type 웨이퍼 He(90 %) 가스로 희석된$PH_3$ (10 %) 가스를 사용하여 플라즈마 도핑을 실시하였다. 10 mTorr의 압력에서 200 W RF 파워를 인가하여 플라즈마를 생성하였고 도핑은 바이어스 전압 -1 kV에서 60 초 동안 실시하였다. 얕은 접합을 형성하기 위한 불순물의 활성화는 ArF(193 nm) excimer laser를 통해$460\;mJ/cm^2$ 의 에니지로 열처리를 실시하였다. 그리고 낮은 접촉비저항과 표면저항을 얻기 위해 metal sputter를 통해 TiN/Ti를$800/400\;{\AA}$ 증착하고 metal RTP를 사용하여 실리사이드 형성 온도를$650{\sim}800^{\circ}C$ 까지 60 초 동안 열처리를 실시하여$TiSi_2$ 박막을 형성하였다. 그리고$TiSi_2$ 의 두께를 측정하기 위해 TEM(Transmission Electron Microscopy)을 측정하였다. 화학적 결합상태를 분석하기 위해 XPS(X-ray photoelectronic)와 XRD(X-ray diffraction)를 측정하였다. 접촉비저항, 접촉저항과 표면저항을 분석하기 위해 TLM(Transfer Length Method) 패턴을 제작하여 I-V 특성을 측정하였다. TEM 측정결과$TiSi_2$ 의 두께는 약$580{\AA}$ 정도이고 morphology는 안정적이고 실리사이드 집괴 현상은 발견되지 않았다. XPS와 XRD 분석결과 실리사이드 형성 온도가$700^{\circ}C$ 에서 C54 형태의$TiSi_2$ 박막이 형성되었고 가장 낮은 접촉비저항과 접촉저항 값을 가진다. -
Flexible organic light emitting diodes (F-OLEDs) requires excellent moisture permeation barriers to minimize the degradation of the F-OLEDs device. Specifically, F-OLEDs device need a barrier layer that transmits less than
$10^{-6}g/m^2/day$ of water and$10^{-5}g/m^2/day$ of oxygen. To increase the life time of F-OLEDs, therefore, it is indispensable to protect the organic materials from water and oxygen. Severe groups have reported on multi-layerd barriers consisting inorganic thin films deposited by plasma enhenced chemical deposition (PECVD) or sputtering. However, it is difficult to control the formation of granular-type morphology and microscopic pinholes in PECVD and sputtering. On the contrary, atomic layer deoposition (ALD) is free of pinhole, highly uniform, conformal films and show good step coverage. Thus,$Al_2O_3/TiO_2$ multi-layer was deposited onto the polyethersulfon (PES) substrate by electron cyclotron resonance atomic layer deposition (ECR-ALD), and the water vapor transmission rates (WVTR) were measured. WVTR of moisture permeation barriers is dependent upon density of films and initial state of polymer surface. A significant reduction of WVTR was achieved by increasing density of films and by applying low plasma induced interlayer on the PES substrate. In order to minimize damage of polymer surface, a 10 nm thick$TiO_2$ was deposited on PES prior to a$Al_2O_3$ ECR-ALD process. High quality barriers were developed from$Al_2O_3$ barriers on the$TiO_2$ interlayer. WVTR of$Al_2O_3$ by introducing$TiO_2$ interlayer was recorded in the range of$10^{-3}g/m^2.day$ at$38^{\circ}C$ and 100% relative humidity using a MOCON instrument. The WVTR was two orders of magnitude smaller than$Al_2O_3$ barriers directly grown on PES substrate without the$TiO_2$ interlayer. Thus, we can consider that the$Al_2O_3/TiO_2$ multi-layer passivation can be one of the most suitable F-OLEDs passivation films. -
The process window for the etch selectivity of silicon nitride (
$Si_3N_4$ ) layers to extreme ultra-violet (EUV) resist and variation of line edge roughness (LER) of EUV resist were investigated durin getching of$Si_3N_4$ /EUV resist structure in a dual-frequency superimposed capacitive coupled plasma (DFS-CCP) etcher by varying the process parameters, such as the$CH_2F_2$ and$N_2$ gas flow rate in$CH_2F_2/N_2$ /Ar plasma. The$CH_2F_2$ and$N_2$ flow rate was found to play a critical role in determining the process window for infinite etch selectivity of$Si_3N_4$ /EUV resist, due to disproportionate changes in the degree of polymerization on$Si_3N_4$ and EUV resist surfaces. The preferential chemical reaction between hydrogen and carbon in the hydrofluorocarbon ($CH_xF_y$ ) polymer layer and the nitrogen and oxygen on the$Si_3N_4$ , presumably leading to the formation of HCN, CO, and$CO_2$ etch by-products, results in a smaller steady-state hydrofluorocarbon thickness on$Si_3N_4$ and, in turn, in continuous$Si_3N_4$ etching due to enhanced$SiF_4$ formation, while the$CH_xF_y$ layer is deposited on the EUV resist surface. Also critical dimension (and line edge roughness) tend to decrease with increasing$N_2$ flow rate due to decreased degree of polymerization. -
대면적 마그네트론 스퍼터링 캐소드를 이용하여 고효율 스퍼터링을 실현하기 위해서는 진공 상태에서 하전입자의 손실을 최소화하여 플라즈마 내에 많은 입자를 구속하는 기술이 요구된다. 본 연구에서는 고효율 특성을 갖는 대면적 캐소드(
$127mm{\times}900mm$ ) 설계를 위해 유한요소법(Finite Element Method) 수치해석 알고리즘을 이용한 3차원 전자장(Magnetostatic) 시뮬레이션 툴을 이용하여 최적화된 캐소드를 설계하였다. 캐소드 타겟 배면에 생성되는 자기장의 3차원 특성 해석을 통해 타겟효율에 가장 큰 영향을 미치는 자속밀도의 관계를 분석하였다. 고효율 캐소드 구조 설계를 위해서는 타겟 배면에 평행한 자속밀도의 분포를 최대한 확보를 것이 매우 중요하다. 이러한 특성을 확보하기 위하여 캐소드 내부에 장착되는 자석 크기 및 특성에 따른 자속밀도 특성을 해석하였다. 개발된 마그네트론 캐소드에 Si 타겟을 장착하였다. 캐소드 특성 평가를 위해 Ar 분위기 및$O_2$ 를 동시에 인가하여 Si 및$SiO_2$ 박막을 유리기판에 코팅하였다. 코팅된 박막의 특성 평가는 결정구조와 두께에 따른 투과율 및 반사율 측정을 수행하였다. Si 박막의 경우, 갈색의 코팅막을 형성하였으며,$SiO_2$ 의 경우, 투명한 박막으로 증착되었고 조성분석(EDXS)에 의해$SiO_2$ 로 잘 코팅되었음을 확인할 수 있었다. 그리고,$SiO_2$ 가 코팅된 막의 투과율은 유리기판에 비해 1% 정도 향상되었음을 확인할 수 있었다. 마그네트론 캐소드 성능은 Si 타겟의 erosion 형상 분석과 3차원 유한요소법 프로그램을 이용한 자기장 분석을 통해 비교 분석하였다. -
단결정 수정은 높은 자외선(UV) 투과성, 화학정 내성, 압전성 등의 특성을 가지고 있으며, 이로 인해 UV 나노임프린트 리소그래피의 스탬프, 광학 리소그래피의 마스크, MEMS 능동소자 등의 다양한 분야에 응용되고 있다. 단결정 수정의 응용분야를 넓히기 위해서 수정과 수정을 접합하는 것은 매우 유용하다. 수정과 수정의 접합은 무결정 유리, 금속등의 중간층을 이용한 접합이 소개되었으나, 접합 시 접합 계면의 평평도가 낮아 지거나, 중간 금속층의 내화학성이 낮은 단점이 있다[1,2]. 이를 극복하기 위해 중간층을 사용하지 않고, 습식 화학적 에칭을 통한 수정-수정의 직접 접합 방법이 소개되었다[3]. 이 방법은 UV 투과성과 내화학성이 높은 접합을 형성할 수 있으나 500도씨 이상의 고온의 어닐링이 필요한 단점이 있다. 본 연구에서는 플라즈마를 이용하여 저온(200도씨)에서 수정-수정의 직접 접합을 형성하였다. 플라즈마 처리를 통해 수정-수정 직접 접합의 접합 강도가 향상되는 것을 확인하였다. 플라즈마 시간과 수정의 표면 거칠기가 접합 강도에 미치는 영향을 분석하였다. 이 방법을 이용하여 나노 임프린트 리소그래피용 스탬프를 제작하였으며, 성공적으로 나노임프린트를 수행하였다. 이 방법은 MEMS 능동 소자 제작, UV 나노임프린트 리소그래피 스탬프 등 다층 수정구조 제작에 등에 응용될 것으로 기대된다.
-
For a low dielectric constant inter-metal dielectric application, the low-k SiCOH film with a dielectric constant of 2.8-3.2 has been deposited by plasma-enhanced chemical vapor deposition with decamethylcyclopentasiloxane, cyclohexane, and helium which is carrier gas. In this work, we investigated chemical deposition rate, dielectric constant, characterization of plasma polymer films according to temperature(25C-200C) of substrate and change of component concentration. We measured impedance by using V-I prove during process. From experimental result, deposition rate decrease with increasing temperature. Through real time impedance analysis of chamber, we find corelation between film thickness and impedance by assuming equivalent circuit.
-
유리기판상에 제작된 비휘발성 메모리의 블로킹 층으로 Al2O3 박막을 일반적으로 널리 사용되는 SiO2 대신 사용한 연구이다. 기본적인 Al2O3 박막의 특성을 확인하기 위해 MIS 형태의 구조를 제작한 후 전기적 특성을 확인하였으며, 또한 유리기판상에 제작하기 전 실리콘 웨이퍼상에 실제 제작할 비휘발성 메모리 소자를 제작하여 특성을 확인하였다. 마지막으로 거친 표면을 가진 LTPS 유리기판상에 제작하였으며, 이에 대한 전기적 특성을 확인하였다. 여러 특성 중 retention 특성의 경우 10년 후 약 45% 이상으로 디스플레이 장치에 충분히 사용될 수 있다.
-
고휘도 고효율 백색 LED (lighting emitting diode)가 차세대 조명광원으로 급부상하고 있다. 백색 LED를 생산하기 위한 공정에서 MOCVD (유기금속화학증착)장비를 이용한 Epi wafer공정은 에피층과 기판의 격자상수 차이와 열팽창계수차이로 인하여 생성되는 에피결함의 제거를 위하여 기판과 GaN 박막층 사이에 완충작용을 해줄 수 있는 버퍼층 (Buffer layer)을 만들고 그 위에 InGaN/GaN MQW (Multi Quantum Well)공정을 하여 고휘도 고효율 백색 LED를 구현할 수 있다. 이 공정에서 기판의 온도가 불균일해지면 wafer 파장 균일도가 나빠지므로 백색 LED의 yield가 떨어진다. 균일한 기판 온도를 갖기 위한 조건으로 기판과 induction heater의 간격, 가스의 흐름, 기판의 회전, 유도가열코일의 디자인 등이 장비의 설계 요소이다. 코일에 교류전류를 흘려주면 이 코일 안 또는 근처에 있는 도전체에 와전류가 유도되어 가열되는 유도가열 방식은 가열 효율이 높아 경제적이고, 온도에 대한 신속한 응답성으로 인하여 열 손실을 줄일 수 있으며, 출력 온도 제어의 용이성 및 배출 가스 등의 오염 없다는 장점이 있다. 본 연구에서는 유도가열방식의 induction heater를 이용하여 회전에 의한 기판의 온도 균일도 측정을 하였다. 기초 실험으로 저항 가열 히터를 통하여 대류에 의한 온도 균일도를 평가하였다. 그 결과 gap이 3 mm일 때, 평균 온도
$166.5^{\circ}C$ 에서 불균일도 6.5 %를 얻었으며 이를 바탕으로 induction heater와 graphite susceptor의 간격이 3 mm일 때, 회전에 의한 온도 균일도를 측정을 하였다. 가열원은 induction heater (viewtong, VT-180C2)를 사용하였고, 가열된 graphite 표면의 온도를 2차원적으로 평가하기 위하여 적외선 열화상 카메라(Fluke, Ti-10)을 이용하여 온도를 측정하였다. 기판을 회전하면서 표면 온도의 평균과 표준 편차를 측정한 결과 2.5 RPM일 때 평균온도$163^{\circ}C$ 에서 가장 좋은 5.5 %의 불균일도를 확인할 수 있었고, 이를 상용화 전산 유체 역학 코드인 CFD-ACE+의 모델링 결과와 비교 분석 하였다. -
A few years ago, for maintaining high stability and production yield of production equipment in a semiconductor fab, on-line monitoring of wafers is required, so that semiconductor manufacturers are investigating a software based process controlling scheme known as virtual metrology (VM). As semiconductor technology develops, the cost of fabrication tool/facility has reached its budget limit, and reducing metrology cost can obviously help to keep semiconductor manufacturing cost. By virtue of prediction, VM enables wafer-level control (or even down to site level), reduces within-lot variability, and increases process capability,
$C_{pk}$ . In this research, we have practiced VM on$SiO_2$ etch rate with optical emission spectroscopy(OES) data acquired in-situ while the process parameters are simultaneously correlated. To build process model of$SiO_2$ via, we first performed a series of etch runs according to the statistically designed experiment, called design of experiments (DOE). OES data are automatically logged with etch rate, and some OES spectra that correlated with$SiO_2$ etch rate is selected. Once the feature of OES data is selected, the preprocessed OES spectra is then used for in-situ sensor based VM modeling. ICP-RIE using 葰.56MHz, manufactured by Plasmart, Ltd. is employed in this experiment, and single fiber-optic attached for in-situ OES data acquisition. Before applying statistical feature selection, empirical feature selection of OES data is initially performed in order not to fall in a statistical misleading, which causes from random noise or large variation of insignificantly correlated responses with process itself. The accuracy of the proposed VM is still need to be developed in order to successfully replace the existing metrology, but it is no doubt that VM can support engineering decision of "go or not go" in the consecutive processing step. -
Cut off probe, the efficient method, can measure the plasma parameters like the plasma electron density and the electron temperature. Plasma potential is also one of the important parameters in plasma processing but cannot be measured by cut off probe yet. Thus we developed method to measure plasma potential by focusing on relation between bias on a tip and sheath around tip. The system consist of a ICP(Inductive Coupled Plasma) source, a Network analyzer and a bias tee that can be bridge apply DC voltage on the cut off probe tip. Plasma potential is identified by using this system. The results corresponded well with the measured results by single langmuir probe(SLP).
-
유도결합형플라즈마(ICP)에서 부유 랑뮤어 탐침에 정현파, 톱니파, 사각파, 삼각파 형태의 전압 파형들을 쉬스에 인가하였을 때, 탐침으로 들어오는 고조파전류들의 특성을 넓은 범위에서 연구 하였다. 탐침으로 들어오는 플라즈마전류파형 및 기생전류파형의 모양과 고조파전류들에 대해 고찰하였고, 각각의 전압파형을 인가하였을 때 다양한 압력과 파워조건에서 부유 랑뮤어 탐침법을 이용하여 전자온도와 플라즈마 밀도를 측정하고, 측정결과는 싱글 랑뮤어 탐침법과 비교하였다. 정현파, 삼각파는 싱글 랑뮤어 탐침법과 잘 일치하는 결과를 보였고, 톱니파는 실험상의 오차로 정확한 측정이 안 되었으며, 사각파는 전류파형의 과도현상으로 인해 측정이 안되었다. 그 외에 기존의 부유 랑뮤어 탐침법과 다르게 부유전위의 변화와 제 1 고조파들의 비를 이용해서 전자온도를 구하는 방법도 소개한다.
-
조립된 KAERI ECR(Electron Cyclotron Resonance Ion Source) 이온원의 중요한 성능을 결정하는 ECR 챔버 내의 자장구조를 3차원 가우스 메타를 이용하여 측정하였다. ECR 이온원의 자장은 축방향 (빔인출 방향) 자장 Bz와 반경방향 자장 Br (Bx, By)로 이루어지는 데, KAERI에서 개발한 ECR 이온원의 경우 Bz는 요크 구조체들을 포함한 3개의 전자석들에 의해 만들어지고, Br은 영구자석들로 구성된 헥사폴에 의해 만들어진다. 헥사폴에 의한 자장은 ECR 챔버 벽(R=34 mm)의 위치에서 최대 값을 측정하여 계산결과와 비교하였고, 챔버 내부 R=30 mm 위치에서 축방향과 반경방향의 자장구조를 측정하였다. 전자석 만에 의한 자장은 헥사폴 결합 요크와 챔버 내의 요크를 제거한 상태에서 자장을 측정하여 계산된 결과와 비교하였다. 전자석과 헥사폴에 의한 통합 자장구조는 ECR 챔버와 챔버 내의 요크 구조물을 제거한 상태로 R=30mm 위치에서 전자석의 정격전류에 의한 자장구조를 측정하였고, 최종적으로 이온원 자석구조물들을 모두 장착한 상태에서 축 중심(R=0mm)에서의 축방향 자장 값들을 측정하여 설계한 값과 비교하였다.
-
유도 결합 플라즈마에서 기체 유량에 따른 전자 에너지 분포 측정과 그에 따른 플라즈마 밀도와 전자 온도의 변화를 관찰하였다. 기체 압력 제어는 조임 밸브 (Throttle valve) 부근의 압력측정을 통한 조임 밸브 조절 방법을 이용하였으며, 이 방법은 공정 플라즈마에서 널리 쓰이는 압력 조절법이다. 낮은 기체 유량에서 측정된 전자 에너지 분포는 두 개의 온도 그룹을 갖는 bi-Maxwellian 분포를 보였다. 하지만, 기체 유량이 증가함에 따라서 전자 에너지 분포는 Maxwellian 분포로 전이를 하였으며, 플라즈마 밀도의 증가와 전자 온도의 감소를 보였다. 이러한 분포 함수의 변화는 기체 압력이 증가함에 따라 나타나는 전자 가열 모드 전이 현상과 일치하였으며, 이는 압력 조절부와 방전 공간 사이의 압력 구배에 의한 것으로 여겨진다. 이러한 결과는 방전 공간과 압력 조절부에서의 기체 압력 측정을 통하여 검증되었으며, 간단한 유체 모델을 통하여 설명될 수 있다.
-
공정 플라즈마에서 가장 중요한 요소 중 하나는 챔버 내 균일도 제어이다. 챔버 내 플라즈마 상태가 공간적으로 불균일한 경우 과에칭, 미증착 등의 문제가 웨이퍼의 특정 영역에 나타나게 되어 공정 수율이 감소된다. 이 연구에서는 2차원 평면 탐침을 챔버 내에 삽입하여 플라즈마 전자온도, 밀도, 이온 전류량 등의 상태변수를 측정 가능한 방법을 연구하였다. 기존의 2차원 평면 탐침과 달리, 측정 회로와 계산 모듈을 모두 삽입하여 외부의 컨트롤러가 필요 없어 반도체나 디스플레이의 플라즈마 공정의 사이사이에 삽입되어서 플라즈마 상태변수를 측정할 수 있는 장점을 가지고 있다. 본 임베디드 2차원 평면 탐침은 측정회로가 외부와 단절되어 전기적으로 절연되어 있어, 측정 방법으로 이중 탐침법을 응용하였다. 이중탐침에 정현파 전압을 인가하고 이 경우 들어오는 전류의 제 1 고조파와 제 3 고조파를 크기를 측정하는 방법으로 플라즈마 변수 계산이 가능하다. 이 측정 방법은 플라즈마 공정에서 쉽게 관찰할 수 없었던 공간적인 상태변수의 분포를 알 수 있고 플라즈마 균일도 제어에 기여할 수 있을 것이다.
-
Negative ions are generated in fusion edge plasmas, material processing plasmas, ionospheric plasmas. Analytic formulas for the deduction of the absolute density of negative ions was given by using the current-voltage(IV) characteristics of two electric probes at two different pressures [1], and negative ion density has been measured by one electric probe using the current-voltage characteristics of three different pressures [2]. Ratios of ion and electron saturation currents and electron temperatures and sheath areas of different pressures are usually incorporated into two equations with two unknowns for the negative ion density. In the previous publications, the sheath factor(sheath area, sheath density, sheath velocity) and effective masses of background ions with different pressures are qualitatively incorporated for the deduction of negative density. In this presentation, the quantitative and detailed relation of negative ion density with sheath factor and effective masses are going to be given. The effect of these parameters on the change of IV characteristics will be addressed.
-
대면적 디스플레이나 태양전지를 만들기 위해 식각 공정에 주로 이용되는 capacitively coupled plasma 장비의 크기에 대한 관심이 높아지고 있다. 특히, RF power를 사용함에 따라 높은 주파수로 올라갈수록 전극에 발생하는 standing wave effect로 인해 챔버 안의 전자기장의 세기가 균일하지 않고 그로 인해 plasma의 밀도 역시 균일하지 않다.[1] 이러한 plasma의 non-uniformity를 전극에 들어가는 power의 feeding 방법을 바꿔 가면서 해결해 보려고 하였다. (
$0.48\;m\;{\times}\;0.48\;m$ )크기의 사각전극과 50 MHz의 RF power를 사용하였다. plasma의 분포는 ion probe를 통해 살펴 보았다. -
The tomography has played a key role in tokamak plasma diagnostics for image reconstruction. The Phillips-Tikhonov (P-T) regularization method was attempted in this work to reconstruct cross-sectional phantom images of the plasma by minimizing the gradient between adjacent pixel data. Recent studies about the comparison of the several tomographic reconstruction methods showed that the P-T method produced more accurate results. We have studied existing Laplacian matrix used in Phillips-Tikhonov regularization method and developed modified Laplacian matrix (Modified L). The comparison of the reconstruction result by the modified L and existing L showed that modified L produced more accurate result. The difference was significantly pronounced when a portion of plasma was reconstructed. These results can be utilized in the Edge Plasma diagnostics; especially in divertor diagnostics on tokamak a large impact is expected. In addition, accurate reconstruction results from received data in only one direction were confirmed through phantom test by using P-T method with modified L. These results can be applied to the tangentially viewing pin-hole camera diagnostics on tokamak.
-
Plasma is widely used in various commercial etchers and chemical vapor deposition. Unfortunately, real-time plasma process monitoring is still difficult. Some methods of plasma diagnosis is improved, however, it is possible for real-time plasma diagnosis to use non-intrusive probe only. In this research, the object is to investigate the suitability of using impedance analysis and optical emission spectroscopy (OES) for real-time plasma process monitoring. It is assumed that plasma system is a equivalent circuit. Therefore, V-I probe is used for measuring impedance, which can be a new non-intrusive probe for plasma diagnosis. From impedance data, we tried to analyse physical properties of plasma. And OES, the other method of plasma diagnosis, is a typical non-intrusive probe for analyzing chemical properties. The amount of the OES data is typically large, so this poses a difficulty in extracting relevant information. To solve this problem, principal component analysis (PCA) can be used. For fundamental information, Ar plasma and
$O_2$ plasma are used in this experiment. This method can be applied to real-time endpoint and fault detections. -
현재 반도체시장의 확장으로 인해서 기존의 300mm 웨이퍼에서 450mm의 웨이퍼를 사용하는 공정으로 변화하는 추세이다. 450mm 웨이퍼로 대면적 화되면서 기존 300mm 공정 때보다 훨씬 효율적인 플라즈마 소스 즉, 고밀도이고, 고균등화(high uniformity) 플라즈마 소스를 필요로 한다. 본 논문에서는 고밀도 플라즈마 소스인 유도 결합형 플라즈마(Inductively Coupled Plasma ; ICP)에 축 방향의 약한 자기장을 인가시킨 자화된 유도결합형 플라즈마(Magnetized Inductively Coupled Plasma : MICP)[1]를 제안하여 기존 ICP와의 차이점을 살펴보았다. 실험 방법으로 레이저 유기 형광법(Laser Induced Fluorescence : LIF)[2]을 이용하여 플라즈마 쉬스(Sheath) 내의 전기장을 외부 자기장의 변화에 따라 높이별로 측정하고 그 결과로부터 쉬스의 전기적 특성을 살펴보았다. 플라즈마의 특성상 탐침이나 전극에 전압을 인가하면 그 주위로 디바이 차폐(Debye Shielding)현상이 일어나서 플라즈마 왜곡이 일어난다. 그렇기에 플라즈마, 특히 플라즈마 쉬스의 특성을 파악하기 위해서 레이저라는 기술을 사용하였다. 레이저는 고가의 장비이고 그 사용에 많은 경험지식(know-how)를 필요로 하지만 플라즈마를 왜곡시키지 않고, 플라즈마의 밀도, 온도, 전기장 등 많은 상수(parameter)들을 얻어 낼 수 있다. 또한 3차원적으로 높은 분해능을 가지고 있는 장점이 있다. 강한 전기장이 있는 곳에서 입자들의 고에너지 준위가 전기장의 세기에 비례하여 분리되는 Stark effect[3] 이론을 이용하여 플라즈마 쉬스내의 전기장을 측정하였다. 실험은 헬륨가스 700mTorr 압력에서 이루어졌다. 기판의 파워를 50W에서 300W까지 변화시키면서 기판에 생기는 쉬스의 전기장의 변화를 살펴보았고, 자기장을 인가한 후 동일한 실험을 하여 자기장의 유무에 따른 플라즈마 쉬스의 전기장 변화를 살펴보았다. 실험결과 플라즈마 쉬스의 전기장의 변화는 기판의 파워와 플라즈마 밀도에 크게 의존함을 알았다. 기판의 파워가 커질수록 쉬스의 전기장은 커지고, 기판에 생기는 Self Bias Voltage역시 음의 방향으로 커짐을 확인 하였다. 또한 자기장을 걸어주었을 경우 쉬스의 두께가 얇아짐으로써 플라즈마의 밀도가 증가했음을 확인 할 수 있었다.
-
We have generated Ar plasma in dense plasma focus device with coaxial electrodes for extreme ultraviolet (EUV) lithography and investigated an emitted visible light for electro-optical plasma diagnostics. We have applied an input voltage 4.5 kV to the capacitor bank of 1.53 uF and the diode chamber has been filled with Ar gas of pressure 8 mTorr. The inner surface of the cylindrical cathode has been attatched by an acetal insulator. Also, the anode made of tin metal. If we assumed that the focused plasma regions satisfy the local thermodynamic equilibrium (LTE) conditions, the electron temperature and density of the coaxial plasma focus could be obtained by Stark broadening of optical emission spectroscopy (OES). The Lorentzian profile for emission lines of Ar I of 426.629 nm and Ar II of 487.99 nm were measured with a visible monochromator. And the electron density has been estimated by FWHM (Full Width Half Maximum) of its profile. To find the exact value of FWHM, we observed the instrument line broadening of the monochromator with a Hg-Ar reference lamp. The electron temperature has been calculated using the two relative electron density ratios of the Stark profiles. In case of electron density, it has been observed by the Stark broadening method. This experiment result shows the temporal behavior of the electron temperature and density characteristics for the focused plasma. The EUV emission signal whose wavelength is about 6 ~ 16 nm has been detected by using a photo-detector (AXUV-100 Zr/C, IRD). The result compared the electron temperature and density with the temporal EUV signal. The electron density and temperature were observed to be
$10^{16}\;cm^{-3}$ and 20 ~ 30 eV, respectively. -
유도 결합 플라즈마 (ICP)는 축전 결합 플라즈마 (CCP) 보다 상대적으로 높은 밀도의 플라즈마를 발생시킬 수 있다. 또한 구조가 간단하고 기존 스퍼터링 장치의 내부에 추가 설치가 용이하며, 스퍼터된 입자의 이온화, 반응성 가스의 활성화를 위한 2차 플라즈마원으로 적용이 가능하다. 그러나 대면적의 고밀도 플라즈마의 균일도 측정은 고가의 2D probe array등을 사용하여야 한다. 본 연구에서는 간단한 CCD camera를 챔버 내부에 삽입하여 가시광 영역의 적분 강도를 이용해서 플라즈마의 2차원적 균일도를 정성적으로 비교 판단하고 시간에 따른 국부적인 이상 방전을 감시할 수 있도록 내장형 무선 카메라를 사용하였다. 직경 380 mm의 챔버 내에 2 turn ICP antenna를 이용하여 유도 결합 플라즈마를 발생시켰다(Ar 30 sccm, 35 mTorr, 2 MHz, 400 W). 내장형 무선 카메라를 챔버 내부 중앙의 ICP antenna에서 8 cm 아래에 위치시켜 플라즈마를 진공 중에서 촬영하였다. 내장형 무선 카메라를 챔버 내부에 위치하여 촬영한 결과 외부에서 view port로 쉽게 확인할 수 없는 ICP antenna 내부의 고밀도 플라즈마의 불균일도를 평가할 수 있었고, ICP antenna 가장자리에서 중심으로 이동할수록 밝아지는 것을 토대로 중심 영역의 plasma 밀도가 가장 높다는 것을 알 수 있었고, 채도와 명도의 차이를 이용하여 시각적인 플라즈마 균일도를 분석하였으며 이를 플라즈마 모델링 기능이 있는 전산 유체 역학 프로그램인 CFD ACE+를 이용하여 플라즈마 분포를 모델링 및 비교하였다. 또한 인라인 타입의 마그네트론 스퍼터링 시스템에서 기판 캐리어에 무선 카메라를 장착하여 이동하면서 캐리어와 마그네트론 방전 공간의 상대적인 위치에 따른 마그네트론 방전링의 형상 변화도 관찰하였다.
-
태양전지용 TCO(Transfer Conductivity Oxide)는 가시광선 영역에서 높은 광 투과도(optical transmittance), 낮은 저항(resistivity), 우수한 박막 표면 거칠기(roughness) 등의 특성이 요구된다. 현재 가장 많이 사용되는 투명전극은 ITO(Indium Tin Oxide)가 보편적이다. 하지만 ITO에 사용되는 원료 재료인 In이 상대적으로 열적 안정성이 낮아 제조과정에서 필수적으로 수반되는 열처리가 제한적이며, 높은 원료 단가로 인하여 경제적인 측면에서 약점으로 지적되고 있다. 이러한 ITO 투명전극의 대체 재료로서 최근 ZnO 박막의 연구가 활발히 이루어지고 있다. MOCVD(Metal-Organic chemical vapor deposition)로 Soda lime glass 기판위에 약 900nm의 두께로 증착한 BZO(Boron-zinc-oxide)박막을 수소 플라즈마 처리공정을 한 뒤 산소 플라즈마를 이용하여 재처리 하였다. 산소 플라즈마 처리 공정은 RIE(Reactive Ion Etching)방식의 플라즈마 처리 장치를 사용하였고 공정 조건은 13.56 MHz의 RF주파수를 사용하여 RF 전력, 압력, 기판 온도 등을 변화시켜 BZO 박막의 전기적 특성을 측정 및 분석하였다.
-
대기압 플라즈마 공정은 진공 플라즈마 공정에 비해 장치의 경제성 및 규모면에서 많은 장점을 갖고 있어 대기압 공정에 대한 연구가 필요하다. 본 연구는 대기압 DC Arc Plasmatron을 이용하여 기체의 유량, 전류, plasmatron과 Si wafer 간의 거리를 변화시켜 이에 대한 Si wafer에 식각률(etching rate)을 확인하고 최적화 하였다. Ar은 2000sccm,
$CF_4$ 는 50, 100sccm, 그리고$O_2$ 는 0~1000sccm의 유량에 변화를 주었고 전류는 50A, 70A에서 식각하였다. 분석을 위해 Si wafer를 SEM(scanning electron microscope) 측정을 하였고, 그 결과 전류는 70A에서 기체 유량은$CF_4$ 는 100sccm,$O_2$ 는 500sccm 일 때 식각률이 높게 나타났다. 그리고 전류와 유량을 위와 같은 조건에서 Plasmatron과 Si wafer 간의 거리를 5mm~15mm 변화를 주었을 때 Si wafer에 식각률을 측정해 본 결과 거리가 5mm일 때 식각률이 가장 높음을 확인 할 수 있었다. 아울러 거리를 변화시켰을 때가 유량이나 전압을 변화시킨 것 보다 식각률의 변화가 큰 경향을 보임을 알 수 있었다. -
현재까지 대부분의 반도체 공정이나 LCD 공정에 사용되는 플라즈마는 진공 플라즈마이다. 이는 대기압에서의 플라즈마 발생의 어려움, 공정 품질 등이 원인이기도 하다. 그러나 진공 장비의 고가 및 진공 시스템 부피의 거대화 등의 많은 단점이 있다. 현재의 진공 플라즈마공정을 대기압 플라즈마 공정으로 대체 할 수 있다면 많은 경제적인 이득을 얻을 수 있을 것이다. 본 연구실에서 개발한 직류아크 플라즈마트론은 기존의 대기압 플라즈마 장치에 비해 수명이 길고, 광학적으로 깨끗하고, 활성도가 높은 플라즈마를 얻을 수 있는 장점이 있다. 직류아크 플라즈마트론의 식각공정에 적용을 위해 플라즈마트론을 저 진공 및 대기압에서 적용하여 실험하였다. 식각 가스로는 SF6를 사용하였고, Ar과 O2를 혼합하여 플라즈마트론의 음극 보호 및 식각률을 높이도록 하였다. 실험결과 저진공 플라즈마의 경우, 플라즈마 영역이 20 cm를 넘는 반면, 대기압에서는 플라즈마 유효 길이가 약 20 mm로 매우 짧았다. 하지만 저 진공(~ 3 mbar)에 적용하여 최대
$60\;{\mu}m/min$ 의 식각률을 보였고, 대기압 플라즈마의 경우$300\;{\mu}m/min$ 넘는 식각률을 달성하였다. -
In the present work, we studied effect of the deposition parameters on the structure and properties of ZnO films deposited by DC arc plasmatron. The varied parameters were gas flow rates, precursor composition, substrate temperature and post-deposition annealing temperature. Vapor of Zinc acetylacetone was used as source materials, oxygen was used as working gas and argon was used as the cathode protective gas and a transport gas for the vapor. The plasmatron power was varied in the range of 700-1,500 watts. Flow rate of the gases and substrate temperature rate were varied in the wide range to optimize the properties of the deposited coatings. After deposition films were annealed in the hydrogen atmosphere in the wide range of temperatures. Structure of coatings was investigated using XRD and SEM. Chemical composition was analyzed using x-ray photo-electron spectroscopy. Sheet conductivity was measured by 4-point probe method. Optical properties of the transparent ZnO-based coatings were studied by the spectroscopy. It was shown that deposition by a DC Arc plasmatron can be used for low-cost production of zinc oxide films with good optical and electrical properties. Sheet resistance of 4 Ohms cm was achieved after the deposition and 30 min annealing in the hydrogen at
$350^{\circ}C$ . Elevation of the substrate temperature during the deposition process up to$350^{\circ}C$ leads to decreasing of the film's resistance due to rearrangement of the crystalline structure.