한국진공학회:학술대회논문집 (Proceedings of the Korean Vacuum Society Conference) (Proceedings of the Korean Vacuum Society Conference)
한국진공학회 (The Korean Vacuum Society)
- 반년간
과학기술표준분류
- 물리학 > 광학
한국진공학회 2010년도 제39회 하계학술대회 초록집
-
핵융합에너지는 1930년대 한스 베테에 의해 태양과 별 에너지의 근원임이 밝혀진 후 소핵 폭탄실험 성공으로 그 위력적인 에너지를 인공적으로 만들 수 있음을 세상에 드러내게 된다. 그 뒤 이 에너지의 평화적인 이용 노력이 시작되었고 1958년 스위스에서 핵융합에너지의 평화적 이용에 대한 첫 국제회의가 열리게 되면서 에너지원으로서의 연구를 통해 냉전시대의 경쟁 대상의 과학기술의 하나로 부각되면서 눈부신 성능 향상을 보여주게 되었다. 아직 여러 어려운 관문이 남아있지만 기후변화와 에너지원 고갈에 의한 새로운 에너지원에 대한 강력한 필요성이 제기되면서 ITER와 같은 대형 국제공동연구시설 건설이 시작되었고 2030년대에는 최초의 핵융합발전소를 건설하려는 꿈도 그려가고 있다. 핵융합에너지를 얻는 방식에는 여러 방법이 시도되었는데 현재는 자기장을 이용해 플라즈마를 핵융합반응이 일어나기에 충분한 시간동안 가두는 자기핵융합방식과 관성으로 플라즈마를 가두는 관성핵융합방식으로 크게 구분할 수 있다. 자기핵융합방식의 경우 플라즈마를 만들고 가열하여 핵융합반응 확률이 높은 고온으로 가열하고 그 조건을 오래 지속시키는 기술들이 필요한데 이 기술들은 오늘날의 거의 모든 극한기술들이 망라되어 적용되는데 초전도, 고주파/ 초고주파, 대전력 공급, 대형 시설 실시간 제어기술, 대규모 신호처리기술, 고온 플라즈마 진단 기술, 대규모 시스템 시뮬레이션 기술 등이 그것이다. 여기에 또한 중요한 기술의 하나로 초고진공 기술이 필요하다. 이러한 기술이 집약되고 서로 통합되어 하나의 목적을 위해 쓰여지도록 고안되고 만들어진 장치가 자기핵융합 장치이며 따라서 현대의 자기핵융합장치들은 굉장히 복잡하며 대형 시설로 지어질 수밖에 없다. 우리나라는 1970년대 말부터 소형의 플라즈마 연구시설을 시작으로 자기핵융합 연구를 시작하면서 인력 양성을 시작하였으며 가속기 등 대형 연구시설이 본격적으로 지어지던 1990년대에 세계적으로 유래가 없는 초전도 자기핵융합장치인 KSTAR장치 건설 프로젝트를 시작하게 되었다. 총 11년이 넘는 건설기간 동안 여러 학교와 연구기관, 그리고 산업체가 참여하여 성공적으로 시운전을 실시하였으며 당당히 세계적인 장치를 통한 핵융합연구 대열에 동참하게 되었다. 이를 통한 기술 개발의 결과로 국제적 공동연구장치 ITER의 건설사업에 참여하게 되었고 KSTAR와 ITER를 통해 핵융합 에너지 상용화 기술 개발을 국가적인 기술개발의 목표로 결정하고 연구개발계획을 전략적으로 세워 진행하고 있다. 이번 논문에서는 자기핵융합의 특징과 연구 동향을 통해 우리나라의 기술 수준을 조망하고 특히 진공 기술 분야와의 상호 의존적 영향 분석을 통해 공동의 발전 방향을 모색해 보려고 한다.
-
텐덤형 태양전지는 다양한 에너지 대역을 동시에 흡수할 수 있도록 제작할 수 있어 단일접합 태양전지에 비해 높은 에너지변환효율을 기대할 수 있다. 본 연구에서는 GaAs를 기반으로 양자점 혹은 양자우물 구조를 이용한 고효율 텐덤형 태양전지를 설계하고, 완충층 및 활성층의 특성을 분석하였다. 분자선 단결정 성장 장비를 이용하여 GaAs 기판 위에 메타모픽 (metamorphic)성장법을 이용하여 convex, linear, concave 형태로 조성을 변화시켜
$In_xAl_1-_xAs$ 경사형 완충층을 성장한 후 그 특성을 비교하였다. 또한, 최적화된 경사형 완충층 위에 1.1 eV와 1.3 eV의 에너지 대역을 각각 흡수할 수 있는 적층 (5, 10, 15 층)된 InAs 양자점 구조 또는 InGaAs 양자우물구조를 삽입하여 p-n 접합을 성장하였다. 그리고 GaAs/AlGaAs층을 이용한 터널접합에서는 GaAs층의 두께 (20, 30, 50 nm)에 따른 터널링 효과를 평가하였다. 그 결과, 경사형 완충층을 통해 조성 변화로 인한 결함을 최소화하여 다양하게 조성 변화가 가능한 고품위의 구조를 선택적으로 성장할 수 있었으며, 적층의 양자점 구조 및 양자우물 구조를 이용해 고효율 텐덤형 태양전지의 구현 가능성을 확인하였다. -
이번 성원에드워드 학술상 수상자 선정은, 진공기술의 중요성에 공감하고 진공기술 발전을 위한 노력을 독려하자는 진공학회 회원들의 의견을 모아주신 결과로 생각한다. 본 발표에서는 그동안 한국표준과학연구원에서 수행해 온 진공 기술 연구 및 산학연 협력 네트워크 활동을 소개하고자 한다. 진공기술은 진공 환경을 발생시키고 측정 제어하며, 만들어진 진공 환경 안에서 원하는 작업을 할 수 있도록 하는 기술을 말한다. 우리나라의 주력산업인 반도체 및 디스플레이의 경우 그 생산 설비의 1/3이상이 진공 장비이며 진공 공정을 통해 만들어진다. 때문에 우리나라에서는 주력 산업분야나 그 전후방 산업의 경쟁력 강화 측면에서 진공기술 개발 중요성이 아주 크다. 한국표준과학연구원은 국가 대표 측정 기관으로 국가 측정 표준을 확립하고 측정관련 과학기술을 연구개발하며 그 성과를 보급하여 경제발전과 과학기술발전, 그리고 삶의 질 향상에 기여하는 것을 임무로 하고 있다. 우리나라에서 진공 측정 표준에 대한 연구가 본격적으로 시작된 것은 1984년으로 불용 장비로 불하받은 펌프와 챔버, 그리고 차관으로 도입된 Capacitance Diaphragm Gauge 몇 개만으로 시작되었다. 지금은 발전을 거듭하여 초음파 간섭 수은주 압력계를 비롯하여 정적 팽창시스템, 동적 팽창 시스템 등 진공도 범위별 국가 표준기와 리크 표준기를 자체 개발 하여 국가 측정 표준을 확립하고 있다. 우리나라의 진공 표준 및 측정 능력은 국제기구인BIPM에서 실시하는 국가 측정능력 비교시험을 통해 세계 최고 수준으로 인정 받은 바 있으며 교정검사 등을 통해 산학연에 보급되고 있다. 진공 측정 및 표준기술을 토대로, 1999년부터 과학기술부와 산업자원부의 지원을 받아 산학연이 필요로 하는 펌프 계측기 부품 소재 및 공정 특성을 평가하기 위한 장치와 절차를 개발하였다. 이를 이용해 보급되는 기술 data는 진공부품 및 장비 국산화, 국산제품 신뢰성 제고, 검증부품 사용을 통한 장비 품질 향상, 독자적 장비 기술 확보, 생산품 품질관리 등에 쓰이고 있다. 한국 표준연구원 진공센터의 교정 및 시험 능력은 ISO 9001 인증 획득과 국제 전문가의 review를 거쳐, 국제기구 측정능력표에 등재되어 있어 국제적 신뢰도도 확보하고 있다. 정기적인 진공기술 교류회를 개최하고 진공기술 홈페이지를 운영 하는 등 산학연 정보 교류 및 협력 네트워킹 활성화를 위해 노력한 바 있으며 이 분야의 연구 성과는 '국가 우수 연구성과 100선'에 선정된 바 있고, 산업자원부 지정 '산학연 연계 우수사례' 첫 번째로 선정되기도 하였다. 2008년부터는 진공기술 교류회 등을 통한 네트워킹 활동으로 도출된 기술 수요에 따라 대기업과 중소기업 학교 연구소들과 함께 진공공정 실시간 측정 진단 기술과 센서 개발 연구, 그리고 이들 개발품의 신뢰성 검증 및 평가 기술 개발을 위해 노력하고 있다.
-
박막의 물성을 분석하는 방법에는 광학을 이용한 분광학 분석법과 나노크기의 tip을 이용한 나노트라이볼로지 분석법이 대표적이라 할 수 있다. 분광학 분석법에는 주로 X-ray 회절분석, Raman 분광기, IR 분광기 등등이 가장 대표적으로 사용되어지는 분석 장치들이다. 이러한 분광학 분석은 광학을 시료에 조사하여 이로부터 획득되는 강도(intensity)를 분석하는 방법으로 간접적인 분석이라 할 수 있다. 이에 반하여 나노트라이볼로지는 나노크기의 tip을 이용하여 시료 표면을 직접적인 방법으로 분석하여 시료의 형상, 탄성, 강도, 마찰력 등의 정보를 제공하며, tip에의 전기적 신호를 부과하여 시료 표면의 국부적인 potential, electric current를 측정하게 된다. 이에 해당되는 대표적인 분석 장치로는 nano-indenter system과 SPM (Scanning Probe Microscopy)이 있다. 따라서, 이 논문에서는 나노트라이볼로지의 대표적인 장치인 nano-indenter system과 SPM에 대한 간단한 원리를 소개하고 다양한 분야에 대한 실제적인 분석을 사례를 통하여 나노트라이볼로지의 가치를 확인하고자 한다.
-
최근 e-book이나 e-newspaper가 새로운 기록 매체로서 많은 주목을 받고 있으며 기존의 책이나 신문을 대체할 가능성도 게시되고 있다. 이러한 e-book 등은 새로운 휴대 정보통신기기로서도 새로운 시장을 창출할 것으로 기대되고 있다. e-book이나 e-newspaper에 사용되는 디스플레이는 기존의 TFT-LCD, AMOLED와는 다소 다르게 오랜 시간동안 내용을 읽더라도 눈의 피로도를 현저히 줄어야하기 때문에 e-paper라는 새로운 디스플레이가 제시되고 있다. 본 tutorial에서는 e-paper의 개념과 종류, 동작 원리, 응용 분야 등을 살펴보고 새롭게 개발되고 있는 e-paper 기술과 응용 제품들을 소개할 것이다. 또한 e-paper와 기존의 TFT-LCD, AMOLED와 비교 분석하여 e-paper의 한계와 가능성에 대해서도 토의할 것이다.
-
Indium Tin Oxide (ITO)를 포함한 Transparent Conduction Oxide (TCO)는 LCD, OLED와 같은 Display, 그리고 Solar Cell 등 광신호와 전기신호간 변환이 필요한 모든 Device에 반드시 필요한 핵심 물질로, 특히 고특성 Display의 투명전극에서 요청되는 95% 이상의 투과도와
$15\;{\Omega}/{\square}$ 이하의 면저항 특성을 동시에 만족할 수 있는 기술은 현재까지 Plasma Sputtering 공정으로$160^{\circ}C$ 이상에서 증착된 ITO 박막이 유일하다. 그러나, 최근 차세대 기술로서 Plastic Film을 기반으로 하는 Flexible Display 및 Flexible Solar Cell 구현에 대한 요구가 급증하면서, Plastic Film 기판위에 Plasma Damage이 없이 상온에 가까운 저온 ($100^{\circ}C$ 이하)에서 특성이 우수한 ITO 투명전극을 형성 할 수 있는 기술의 확보가 중요한 현안이 되고 있다. 지난 10년 동안$100^{\circ}C$ 이하 저온에서 고특성의 ITO 또는 TCO 박막을 얻기위한 다양한 연구와 구체적인 공정이 활발히 연구되어 왔으나, ITO의 결정화 온도 (통상$150{\sim}180^{\circ}C$ )이하에서 증착된 ITO박막은 비정질 상태의 물성적 특성을 보여 원하는 전기적, 광학적 특성확보가 어려웠다. 본 논문에선 기본적으로 절연체 특성을 가져야 하는 산화물인 TCO가 반도체 또는 도체의 물리적 특성을 보여주는 기본원리의 고찰을 토대로, 재료학적 특성상 Crystalline 구조를 보여야 하는 ITO (Complex Cubic Bixbyte Structure)가 Plasma Sputtering 공정으로 저온에서 증착될 때 비정질 구조를 갖게 되는 원인을 규명하고, 이를 바탕으로 저온에서 증착된 ITO가 Crystalline 구조를 유지 할 수 있게 하고, Stress Control에 유리한 Nano-Crystalline 박막을 형성하면서 Crystallinity를 임의로 조절 할 수 있는 새로운 기술인 Magnetic Field Shielding Sputtering (MFSS) 공정과 최근 성과를 소개한다. 한편, 또 다른 새로운 저온 TCO 박막형성 기술로서, 유기반도체와 같은 Process Damage에 매우 취약한 유기물 위에 Plasma Damage 없이 TCO 박막을 직접 형성할 수 있는 Neutral Beam Assisted Sputtering (NBAS) 기술의 원리를 설명하고, 본 공정을 적용한 Top Emission OLED 소자의 결과를 소개한다. 또한, 고온공정이 수반되는 Solar Cell용 투명전극의 경우, 통상의 TCO박막이 고온공정을 거치면서 전기적 특성이 열화되는 원인을 규명하고, 이에 대한 근본적 해결 방법으로 ITO 박막의 Dopant인 Tin (Sn) 원자의 활성화를 증가시킨 Inductively Coupled Plasma Assisted DC Magnetron Sputtering (ICPDMS)의 원리와 박막의 물성적 특성과 내열 특성을 소개한다. -
튜명전극소재의 개발로 21세기에 디스플레이를 비롯한 응용제품들이 폭발적으로 증가했다고 해도 과언은 아니다. 특히, 광학적, 전기적 특성과 밀접한 관련이 있는 디스플레이, 터치패널 및 솔라셀 등에서 투명전극의 역할은 절대적이다. 한편, 최근에 투명전극소지에 이어 투명산화물반도체 소재가 디스플레이구동소자로 큰 관심을 끌고 있다. 즉, 산화물반도체 기반의 트랜지스터는 TFT-LCD의 대형화 및 고속화, AMOLED의 고집적화를 비롯하여, 저온 공정용 플렉시블 디스플레이의 구동소자로 주목받고 있는 것이다. 이에, 본 Tutorial에서는 ETRI에서 개발해온 투명 산화물 전자소자와 그와 관련된 TCO 기술을 집중적으로 다룰 것이다.
-
본 발표에서는 OLED, LCD, E-ink 등에 적용되는 고품질 전도성 투명 산화막의 구조, 전기적 성질, 광학적 성질, 표면 거칠기 등에 미치는 공정 변수의 영향을 유연 기판 적용 사례를 들어서 설명한다. 특히 RF superimposed dc sputtering 방법으로 성장시킨 TCO의 특성이 현재 알려진 어떤 방법보다도 우수한 특성들과 유연 기판에 필수적인 내절성을 갖는 결과를 보여주고 있음에 주목하고 그 원리 및 대형화 가능성에 대해서 언급한다. 증착된 박막의 투습성 평가에서 측정 장비의 한계치 이하를 달성하였고 플라즈마를 이용한 중간 처리 과정의 효과로 PC, PET 등의 필름 기판에서도 우수한 성질을 갖는 박막의 성공적인 증착이 이루어 졌음을 설명한다. 여기에는 적절한 산소 분압의 유지가 관건이며 이미 재료연구소에서는 대형 타겟 시스템에 대해서 안정된 공정을 운영하고 있다. RF superimposed dc power의 특징은 타겟에서 반사되는 고속 중성 입자의 유속을 적절하게 제어할 수 있다는 점으로 판단되며 이는 주로 산소 원자와 산소 음이온의 에너지가 높다는 점에 주목할 필요가 있다. Carcia등의 보고에 따르면 산소 음이온의 경우에는 110 eV가 넘는 운동 에너지를 가지고 성장 중인 박막에 입사하여 결함을 생성한다고 한다. 이들 고속 입자들의 에너지를 낮추고 그 수를 감소시킬 수 있는 방법 중의 하나가 RF superimposed dc라고 판단된다.
-
최근들어 디스플레이 산업의 연구 방향이 3-any (any-time, any-where, any-position)에 대응하기 위해 고품위 디스플레이 디바이스에 집중되고 있는 상황이다. 이로 인해 flexible 기판에 다양한 소자기술을 접목하는 연구가 중요 기술로 각광을 받고 있다. 본 연구에서는 flexible 기판상에 전극층, 채널층, 절연층, 및 보호막층을 형성하는 방법으로 적용되고 있는 박막 형성기술 중 물리증착기술을 적용한 진공박막 권취 장비(roll-to-roll vacuum coating system)의 핵심 기술과 투명전극의 대표적인 물질인 인듐주석산화물 박막의 특성에 대해서 심도 깊게 살펴보고자 한다. 먼저, 다양한 권취장비를 기준으로 물리증착 기술 중 적용이 가능한 공법을 간락히 설명한 후 다양한 박막 형성 기술을 소개하고자 한다. 진공증착 기술을 적용한 다양한 시스템과 스퍼터링 기술의 핵심인 다양한 캐소드의 장단점을 시스템 사례를 기준으로 설명을 하고자 한다. 또한, flexible 기판 적용시 박막층과 기판층간의 계면 특성을 향상시키기 위해 적용되는 플라즈마 표면처리 기술을 핵심 단위 기술의 연구 사례를 기준으로 기술 동향을 설명하고자 한다. 물리증착법의 대표적인 예인 스퍼터링 법으로 제조한 인듐주석산화물 박막의 특성을 제어한 연구 결과를 보고하고자 한다. 투명전극 박막의 대표물질인 인듐주석산화물 박막을 물리증착공법으로 제조하였을 때 발생하는 표면 조도의 문제를 해결하는 방안으로 초저압 스퍼터링 기술을 소개하였고, 스퍼터링 공정시 공정압력의 변화가 인듐주석산화물 박막의 표면조도, 결정구조, 및 전기적 성질에 미치는 영향과 상관관계를 살펴보았다.
-
가시광역에서 80% 이상의 높은 투과율과 전기전도성을 동시에 갖는 투명전도성 산화물(TCO) 박막은 LCD, PDP, OLED, 태양전지 등의 다양한 분야에 투명전극재료로서 사용되고 있다. 이들 TCO 박막은 Magnetron sputtering, Chemical vapor deposition, Pulse laser deposition, Ink jet등과 같은 다양한 방법으로 증착할 수 있지만, 대면적의 기판에 균일한 박막형성 및 박막과 기판의 높은 부착력등 양산성의 관점에서 우월성을 가지고 있기 때문에 생산라인에서는 DC magnetron sputtering법이 주로 사용되고 있다. 이 경우, 산화물 박막의 미세구조, 내부응력, 광학적 및 전기적 특성은 스퍼터링 과정에서 발생하는 고에너지 입자들의 기판입사 충격에 크게 의존하기 때문에 고품질의 TCO박막을 제작하기 위해서는 증착공정인자들의 제어는 매우 중요한 것으로 알려져 있다. 대표적 TCO박막재료로서
$In_2O_3$ 계, ZnO계 및$SnO_2$ 계를 들 수 있으며, 이들 중에서 Sn을$In_2O_3$ 에 치환고용시킨 ITO박막의 경우, 전기적 및 광학적 특성이 상대적으로 우수하기 때문에 실용화 TCO박막으로서 가장 널리 사용되고 있다. 한편, Flexible display의 경우, 유연성의 폴리머기판위에 증착되는 TCO박막에 대하여 요구되는 특성으로는 높은 투과율 및 낮은 비저항은 물론, 박막표면의 평활도 (낮은 표면조도), bending에 대한 높은 기계적 특성 (낮은 내부응력), 수분침투에 대한 높은 barrier특성 및 저온공정 등을 들 수 있다. 그러나 높은 전기전도도를 가지는 ITO박막을 제작하기 위해서는$200^{\circ}C$ 이상의 증착온도가 필요하며, 이때 얻어진 다결정의 ITO박막은 높은 표면조도 및 bending시에 낮은 기계적 내구성이 문제점으로 지적되고 있다. 한편, 기판가열 없이 증착한 비정질 ITO박막은 낮은 표면조도, 높은 엣칭속도 및 양호한 식각특성을 나타내지만, 상대적으로 높은 비저항 및 기판과의 낮은 부착력 등이 지적되고 있다. 따라서 본 강연에서는 비정질 ITO박막의 결정화 온도 (약$160^{\circ}C$ ) 이상에서도 비정질 구조를 유지하기 때문에 낮은 표면조도와 높은 엣칭속도를 가지면서 상대적으로 전기적 특성과 기계적 내구성이 개선된 새로운 고온형 비정질 TCO박막에 대한 최근의 연구성과를 소개하고자 한다. -
고도의 진공 환경을 요구하는 반도체 생산 라인에 적용되는 고진공 펌프는 주로 복합 분자펌프와 크라이오 펌프가 사용되고 있다. 이 중 크라이오 펌프는 극저온으로 냉각되는 냉각판에 기체 분자를 응축 또는 흡착시켜 기체를 제거하는 방식으로 복합 분자 펌프로 무게가 가볍고 증발 온도가 낮은 네온, 수소, 헬륨 기체 제거에 장점이 있다. 본 연구에서는 현재 상용화된 크라이오 펌프에 적용되는 GM 극저온 냉동기를 대체하기 위한 2단 GM형 맥동관 냉동기를 개발하여 기초 성능 시험을 수행하였다. 1단과 2단 모두 U-자형 형상으로 제작되었으며, 압력과 질량 유동 사이의 위상 조절을 위하여 오리피스 밸브와 이중 유입 밸브를 사용하였다. 개발된 맥동관 냉동기의 냉각 성능 목표는 1단(80 K)과 2단(20 K)에서 각각 45 W와 5 W이다. 기초 시험에서는 위상 조절용 밸브들의 개도와 작동 조건에 대한 냉각 특성과 부하 특성 시험을 수행하였다. 특히, 본 연구에서는 로터리 밸브 및 위상 조절 기구의 배치로 인해 고온부 형상이 복잡한 맥동관 냉동기를 크라이오 펌프로의 적용 편의성을 위하여 고온부 외형 구조를 단순화하였으며, 개발된 맥동관 냉동기와 기존에 크라이오 펌프에 적용되는 GM 극저온 냉동기를 비교, 고찰하였다.
-
첨단 공정이 필요한 반도체와 LCD, PDP, LED 등의 디스플레이 및 IT 부품을 제조하는데 필요한 장비의 고성능화와 작업환경의 고청정화에 따른 초고진공펌프의 수요 확대와 앞으로 전개될 한-미 FTA에 따른 시장 확대로 인해 크라이오펌프의 국산화가 시급한 실정이다. 고성능 크라이오펌프를 만들기 위해서는 냉각판을 극저온으로 냉각하기 위한 극저온 냉동기 개발도 중요하지만 냉각판(cryoarray)에 최대한 많은 분자를 포획시키는 것 또한 최우선적으로 고려되어야 할 사항 중 하나이다. 이에 본 논문은 크라이오펌프용 냉각판의 분자포획능력에 대하여 연구하였다. 해석에 이용한 냉각판은 현재 상용화된 모델들 중 원형 중앙판에
$45^{\circ}$ 하향 skirt가 달린 형태이며 8장의 냉각판이 일정한 간격을 두고 아래쪽으로 적층되어 있다. 냉각판의 분자포획능력의 해석은 형상계 수법(view factor method)을 이용해 수행하였다. 형상계수법은 크라이오펌프를 n개의 미소면적으로 구성된 밀폐된 공간으로 가정하고 각 미소면적요소의 온도와 흡착계수, 표면조건 그리고 분자유속이 일정하다는 조건을 이용해 분자유속에 관해 n개의 대수연립방정식을 얻고 이 대수연립방정식을 풀어 냉각판의 분자포획능력을 구한다. 해석에 이용한 냉각판의 기체분자포획능력이 구속된 형상에서 얼마나 우수한 가를 알아보기 위해 중앙판의 직경, 입구와 냉각판 사이의 거리, 그리고 각 냉각판 사이의 거리를 변화시켜가며 해석을 수행하고 그 결과를 비교, 분석하였다. -
개요- 반도체 시장의 확대 및 소자의 고집적화와 장비의 초정밀화 등 고정도 생산성을 요구하는 현대 진공산업 시장에서는 정밀한 제품을 대량으로 생산할 수 있도록 지원할 수 있는 대용량 장비의 수요가 급증하고 있다. 서론- 하루가 다르게 발전하는 기술 경쟁 사회에서는 보다 성능이 좋은 제품을 다른 국가 또는 다른 업체와 차별화된 기술적 우위를 선점해야 한다. 본문-이에따라, 고신뢰도, 고생산성이 요구되는 진공산업 시장에 발맞추기 위한 일환으로 크라이오 펌프의 재생시간을 단축시켜 생산성 향상을 높이고, 기존의 제품에서 소비자가 느꼈던 진동을 최소로 줄일 수 있도록 저진동 제품의 설계를 통한 고객 만족의 제품을 개발함과 동시에, 맥동관 냉동기의 기술상업화를 꾀한 극저온기술 영역의 발전을 함께 도모하고자 한다. 현재까지는 국내의 일부 정부출연 연구소와 학교, 일부 특수산업 분야에서만 크라이오 펌프를 사용하고 있으나, 상업적인 설계 및 생산은 완전히 전무한 상태이다. 이는 진공시장의 잠재적인 성장을 고려할 때 국산화가 시급한 상황이다. 이러한, 상황을 고려하여 현재 기계연구원과 우성진공, 국민대학교가 맥동관 냉동기를 접목시킨 급속재생형 저진동 크라이오 펌프를 지난 2008년부터 산학연 협동으로 개발 진행 중에 있다. 크라이오 펌프의 핵심 기술은 냉동기의 효율에 있다고 볼 수 있으며, 이러한 냉동기의 효과를 높이기 위하여, 제작 공정에 진공 브레이징을 사용하여, 열교환기와 교환기 내의 충진재들과의 정확한 간극을 유지하면서 접합을 할 수 있는 환경요건을 지속적인 실험을 통해 지식을 습득하고 있다. 진공 브레이징은 외관을 아름답고 정교하게 접합할 수 있으므로, 추후 상품으로의 가치를 높일 수 있으며, 서로 다른 이종금속 간의 접합이 가능하여 열교환기의 무산소동과 스테인레스 튜브와의 접합을 가능하게 할 수 있었다. 진공브레이징에 쓰이는 모재는 여러가지가 있으며, 접합의 환경 또한 일반 용접보다 다양하므로 여러번의 실험을 통한다면, 성능은 우수하면서 외관으로 손색이 없는 개발품이 나올수 있겠다는 의견이 모아지고 있는 중이다. 본론- 아직까지는 미국의 CTI사나 일본의 ULVAC 등의 크라이오 펌프의 선진 기업에 비해 기술력이 많이 부족하기는 하지만, 아직 많은 부분이 미개발된 분야이고, 향후 후발국과의 차별을 두어 선진기술을 확보하기 위해서는 기존의 방식을 단순히 따라하는 것이 아닌 남들과 다른 원천기술의 확보가 더 필요하며, 이를 위해 부단한 노력과 시행착오를 거쳐 맥동관 냉동기형 크라이오 펌프라는 기술을 확보할 예정이다.
-
현재 국내에서는 산학연이 힘을 합쳐 성능 면에서 탁월하고 가장 실용적인 고진공펌프로서 터보분자 펌프와 크라이오 펌프를 선택하여 국산화를 추진 중이다. 진공 펌프의 성공적인 개발은 당연히 핵심 부품들을 얼마나 정교하게 잘 만들고, 전체 시스템을 얼마나 유기적으로 잘 구성하는지에 달려있지만, 펌프 개발과정을 효율적으로 진행시키고 공식적으로 제품 개발을 완결하기 위해서는 필연적으로 성능평가 시스템 기술개발이 병행되어야 한다. 이것은 펌프의 상용화 성공여부가 결국 객관적인 성능입증이 관건이고 이는 보편적인 규정을 따라 만들어진 평가장치를 사용하고 올바른 평가절차를 거쳐서 이루어져야 되기 때문이다. 이런 이유로 표준연과 기기유화연 및 원연은 각각 터보분자 펌프와 크라이오 펌프의 종합 운전특성 평가 시스템을 개발 중에 있다. 이곳에서는 먼저 크라이오 펌프의 작동특성 및 이에 따른 성능평가지표에 대해 개관하고, 또 현재까지 진행된 크라이오 펌프 성능평가 시스템 구성 및 평가절차에 대해 정리하며, 끝으로 올해 제작된 운전성능 평가 장치의 시운전 결과에 대해 보고한다.
-
고진공영역에 사용되는 터보분자펌프, 이온펌프, 크라이오펌프 등의 특성평가 장치의 신뢰성을 확보하기 위해서는 신뢰성이 검증된 이온게이지를 이용한 고진공 측정기술이 확보되어야한다. 본 발표에서는 고진공펌프 특성평가를 위한 유량계와 평가기술에 대한 국제적인 동등성을 확립하고 검증하기 위해 한국표준과학연구원에서 수행해오고 있는 고진공 및 초고진공분야의 국제비교 및 ISO/TC 112 위원회 활동에 대해 소개한다.
-
반도체 및 LCD 공정이 진행되는 진공 챔버는 유량계, 진공 펌프 및 밸브 등을 이용하여 적절한 공정용 기체와 압력을 제어하게 된다. 공정에 따라 매우 높은 온도를 유지해야 하는 경우도 있다. 챔버 내부의 압력은 유입되는 기체의 시간에 따른 유량 변화에 의하여 주기적으로 변화하게 된다. 이러한 유량 변화는 장기적으로는 결국 펌프의 신뢰성(내구수명)에 영향을 주게 되며, 특히 고유량 및 저유량을 반복하게 되는 공정에 있어서는 더욱 큰 영향을 미치게 된다. 또한 챔버 내부는 다양한 화학적 반응이 일어나며 이러한 공정 기체들의 높은 온도는 결국 챔버에 연결된 펌프의 성능 및 신뢰성에도 영향을 주게 된다. 대부분의 반도체 및 LCD 공정이 이루어지는 압력에서는 전도 및 대류의 열전달 형태보다는 열복사에 의한 영향을 받게 되어 챔버를 적절히 설계한다면 펌프에 직접적으로 전달되는 복사량은 상대적으로 낮고, 펌프에 미치는 영향도 크지 않게 된다. 그러나 압력의 변화에 따라 전도 및 대류의 영향이 커지게 되는 경우에는 펌프 자체 및 성능에 큰 영향을 주게 될 것이다. 터보형 펌프의 국내(KS) 및 국제규격(ISO)의 성능시험방법에는 이러한 온도에 따른 펌프의 성능 특성 변화를 다루고 있지 않으며, 크라이오 펌프인 경우 열복사의 영향에 대한 시험방법이 일부 공개되어 있다[J. Vac. Sci. Technol. A 17(5)]. 본 연구에서는 기체의 유량 및 온도 변화에 따른 진공 펌프의 성능 특성 변화를 고찰하고자 하며, 향후 이러한 시험방법에 대한 표준 절차를 확립하고자 한다.
-
This paper address technical issues in calibrating discharge coefficients of sonic nozzles used to measure the volume flow rate of low vacuum dry pumps. The first challenging issue comes from the technical limit that their calibration results available from the flow measurement standard laboratories do not fully cover the low vacuum measurement range although the use of sonic nozzles for precision measurement of gas flow has been well established in NMIs. The second is to make an ultra low flow sonic nozzlesufficient to measure the throughput range of 0.01 mbar-l/s. Those small-sized sonic nozzles do not only achieve the noble stability and repeatability of gas flow but also minimize effects of the fluctuation of down stream pressures for the measurement of the volume flow rate of vacuum pumps. These distinctive properties of sonic nozzles are exploited to measure the pumping speed of low vacuum dry pumps widely used in the vacuum-related academic and industrial sectors. Sonic nozzles have been standard devices for measurement of steady state gas flow, as recommended in ISO 9300. This paper introduces two small-sized sonic nozzles of diameter 0.03 mm and 0.2 mm precisely machined according to ISO 9300. The constant volume flow meter (CVFM) readily set up in the Vacuum center of KRISS was used to calibrate the discharge coefficients of the machined nozzles. The calibration results were shown to determine them within the 3% measurement uncertainty. Calibrated sonic nozzles were found to be applicable for precision measurement of steady state gas flow in the vacuum process. Both calibrated sonic nozzles are demonstrated to provide the precision measurement of the volume flow rate of the dry vacuum pump within one percent difference in reference to CVFM. Calibrated sonic nozzles are applied to a new 'in-situ and in-field' equipment designed to measure the volume flow rate of low vacuum dry pumps in the semiconductor and flat display processes.
-
Methods of the characteristics evaluation of turbo-molecular pumps (TMP) are well-defined in the international measurement standards such as ISO, PNEUROP, DIN, JIS, and AVS. The Vacuum Center in the Korea Research Institute of Standards and Science has recently designed, constructed, and established the integrated characteristics evaluation system of TMPs based on the international documents by continuously pursuing and acquiring the reliable international credibility through measurement perfection. The measurement of TMP pumping speed is normally performed with the throughput and orifice methods dependent on the mass flow regions. However, in the UHV range of the molecular flow region, the high uncertainties of the gauges, mass flow rates, and conductance are too critical to precisely accumulate reliable data. With UHV gauges of uncertainties less than 15% and a calculated conductance of the orifice, about 35% of pumping speed uncertainties are experimentally derived in the pressure range of less than
$10^{-6}$ mbar. In order to solve the uncertainty problems of pumping speeds in the UHV range, we introduced an SRG with 1% accuracy and a constant volume flow meter (CVFM) to measure the finite mass flow rates down to$10^{-3}$ mbar-L/s with 3% uncertainty for the throughput method. In this way we have performed the measurement of pumping speed down to less than$10^{-6}$ mbar with an uncertainty of 6% for a 1000 L/s TMP. In this article we suggest that the CVFM has an ability to measure the conductance of the orifice experimentally with flowing the known mass through the orifice chambers, so that we may overcome the discontinuity problem encountering during introducing two measurement methods in one pumping speed evaluation sequence. -
복합분자펌프는 기존의 터보분자펌프 turbine blade에 spiral grooved를 추가하여 초고진공 (
$10^{-8}Pa$ )에서 저진공(330Pa)까지 넓은 압력범위에서 사용할 수 있고 이 펌프를 사용함으로서 완전 oil free한 진공시스템을 만들 수 있는 특징을 가지고 있다. 특히, 회전체를 비접촉으로 지지하는 자기베어링 방식을 적용함으로써, 진동은 극히 작고 베어링수명은 길면서 중저진공에 대한 배기속도가 크고 임의의 방향으로 접속이 가능하여 반도체 및 디스플레이 제조 공정과 같은 첨단산업의 다양한 분야에 쉽게 적용되고 있으며, 그 적용 분야와 시장은 계속 성장하고 있다. 고 진공과 배기 속도의 달성을 위해서, 고속으로 이동하는 격면과 기체분자를 충돌시켜, 기체 분자를 원하는 방향으로 유도하는 작동원리를 가지고 있다. 특히 공기분자의 밀도가 매우 낮은 희박가스 상태에서 고속 회전하는 blade로 공기분자를 쳐내면서 작동됨으로써 날개의 상하 압력차에 의한 공기력보다도 날개의 고속회전이 매우 중요시되고 압력으로는$10^{-1}Pa$ 이하의 분자 영역에서 그 성능을 최고로 발휘할 수 있다. 이러한 복합 펌프의 주요 장점은 다음과 같다. 1.$10^{-8}\;Pa$ ($10^{-10}torr$ )~10 Pa(1 torr) 까지 넓은 영역에서 배기가 가능하다. 2. 탄화수계의 대하여 높은 압축특성을 가지고 있고, 윤활유를 사용하지 않으므로 얻을 수 있는 진공상태가 고청정하다. (oil free) 3. 정밀 5축제어 자기베어링으로 완전히 부상하여 회전함으로서 마모가 없고 진동이 최소화 하였을 뿐 만 아니라, 또한 운전음도 거의 없다. 4. 설치조건에 제한이 없고 고장이 거의 없다. 본 논문에서는 이러한 복합분자펌프의 개발을 위하여, 상기 연구기관에서 수행된 내용을 소개하고 이으며, 진공펌프 블레이트 로터 회전체를 포함한 구조설계 및 해석결과와 5자유도 자기베어링 시스템을 이용한 기본 구동 결과를 나타내었다. -
반도체 공정 등에서 10-6~10-8 Torr의 고진공 환경을 제공하기 위하여 사용되는 고진공 터보분자펌프 (Turbomolecular Pump, TMP)는 다층의 회전깃을 갖는 로터를 회전시켜 분자를 배출시키는 방식을 사용하는 진공펌프이다. 또한 최근에는 디스플레이 및 반도체 공정에서 높은 진공도뿐만 아니라, 높은 배기속도를 요구하는 추세에 따라, 터보 펌프와 드래그 펌프부분을 동시에 가지고 있어 상대적으로 작동 진공도 영역이 넓은 복합 분자펌프(Compound Turbomolecular Pump, CMP)의 활용도가 넓어지고 있다. 이러한 분자펌프가 장시간의 고속회전에 적합하도록 비접촉 방식인 자기부상 방식의 적용이 최근 거의 표준화되어 있다. 자기베어링 시스템은 전자기력을 이용하여 자성체인 회전축을 부상지지 함으로써 비접촉 고속 회전이 가능하여 윤활이 용이하지 않은 진공 환경 등 가혹한 환경에 적합하며, 터보분자펌프는 자기베어링이 가장 널리 사용되고 있는 분야이기도 하다. 자기베어링 시스템의 설계는 크게 하드웨어와 소프트웨어로 나누어질 수 있는데, 하드웨어의 경우 전체 로터 시스템의 특성을 고려하여 설계되어야 하며, 주로 자기베어링 코어와 코일, 변위센서 및 전력 증폭 시스템 등의 기전적인 요소들이 이루어져 있다. 하드웨어 설계와 함께 제어시스템의 설계도 매우 중요하며, 이는 자기베어링 시스템이 불안정한 특성을 갖는 개루프계를 갖고 있으므로 안정화를 위한 능동제어 시스템이 필수적이며 진동제어 등 여러 가지 기능이 요구되기 때문이다. 본 논문에서는 이러한 자기부상형 고진공 복합분자펌프의 제어를 위한 선형제어시스템의 구성을 실제 시스템의 적용을 통하여 설명하였다. 각 제어기는 DSP 를 이용한 디지털 제어시스템으로 구성되었으며, 2, 500 l/s 급의 복합 분자펌프 시작품에 적용하여 10,000 rpm까지의 기본성능시험을 수행하였다.
-
본 개발에서는 초고속 복합 분자펌프 구동을 위한 디지털 구동장치를 설계하였다. BLDC구동을 위한 디지털 제어 시스템의 핵심제어 보드 설계 및 모듈 설계를 하여 보드제작 및 기본성능평가를 하고 고속 회전 실험을 하였다. AMB의 구동 특성을 파악하였으며 AMB 구동을 위한 와전류식 변위 센서의 구동 특성을 파악하였다. 와전류식 변위 센서의 거리측정 방법을 구현하였으며 AMB와 와전류식 변위센서 구동부를 설계하였다.
-
배기속도 2500 L/s, 최고진공도
$10^{-10}$ mbar를 구현할 대용량 복합 분자펌프 설계를 위한 3차원 유동해석을 실시하였다. 진공도가$10^{-5}$ mbar 이상이 되는 고진공도에서는 Knudsen 수가$10^2{\sim}10^7$ 에 이르러 분자간 충돌을 거의 무시할 수 있게 되며, 이때의 유체해석 방법으로서는 통상 희박기체 해석법으로 많이 쓰이는 Direct simulation Monte Carlo 방법보다, 충돌이 없는 분자의 자유운동을 모사하는 Monte Carlo 방법이 더 적합하게 된다. 본 연구에서는 다단계 rotor와 stator로 구성되는 복합분자 내 유동장에 Monte Carlo 해석법을 적용하여 유동해석을 실시하였다. 먼저 2차원 해석을 실시하여 분자펌프의 성능에 중요한 영향을 미치는 설계변수들을 도출하고, 이 설계변수들의 최적값을 다양한 3차원 유동해석을 통해 도출하였다. 해석결과는 펌프설계에 적용되어 펌프 성능시험결과를 통해 확증된다. -
CIGS 박막태양전지는 박막태양전지 기술 중 가장 주목을 받고 있는 기술에 해당한다. 그 이유는 박막태양전지 기술 중 즉, CdTe, a-Si, CIGS 중 가장 셀 효율이 높게 구현되고 있으며, 특히 다양한 제조공정이 가능하기 때문이다. 현재 CIGS 박막태양전지 양산에 적용되고 있는 제조기술은 동시증발법과 스퍼터/셀렌화 공정이다. 동시증발법의 경우, CIGS 태양전지의 세계최고효율을 구현한 기술로서 다른 모든 제조기술의 기준이 되는 공정이나, 실제로는 스퍼터/셀렌화 공정을 이용한 양산 규모가 훨씬 크게 전개되고 있다. 본 논문에서는 동시증발법이 최고효율을 구사한 물질 및 공정 스펙에 대해 살펴보고, 스퍼터/셀렌화 공정에서 동시증발법에 의해 제조된 소자 스펙을 구현하기 위해 어떠한 노력을 기울여야 하는 지에 대해 기술하고자 한다. 먼저, 동시증발법이 적용된 양산기술 현황에 대해 살펴보고, 여러가지 스펙 중에서 Na 제어기술, 버퍼층 기술, 투명전극 측면에서 소자성능의 최적화를 논하고자 한다. Na의 경우, 널리 알려진 바와 같이 CIGS 내 0.1at% 정도의 함유량이 필요하다. 동시증발법과는 다른 공정온도와 이력이 사용되는 스퍼터/셀렌화의 경우, Na 함량의 제어를 위해 어떠한 노력이 필요한지 Na의 역할 측면에서 논하고자 한다. CBD 공정으로 제조되고 있는 CdS는 얇은 두께와 단순한 공정으로 인해 다소 소홀하기 쉬우나, CdS/CIGS 접합이 소자의 성능에 미치는 영향이 매우 크기 때문에 CIGS 표면 물성 제어 측면에서 CdS 제조공정을 살펴보고자 한다. 마지막으로 투명전극은 CIGS 제조공정과는 무관하게 공통으로 검토가 필요한 분야이나, 동시 증발법에 의한 CIGS 표면형상이 스퍼터/셀렌화에 의한 CIGS와는 크게 다르므로 후속 투명전극공정 또한 세부적인 검토가 필요하다고 판단되는 바, 투명전극이 갖춰야하는 물성을 중심으로 소자최적화를 논하고자 한다.
-
우리가 잘 아는 반도체 응용분야 중 하나인 박막 태양전지의 기본원리와 이를 양산하기위해 극복해야 할 문제점들과 실제로 어떠한 방향으로 세계적인 연구가 진행되고 있는지 알아 본다. 특히 Si Bulk와 CIGS 박막 태양전지의 측정분석 tool의 차이점은 무엇인지, CIGS 박막 태양전지의 효율 저하를 유발하는 Killer defect들은 어떤 것들이 있는지, 그리고 어떻게 하면 20% 이상의 고효율을 달성할 수 있을지 살펴 보고자 한다. 특히 이러한 효율저하를 일으키는 Sub-bandgap defect에서의 Recombination mechanism에 대한 Device Physics를 SCAPS simulation을 이용하여 쉽게 설명하고자 한다.
-
Cu(In, Ga)
$Se_2$ (CIGS),$CuInS_2$ (CIS) 등의 Se, S계 화합물 박막 소재를 활용한 태양전지는 높은 광흡수 계수, 상대적으로 높은 효율, 화학적 안정성, 도시적인 미관 등으로 인하여 최근 부각되고 있다. 하지만 CIGS, CIS 등의 Se, S계 박막 소재는 상대적으로 매장량이 적은(희유 원소) In, Ga을 사용하고 있는 약점이 있으며 특히 In의 경우는 LCD Display에 사용되는 ITO 필름으로 인해 가격이 상승하고 있다. 따라서 결정질 실리콘 태양전지의 경험에서와 같이 생산량의 급증에서 기인하는 소재 부족 문제를 미연에 방지하고 안정적인 성장을 이루기 위해서는 희유 원소인 In과 Ga을 저가 범용원소로 대체 하는 기술을 추가적으로 개발해야 한다.$Cu_2ZnSnS_4$ (CZTS) 박막 태양전지는 Se, S계 태양전지에서 III 족 원소인 In, Ga을 II-IV 원소인 Zn와 Sn으로 대체하는 기술로 기존의 CIGS계 태양전지가 보유하고 있는 장점을 유지하면서 저가 태양전지를 구현할 수 있는 대체 물질로 최근 많은 관심을 받고 있다. CZTS 박막 태양전지 관련 세계 기술동향 조사에 따르면, 최근 2008년에 일본 Nagaoka 대학의 Katagiri 그룹에서 스퍼터를 이용하여 제조한 CZTS 박막 태양전지의 최고 효율이 6.77%가 됨을 보고하였고, 2010년 초에는 IBM에서 스핀코팅법을 이용하여 제조한 CZT(S, Se) 박막 태양전지의 효율을 9.66%까지 올릴 수 있음을 Advanced Materials에 보고하였다. 본 발표에서는 우선 CZTS 박막태양전지 제조 및 특성 분석 관련 개요 및 세계 기술 개발 동향 분석 결과를 설명할 것이다. 또한 본 실험실, 에너지 기술 연구원 및 KIST, 영남대 등 국내에서 진행되고 있는 CZTS 관련 기술 개발 현황에 대하여 설명할 것이다. -
지구온난화와 화석연료의 고갈이 심각해지면서 청정에너지원으로서 신재생에너지에 대한 관심들이 더욱 고조되고 있다. 전세계적으로 그린에너지 정책도 다양해지고 인류의 미래를 대비해야한다는 목소리도 높아지고 있다. 하지만 무한한 에너지 소스인 태양광을 활용하기 위한 태양광 발전 시스템은 아직 발전비용이 높아 각국 정부의 지원정책에 많이 의존하고 있는 실정이다. 머지않은 장래에 grid parity를 달성함과 동시에 폭발적인 시장 성장이 예측되고 있지만 아직까지는 현수준의 상용전력 단가에 이르기에는 가야할 길이 멀어 보인다. 이러한 가운데 최근에 단순한 제조공정과 낮은 비용을 기반으로하는 박막 태양전지들이 주목받고 있다. 특히 박막태양전지 가운데서도 반도체 공정에서 많은 연구가 진행되었고 자연에 풍부하면서도 비독성인 실리콘을 기반으로 하는 태양전지가 미래의 핵심 태양전지로 성장할 것으로 기대된다. 따라서 본 세미나에서는 박막 실리콘 태양전지의 고효율화 전략과 최근의 기술개발 동향에 대해서 살펴보고 박막 실리콘 태양전지가 나아 갈 길을 모색해보고자 한다.
-
결정성과 전하 이동도가 우수한 CdTe 박막을 증착하기 위하여 근접승화법(CSS), chemical spraying법, 전착(electrodeposition)법, screen printing법, 화학기상증착(MOCVD)법 및 sputtering법등이 응용되고 있으며 이들 방법은 각기 다양한 장단점을 가지고 있다. CdTe 태양전지를 성장시키는 다양한 방법 중에서 본 발표는 CBD를 이용한 CdS와 CSS를 이용한 CdTe 박막 태양전지를 성장하는 방법을 포함한다. 다양한 조건에서 성장된 박막의 물성과 CdCl2와 열처리를 통한 성능개선에 대해 발표할 예정이다. 또한, 공기의 index와 박막의 index 차이가 크기 때문에, escape cone의 angle이 매우 작고, 박막의 경우 표면이 비교적 평평하기 때문에, 광소자(LED와 Solar Cell)는 표편 텍스처링이 성능을 향상시키기 위해 필요하다. Natural Lithography, Wet-etching, Dry-etching, index-grading을 이용하여, LED와 태양전지에서 uniform하고 대면적에 적용가능한 표면 택스처링 방법에 대해 발표할 예정이다.
-
칼코파라이트 구조의CuInSe2 (CIS) 계 화합물은 직접천이형 반도체로서 높은 광흡수 계수(
$1{\times}10^5\;cm^{-1}$ )와 밴드갭 조절의 용이성 및 열적 안정성 등으로 인해 고효율 박막 태양전지용 광흡수층 재료로 많은 관심을 끌고 있다. CIS 계 물질에 속하는$Cu(InGa)Se_2$ (CIGS) 태양전지의 경우 박막 태양전지 중 세계 최고 효율인 20%를 달성한 바 있다. 그러나 이러한 우수한 성능에도 불구하고 CIS 계 박막 증착시 동시증발장치나 진공 스퍼터링 장치와 같은 고가 진공장비를 사용해야 한다는 점이 CIS 박막 태양전지 상용화의 걸림돌이 되고 있는데, 이는 장비 특성 상공정단가가 높고 대면적화가 어렵기 때문이다. 따라서 기술개발 이후의 상용화 단계를 고려할 때 CIS 박막 제조 공정단가를 획기적으로 낮추면서도 대면적화가 용이한 신공정 개발이 필수적이다. 이러한 관점에서 용액 및 나노 입자 전구체를 비진공 방식으로 코팅하여 CIS 광흡수층을 제조하는 기술이 CIS 태양전지의 저가화 및 대면적화를 가능케 하는 차세대 기술로 인식되고 있다. 본 세미나에서는 다양한 형태의 용액 또는 입자 전구체를 이용한 CIS 광흡수층 제조 기술개발 현황 및 각 기술별 특징을 소개하고자 한다. -
건물일체형 태양전지 (BIPV; building integrated photovoltaics)나 야외 태양광 발전 차양 등의 태양광 발전에는 기존의 유리 기판 태양전지보다 가볍고 유연한 flexible 박막 태양전지가 설치하고 운영하는데 적합하다. 이러한 flexible 박막 태양전지는 자동차나 휴대기기의 전원이나 배터리의 충전기기로도 쓰이며 그 수요가 증가 추세에 있다. 특히, flexible Cu(In, Ga)
$Se_2$ (CIGS) 박막 태양전지는 기존의 flexible 실리콘 박막 태양전지보다 효율이 높아서 앞으로 성장 잠재력이 매우 높다. 세계적으로도 많은 기업이 상용화를 추진하고 있으며, 2007년부터 시장에 진입하고 있다. 그러나 현재의 flexible CIGS 박막 태양전지는 유리 기판 CIGS 박막 태양전지보다 효율이 낮고 패키지를 유리에서 플라스틱으로 대체하기 때문에 수명이 짧다. 또한, 아직도 완전한 양산 체제로 전환이 이루어지지 않았기 때문에 해결해야 할 문제점이 많이 있다. Flexible 기판으로는 스테인리스 스틸이나 폴리머 기판이 사용되는데, 유리 기판에 비해 저가 태양전지를 제조할 수 있을 뿐만 아니라 roll-to-roll 공정을 적용할 수 있어 가격 경쟁력을 확보할 수 있다. 특히, 금속 유연기판을 사용할 경우, 유리 기판에 비해 상대적으로 고온 공정이 가능한 장점이 있다. 그러나, 금속 기판을 사용할 경우 해결해야 할 두 가지 이슈가 있다. 첫째, CIGS 흡수층 형성에 도움을 주는 Na의 공급 문제이다. 유리 기판의 경우 기판에 포함되어 있는 Na이 확산을 통해 공급되지만, 금속 기판의 경우 별도의 Na 공급 방법을 고려해야 한다. 둘째, 불순물 확산 방지막 및 전기 절연층으로 사용되는 유전체 박막의 문제이다. 현재 다양한 금속 산화물 유전체 박막을 사용한 연구가 진행되고 있다. 본 논문에서는 flexible CIGS 박막 태양전지의 기술적 이슈 및 현재 연구 현황을 살펴보고, 스테인리스 스틸 기판을 이용한 CIGS 박막 태양전지에서 유전체 확산 방지막에 따른 특성을 비교하고자 한다. 스테인리스 스틸 기판의 불순물로부터의 확산을 방지하기 위하여 두 종류(intrinsic ZnO와 SiOx)의 유전체 박막을 각각 Na가 도핑된 Mo층과 스테인리스 스틸 기판 사이에 삽입하여 소자를 제작하였다. 확산 방지막이 없는 경우, SiOx층을 사용한 경우, 그리고 intrinsic ZnO 층을 사용한 경우에, 효율은 각각 7.47, 11.64, and 13.95%로 나타났다. 셀의 크기는$0.47\;cm^2$ 이고, 반사방지막은 사용하지 않았다. -
CIGS 태양전지는 박막 태양전지 중 가장 높은 potential을 지닌 태양전지로 각광받고 있으나, 상업적 이행이 타 박막 태양전지에 비해 더디게 진행되고 있다. 그 이유는 기존의 잘 알려진 방식이 고효율에는 유리하나, 양산용 설비의 개발 미비 등 양산관점에서 매우 불리하기 때문이다. 또한, CIGS 태양전지를 상업화하기 위해 많은 기업과 연구 집단에서 개발을 진행하고 있으나, 대부분 각 기업의 노하우적 성격이 강하여, 기술이 보편화되지 못한 것도 주요한 원인이다. CIGS 태양전지의 다양한 제조기술 중 현재까지 가장 양산화에 유리한 기술은 Sputter/Se화 기술이다. 이는 기존 FPD/semi conductor 산업에서 발전된 sputter 및 열처리 기술을 활용할 수 있기 때문이다. 그러나, CIGS 태양전지는 기본적으로 4원~5원 화합물 태양전지이므로, 기존의 장비 및 기술을 그대로 적용하는 것에는 많은 어려움이 따른다. 본 paper에서는 CIGS 태양전지의 일반적인 제조기술과 sputter/Se화 기술에 대해서 논의하고자 한다.
-
국가표준기본법에 명시되어 있는 3대 표준분야는 측정표준, 성문표준, 참조표준이다. 특히, 참조표준은 엄밀한 평가 과정을 거쳐 그 신뢰성이 보장된 수치 데이터를 의미한다. 데이터의 신뢰성을 보장하기 위해서는 데이터의 평가기준 수립, 평가기준에 의거한 데이터 평가 과정을 거쳐야 한다. 이러한 체계를 갖추기 위하여 국가참조표준센터가 2006년에 설립되었으며 2010년 현재 17개의 데이터센터가 지정되어 각 담당 분야별로 참조표준을 확립하기 위한 작업을 수행하고 있다. 본 발표에서는 참조표준의 개념, 체계 그리고 현황에 대해 알아보고자 한다.
-
Since the characteristics of plasmas depend strongly on the interactions between plasma particles such as electron, ions, and neutrals, a well-established atomic and molecular database is needed to understand and produce various types of plasma. Thus, National Fusion Research Institute (NFRI) started to establish the plasma property DB for fusion and industrial plasma from last 2002. Here we describe our recent data evaluation activities regarding to production of atomic and molecular data that are needed for modeling plasma in fusion tokamaks and also low temperature industrial plasmas.
-
플라즈마를 이용한 건식식각공정은 현 반도체, 디스플레이, 태양광 산업에 널리 적용되는 공정으로며 일부공정은 플라즈마 없이는 식각공정이 불가능할 정도로 매우 중요한 공정이다. 건식식각공정은 크게 플라즈마의 이온에 의한 물리적인 프로세스와 라디칼에 의한 화학적인 프로세스로 나눌 수 있으며, 최근 들어, 화학적인 식각프로세스가 매우 중요함이 알려지게 되었다. 본 발표에서는 화학적 식각프로세스에서 가장 중요한 역할을 하는 플라즈마 라디칼을 챔버가 공정에 제한받지 않고 널리 쓰일 수 있는 데이터 베이스로 생산하는 아이디어 전략을 소개하는 시간을 통해 건식식각물성데이터 센터의 소개와 참조표준 데이터베이스의 중요성을 알리고자 한다.
-
Absolute electron-impact cross sections for molecular targets including their radicals are important in developing plasma reactors and testing various plasma processing gases. However, low-energy electron collision data for these gases are sparse and only the limited cross section data are available. In this presentation, the methods and the status of measurements of, mainly, absolute elastic cross sections for electron-polyatomic molecule collisions will be discussed with recent results from Chungnam National University. Elastic cross sections are essential for the absolute scale conversion of inelastic cross sections, as well as for testing computational methods.
-
기존에 쓰이고 있는 주 원료인 실리콘 박막 태양전지의 SiH4 가스의 데이터를 분석하고, 데이터가 거의 전무하나 유사한 구조를 지닌 GeH4를 시뮬레이션을 통해 연구하고 이 두 기체를 비교 분석해 보았습니다.
-
Low-pressure fluorocarbon plasmas are widely used in microelectronics fabrication for a variety of surface modification purposes. In particular, fluorocarbon plasmas are used for the etching of dielectrics such as silicon dioxide and silicon nitride. Among the various fluorocarbons, this study focuses on C4F6 molecules (C4F6s) which are composed of hexafluorocyclobutene (c-C4F6), hexafluoro-1, 3-butadiene (1, 3-C4F6), and hexafluoro-2-butyne (2-C4F6). We have investigated the dissociation reactions of C4F6s, resulting in CF2, CF3, C2F3, and C3F3 fragments, by using the wB97X-D functional with various basis sets. In this presentation, the geometrical properties, energetics, and dissociation mechanisms of C4F6s will be suggested.
-
최근 반도체, 디스플레이 및 태양전지 공정장비의 대면적화는 일반적인 추세라고 할 수 있으며, 특히 매우 높은 주파수로 구동되는 축전결합플라즈마원의 경우에 기존 장비에서 나타나지 않던 파동현상이 발현하게 된다는 사실이 잘 알려지고 있다. 그러나, 이러한 현상에 대한 물리학적 이해가 충분하다고 할 수 없고 분석도구로서의 전산모사 연구, 개발은 매우 부족한 상황이므로 이로 인해 장비의 설계에서부터 공정조건 안정화에 이르기까지 많은 측면에서 문제점들이 나타나고 있다. 따라서, 생산현장에서 나타나는 이러한 문제점들을 극복하기 위한 물리학적 모델링과 전산모사의 필요성이 매우 높아지고 있는 상황이며 본 연구에서는 지금까지 발표된 이론적인 연구결과들을 정리, 분석하고 앞으로 진행되어야 할 연구, 개발의 방향을 조명해 보고자 한다.
-
낮은 세기의 레이저와 정지한 전자가 반응하면 전자는 레이저 전기장 세기에 비례하여 가속되며 레이저의 파장과 같은 파장의 빛을 낸다. 반면, 레이저의 세기가 일정 수준을 넘으면 전자의 속도가 빛의 속도에 가까워지게 되어 가속이 둔화되는 현상이 나타나며, 더 이상 전기장의 세기와 가속도가 비례하지 않게 된다. 이러한 비선형적인 전자의 운동이 레이저 기본 파장의 조화파(harmonic)를 발생시키는데, 이를 상대론적 비선형 톰슨 산란(relativistic nonlinear Thomson scattering, RNTS)이라고 한다. 단일 전자를 가정한 경우 RNTS에 의해 아토초(
$10^{-18}$ 초) 길이의 X선 펄스가 발생하는 것이 시뮬레이션 연구를 통해 잘 알려졌다. [1] 그러나, 실제 실험에서 적용할 수 있는 것은 단일 전자가 아니라 고체, 플라즈마, 전자 빔 등의 전자 덩어리이다. 전자덩어리를 구성하는 각각의 전자가 아토초 펄스를 발생시더라도 각각의 펄스 간에 결맞음(coherence) 조건이 맞지 않으면 아토초 펄스는 발생되지 않는다. 또한, 강한 세기의 펄스를 얻는데도 결맞음은 중요하다. 이 연구에서는 결맞음 조건으로 얇은 타깃에 대한 거울 반사 조건, 즉 레이저가 얇은 타깃에 입사되며 거울의 반사 조건을 만족하는 위치에 검출기(detector)를 위치시키는 방법을 제안하였다. 박막이 충분히 얇을 경우 각각의 전자에 대하여 레이저가 발사되어 타깃에 맞고 검출되기까지의 시간이 거의 일치하게 된다. 거울 반사 조건에 의한 아토초 펄스 발생은 particle-in-cell 방법을 통한 시뮬레이션으로 검증되었다. 결맞음 조건을 위한 얇은 타깃으로는 박막과 나노선 배열(nanowire array)을 사용하였다. 전자들 간의 쿨롱(Coulomb) 힘은 결맞음이 유지되는 것을 방해하는데, 박막에 비해 나노선 배열이 쿨롱 힘의 영향을 적게 받기 때문에 결맞음이 더 잘 유지된다. -
인공위성이 임무를 수행하는 우주환경은 지상 환경과 달리 고진공 및 극저온의 극한환경으로 지상에서는 제대로 작동하는 것으로 관찰되더라도 우주환경에서는 예상하지 못한 기능장애를 일으켜 위성의 성능에 치명적인 영향을 미치기도 한다. 이에 10-5 torr 이하의 고진공과
$-180^{\circ}C$ 이하의 극저온 환경을 지상에서 모사하여 위성체의 안정성 및 신뢰성을 시험한다. 한국항공우주연구원에서 보유한 각종 우주환경모사용 챔버들은 설치 후 10년 이상 가동한 노후 장비들로 적정 성능을 지속적으로 유지하기 위하여 기본이 되는 진공 펌프들의 교체 작업을 수행하였다. 특히, 기존 사용하던 저진공펌프 중 oil type의 rotary vane 펌프를 dry 펌프로 교체하였으며, 배기 전 준비과정에 많은 시간이 소요되는 cryo 펌프를 turbo-molecular 펌프로 교체하였다. 본 논문에서는 펌프 교체 전 적정 사양 선정을 위한 준비과정 및 펌프의 교체 및 그 결과에 대해 기술한다. -
사중극 질량 분석기(Quadrupole Mass Spectrometer, QMS)는 높은 정확도와 사용이 쉬운 장점으로 인해 반도체 및 디스플레이 산업 등의 진공공정에서 잔류가스를 측정하고 분석하는 기기로써 반도체 및 디스플레이 소자제조를 위한 공정 진단에서 많이 사용되고 있다. 특히 고진공으로 내려가면서 리크 디텍션(leak detection)과 미세 량의 잔류기체 감지가 더욱더 요구되며 특히
$H_2$ 및 CO의 경우 측정에 많은 어려움이 있다. 따라서$H_2$ 및 CO의 미세 량을 감지하기 위하여 QMS의 성능을 평가할 수 있는 parameter 중 하나가 될 수 있는 minimum detectable partial pressure(MDPP)를 측정하였다. 실제 고진공에 도달하여 MDPP를 계산하기 위해서는 bake out이 필요하며 또한 가스가 주입되지 않은 상태에서 잔류기체의 조성을 정확히 알 수 없기 때문에 정량적 분석이 어렵다는 단점이 있다. 본 실험에서는 측정하고자 하는 물질의 소량 포함된 표준가스를 사용하여 부피확장방법으로 가스 챔버로 희석하여 이동시키고 핀홀에서 가스유량을 더 줄여서 QMS가 기체를 감지하는 압력범위를 유지하면서 가스를 인가하여 주어 그때의 MDPP를 계산하였다. 또한 tuning을 통해 이온전류를 증폭시켜 더 향상된 MDPP를 측정하였다. 이 방법을 사용하면 bake out을 통한 고진공에 도달하지 않고서도 MDPP를 측정할 수 있으며, 정확한 조성 및 부분압을 알 수 있고 또한 희석된 가스를 사용하여 MDPP를 더욱 더 향상시킬 수 있다. -
반도체 소자의 선폭이 감소함에 따른 금속배선의 저항이 증가하면서 반도체 배선물질을 copper로 대체하려는 연구가 진행되고 있다. 그러나 copper를 금속배선에 사용하게 되면 대기 상에서 노출 시 쉽게 산화가 일어나며 형성된 산화물의 미세조직이 치밀하지 못하여 계속적인 산화가 진행되고, 후속 열처리 공정 시 copper가 유전체로 확산되어 소자의 정상적인 작동을 방해하게 되는 문제점을 가지고 있다. 이러한 문제점을 해결하기 위해서 copper의 확산 및 산화를 방지하는 물질로 cobalt가 각광받고 있다. Cobalt는 낮은 저항과 열적 안정성이 우수하여 copper와의 연동에 문제가 없으며, 소자의 작동에도 영향을 미치지 않는다. Cobalt 박막의 적용을 위해 patterning 단계를 줄일 수 있는 선택적 증착공정의 개발도 요구되고 있다. 본 연구에서는 우수한 층덮힘(step coverage)과 양질의 박막을 증착할 수 있는 MOCVD 공정을 이용하였고, cobalt 전구체로서
$Co(hfac)_2$ (hfac: hexafluoroacethylacetonate) 전구체와$Co_2$ (CO)8 (CO: carbonyl) 전구체를 사용하였다. 각각의 전구체에 따라 선택적 증착이 가능한 공정조건을 찾기 위한 연구를 진행하였다. -
We, previously, proved that the noise in the vertical readback from some of beam position monitors (BPMs) in the vacuum chamber of Pohang Light Source (PLS) are caused by the transverse electric (TE) longitudinal harmonic resonances. Based on this analysis, we now design the shunt structure to remove the TE mode resonces near the BPMs operation frequency of 500 MHz in the storage ring vacuum chamber of PLS upgrade project (PLS-II). The simulation result and experimental test result will be presented.
-
반도체 및 디스플레이의 진공부품은 알루미늄 모제에 전해연마법(electrolytic polishing), 양극산화피막법(anodizing), 플라즈마 용사법(plasma spray) 등을 사용하여
$Al_2O_3$ 피막을 성장시켜 사용되고 있다. 반도체 제조공정 중 30~40% 이상의 비중을 차지하는 식각(etching) 및 증착(deposition) 공정의 대부분 은 플라즈마에 의해 화학적, 물리적 침식이 발생하여 피막에 손상을 일으켜 피막이 깨지거나 박리되면서 다량의 particle을 생성함으로써 생산수율에도 문제를 야기 시킨다. 본 연구에서는 이러한 진공부품의 하나인 etcher용 상부전극을 양극산화피막법(Anodizing)으로$Al_2O_3$ 피막을 성장시킨 샘플을 제작하여 플라즈마 처리에 따른 내전압, 식각율, 표면 미세구조의 변화를 관찰하였고 이를 종합적으로 고려하여 etcher용 상부전극의 Life Time 평가 방법을 연구하였다. 이러한 실험을 통해 플라즈마 처리 후 피막에 크랙이 발생되는 것을 확인할 수 있었고 피막의 손상으로 전기적 특성이 감소되는 것을 확인할 수 있었다. 또한 플라즈마 처리 중 ISPM 장비를 이용하여 플라즈마 공정에서 발생하는 오염입자를 실시간으로 측정할 수 있는 방법을 연구하였다. 이러한 결과를 이용하여 진공공정에서 사용되는 코팅부품이 플라즈마에 의한 손상정도를 정량화 하고 etcher용 상부전극의 Life Time 평가 방법을 개발하여 부품 양산업체의 진공장비용 코팅부품의 개발 신뢰성 향상이 가능할 것으로 기대된다. -
포항가속기연구소에서는 성능향상사업(PLS-II)를 수행하고 있다. 전자빔의 에너지는 2.5에서 3 GeV로, 빔전류는 200 mA에서 400 mA로 증가되는 반면 빔에미턴스는 약 1/3로 줄어든다. 저장링 진공시스템은 저장된 전자가 충분한 시간동안 저장되도록
$10^{-9}$ Torr 대의 진공도를 가져야 한다. 빔에너지와 전류가 늘어나기 때문에 기체부하도 약 4배 상승하므로 적절한 배기 시스템을 가지도록 설계되어야 한다. 본 논문에서는 저장링 Main pump로 사용할 조합펌프, Lumped NEG 펌프의 설계 과정과 시험결과 에 대하여 보고하고자 한다. -
반도체 및 디스플레이 산업에 사용되는 진공펌프의 효율이 증대됨에 따른 성능 평가 기술의 향상 과 미세 유량을 조절 및 측정할 수 있는 시스템의 개발이 요구되고 있다. 유량 시스템 중 소닉노즐은 기체 유량 측정 표준기로 사용되고 있다. 또한 유량 측정에 있어서 사용상의 편리성, 이동성, 재현성 등 여러 가지의 장점을 가지고 있어 산업 현장에서 많이 사용되고 있다. 본 연구는 소닉노즐을 넓은 유량 범위에서 사용할 수 있도록 소닉노즐의 유출계수 교정을 목적으로 한다. ISO 9300에서 제시한 사양에 맞추어 목 직경 0.03 mm와 0.2 mm 그리고 1.6 mm의 소닉노즐을 제작하였다. 한국표준과학연구원에서 진공용 유량측정 장치로 개발된 정적형 유량계를 이용하여 제작된 3 종의 소닉노즐 유출계수를 확장불확도 3% 이내로 교정하였다. 교정된 소닉노즐의 유량 측정범위는 약 0.6~90, 000 cc/min 범위를 갖는 것으로 나타났으며, 사용유동 조건에 해당되는 레이놀드 수(Reynolds number) 범위는 26~75, 700 으로 확인되었다. 이러한 결과는 교정된 소닉노즐을 이용하여 진공공정에서 필요한 극 미세 유량의 정밀측정을 가능하게한 새로운 연구결과로 판단된다. 교정된 소닉노즐을 이용하여 진공펌프의 배기속도 측정결과는 기 구축된 정적법을 이용한 배기속도 측정결과와 3% 이내의 오차범위내로 매우 잘 일치함을 보였다. 교정된 소닉노즐은 향후 반도체 및 디스플레이 공정에 사용되는 다양한 진공펌프들의 배기속도를 현장에서 간단하게 평가할 수 있는 '현장 성능평가 장치'에 활용할 예정이며, 현재 공정현장에서 배기속도 측정에 널리 사용 중인 MFC를 대체할 수 있을 것으로 예상된다.
-
고진공펌프 중의 하나인 터보분자펌프(turbo-molecular pump: TMP)는 반도체/디스플레이 등 첨단 공정에서 진공 환경을 조성하는 핵심장비로서 현재 한국표준과학연구원 진공기술센터에서 개발 중인 고진공펌프 종합특성평가시스템을 구축 중이며, 1000 L/s 및 2500L/s 배기속도 용량을 가지는 터보분자펌프(TMP)의 database를 구축하고 있다. 이에 터보분자펌프(TMP)의 배기속도 측정 시 사용되는 가스의 분자류 영역에 따른 배기속도의 변화를 연구하고자 한다. 터보분자펌프(TMP)의 배기속도는 분자류 영역에 따라 상이한 배기속도를 가진다. 특히 가벼운 분자들은 터보분자펌프(TMP)로 배기시키기 어려우며, 분자량이 작은 가스들은 분자량이 큰가스 분자들에 비해 압축비(compression ratio)도 작아진다. 압축비가 큰 경우에는 실재 운전조건에 무관하게 배기속도가 최대값을 가지지만, 압축비가 작을 경우에는 운전 시 터보분자펌프(TMP)의 압축비에 따라 배기속도가 달라 질 수 있으며, 압축비는 펌프의 inlet에서의 압력과 exhaust에서의 압력의 비이다. 즉, 가벼운 기체 분자(H2, He 등)들은 무거운 기체 분자(N2, Ar 등)들에 비해 배기속력이 작아진다. 현재 개발 중인 한국표준과학연구원 진공기술센터의 고진공 종합특성평가시스템을 이용하여 분자류 영역에 따른 가벼운 기체 분자와 무거운 기체 분자의 배기속도를 측정하여 분자류 영역에 따라 상이한 배기속도의 변화를 연구하고자 한다. 본 논문에서는 터보분자펌프(TMP)의 분자류 영역에 따른 가벼운 기체 He과 무거운 기체 N2를 사용하여 압축비의 변화와 배기속도 측정에 관해 상관관계를 제시하며, 분자류 영역에 따른 터보분자펌프(TMP)의 배기속도 운전성능을 제시하고자 한다.
-
게이트 밸브는 진공 시스템 구성에 있어서 빠질 수 없는 중요한 부품 중 하나로 공간을 구획하여 필요에 따라 자유롭게 진공 상태와 대기 개방 상태가 공존하도록 만들어 주며 진공펌프와 같은 주요 기기들의 탈착 및 교체를 용이하게 해 준다. 게이트 밸브는 열린 상태에서는 저항이 최소화되는 반면 닫힌 상태에서는 마치 마구리 플랜지로 막은 것처럼 완벽한 차단이 요구된다. 일반적으로 게이트 밸브 상용제품은 개스킷이 닿는 밸브 시트가 한쪽에만 마련되어 있으므로 디스크 기계구조가 충분한 압력을 발휘하지 못한다면 어느 쪽이 대기압인가에 따라 디스크를 미는 힘의 크기가 변하여 기밀특성이 달라질 수 있다. 따라서 게이트 밸브의 누설검사는 순방향과 역방향 모두에 대해 시행해야 한다. 양방향 누설검사를 위해 한쪽 밸브 공간을 배기하고 반대쪽 공간에 헬륨을 뿌리는 작업을 교대로 하려면 배기시간의 단축과 신속한 잔류 헬륨기체 제거가 필수적이다. 게이트 밸브 제조 공장에서 상품을 출하하기 위한 시험검사라는 것을 전제로 합리적인 시간 내에 정확한 누설검사를 일관성 있게 할 수 있는 적절한 누설검사 시스템을 설계하고 측정절차를 상용 게이트 밸브에 대해 적용해 보았다.
-
현재 포항가속기연구소의 선형가속기에서 운전되고 있는 모듈레이터는 클라이스트론의 펄스 전원을 공급하는 펄스 전원공급 장치로서 출력은 최대 400 kV, 500 A,
$7.5\;{\mu}s$ 의 펄스를 S band 클라이스트론에 공급한다. 모듈레이터의 고전압펄스 약 40 kV을 스위칭 하기위하여 진공스위치인 싸이라트론 스위치를 사용하고 있다. 모듈레이터 시스템에서 Jitter 발생 요인을 살펴보면, 대부분 싸이라트론 스위치회로에서 발생된다. 싸이라트론이 유발시키는 Jitter는 약 10 ns 이하이므로 매우 적다고 할 수 있다. 스위치의 Jitter가 적음에도 불구하고 모듈레이터 시스템에서 Jitter가 크게 발생한다면, 싸이라트론의 음극(cathode)에서 양극(anode)으로 흐르는 전자빔을 제어하는 Control Grid(G1 & G2)의 pulse 전압의 Jitter가 크기 때문이다. 싸이라트론 Grid 2에 공급되는 pulse 전압의 Jitter를 줄이는 것은 필수적이라 하겠다. 진공스위치인 싸이라트론 Grid에 pulse 전압을 공급하는 싸이라트론 드라이버 시스템의 안정화가 중요하므로 시제품 설계시 안정성을 충분히 고려하였다. 본 발표에서는 시제품으로 제작한 Thyratron Drive System의 기능 및 측정결과에 대해서 기술하고자 한다. -
본 논문은 진공챔버 내부에서 위성 표면의 온도를 제어하기 위한 할로겐램프를 이용한 적외선 발열장치의 개발에 관한 것으로, 인공위성이 우주궤도에서 받게 되는 복사에너지를 지상의 진공챔버 내에서 모사하기 위한 비접촉 적외선 발열장치에 관한 것이다. 진공챔버 내에서의 비접촉식 발열 방법 중, 진공환경에서의 오염을 발생시키지 않고, 발열 시간 및 냉각 시간이 가장 짧으며, 높은 열효율로 태양복사에너지를 가장 근사하게 모사할 수 있는 할로겐 램프를 이용한 발열 방법을 적용하였으며, 램프에서 방사되는 열에너지가 위성표면에 균일하게 분포될 수 있도록 위성 표면으로부터의 거리와 램프의 개수, 램프의 배열에 따른 에너지 분포 계산식을 도출하여 적용하였다. 공급 전압에 따른 램프의 저항특성을 파악하여, 원격으로 제어되는 150 VDC, 5 A의 직류전원공급기를 이용해 램프의 발열량을 조절하였으며, 발열량에 따른 위성 표면온도에 대한 해석을 수행하였다. 램프를 이용한 비접촉식 적외선 발열장치 개발을 통해 진공환경에서의 시험대상에 대한 효율적인 열에너지 부과방법 수립이 가능하였다.
-
Seebeck 효과를 이용한 열발전 소자는 에너지 절약에 대한 사회적인 필요성이 크게 대두됨에 따라 산업폐열 등 저급의 열에너지를 이용한 발전과 무인 작동이 가능하다는 점에서 군사 의료용 및 인공위성의 보조전원의 특수 목적용 전원등으로 사용하고 있다. 또한 Peltier 효과를 이용한 열전냉각 소자는 전자 광학기의 냉각 및 항온유지 등에 이용되고 있다. 이러한 열전소자 중 Bismuth Telluride계 열전소자는 상온부근에서 작동효율이 우수한 것으로, 단결정 또는 소결재를 이용하고 있다. 박막형 열전재료 및 이를 이용한 열전박막소자의 제조와 특성에 관한 연구가 활발히 진행되고 있다. 본 연구에서는 Bi-Te계 열전박막을 기상 증착법으로 제조하였고, 이에 사용되는 다양한 전구체 (
$Bi(Me)_3$ ,$Bi(Et)_3$ ,$Te(iPr)_2$ ,$Te(Et)_2$ ,$Te(t-Bu)_2$ )에 대한 증기압, 순도 측정 및 기상 분해특성 평가를 진행하였다. 전구체의 증기압 및 순도 측정을 위해선 자체적으로 제작한 시스템을 활용하였고, 기상 분해특성 평가를 위해선 특별히 제작된 플라즈마 열처리 모듈을 활용하였다. 이러한 연구는 열전박막소자의 제조를 위한 전구체의 선별조건을 제시하는데 기여할 수 있을 것으로 생각된다. -
Residual Gas Analyzer(RGA)는 진공공정에서 전구체를 측정하고 분석하는 기기로써 다양한 방법으로 반도체나 디스플레이 소자제조를 위한 진공공정에 많이 사용되고 있다. 특히, 최근의 반도체 공정은 고집적화와 design의 나노 사이즈화로 인해, 안정적인 MOCVD 공정의 진행에 있어서 중요한 Factor중에 전구체의 안정적인 공급에서 어려움이 있다. 공정에 투입된 전구체의 질적, 양적인 실시간 모니터링이 불가능한 상태로 공정이 진행되어 원활한 박막의 생산에 큰 어려움을 격고 있다. 또한, 전구체의 정상상태를 확인 할 수 없음으로 인한 질적인 저하 등을 그 예로 들수 있겠다. 기존 양산 후 남은 전구체를 외관상의 변색, 점도 변화를 통해서 변질을 확인하고 전구체를 교체함으로써, 엄청난 경제적 손실을 가져왔다. 본 연구에서는 reference 전구체와 공정에서 사용된 전구체를 이용하여 Vapor Pressure측정과, FT-IR 측정, RGA분석을 통하여 전구체의 사용 전, 후를 비교 분석하고자 한다.
-
본 연구에서 우리는 HPHT 처리 전 FT-IR spectrometer를 이용한 사전분석을 통해 type Ia brown 다이아몬드를 IaA, IaB, IaAB (A>B), IaAB (A=B), IaAB (A$1700-1800^{\circ}C$, 5 GPa에서 다이아몬드가 흑연화 되지 않는 범위 하에 HPHT처리를 시행하였다. 자외선-가시광선 분광분석기(UV-Vis Spectrometer, Shimadzu UV 3101PC)를 사용하여 350~800 nm에서의 가시광선 범위를 0.1nm의 분해능으로 투과(Transmittance) 모드로 측정하였고, 퓨리에 변환 적외선 분광분석기(FT-IR spectrometer, Jasco-4100)을 사용하여
$400{\sim}6000cm^{-1}$ 의 범위에서$4cm^{-1}$ 의 분해능으로 흡수(Absorption) 모드로 측정한 후 HPHT 처리 전후를 비교 분석하였다. 또한 광루미네선스(Photoluminescence) 분석은 325 nm He-Cd laser를 광원으로 한(PL, Spectra-pro 2150i, Spectra-pro 2300i micro-spectrometer) 및 532 nm green laser를 광원으로 한(PL, SAS 2000)를 사용하여 각각 350~600 nm, 550~1100 nm의 범위에서 0.1nm step으로 측정하여 HPHT 처리전과 후를 비교 분석하였다. HPHT처리 후 모든 시료는 N3 center (415.4 nm), H4 center (496.4nm) 및 platelet와 연관된 ($1363\;cm^{-1}$ )의 peak가 감소하였고, H3 center (503.2 nm)와 G-band가 증가하는 경향을 나타내었다. 또한 HPHT 처리 시 질소의 B집합보다 A집합이 더 감소하는 경향을 나타내었으며, A 또는 B집합의 파괴에서 발생된 질소 원자에 의해 질소의 interstitial center (594 nm)가 증가함을 알 수 있었다. HPHT 처리 후 모든 시료는 (N-V)- center가 생성됨을 확인 할 수 있었다. 결론적으로 본 연구를 통해 HPHT 처리를 통해 다이아몬드 내에 존재하는 질소결합관련 상태의 변화를 확인할 수 있었다. -
Graphene comes into the spotlight as an emergent device material on account of its high carrier mobility reflecting its massless Dirac fermion behavior. Chemical technique to control reversibly the carrier concentration of semiconducting graphene for the achievement of a large-area graphene device has been strongly required. Here we show that the adsorptions of a metal and a molecule can manipulate the carrier concentration of single-layer graphene, epitaxially grown on SiC, which was directly observed using angle-resolve photoemission spectroscopy. These results will shed light on the researches for the very large scale integration of a graphene device. Furthermore, the carrier concentration changes can be applied to a highly sensitive gas sensor or a detector for an specific binding between an antigen and an antibody.
-
Vacancy defects in graphene can be created by electron or ion irradiation and those induce ripples which can change the electronic properties of graphene. Recently, the formation of defect structures such as vacancy defects and non-hexagonal rings has been reported in the high resolution transmission electron microscope (HR-TEM) of reduced graphene oxide [1]. In those HR-TEM images, it is noticed that the dislocations with pentagon-heptagon (5-7) pairs are formed and diffuses. Interestingly, it is also observed that two 5-7 pairs are separated and diffuse far away from each other. The separation of 5-7 pairs has been known to be due to their self-diffusion. However, from our tight-binding molecular dynamics simulation, it is found that the separation of 5-7 pairs is due to the diffusion of single vacancy defects and coalescence with 5-7 pairs. The diffusion and coalescence of single vacancy defects is too fast to be observed even in HR-TEM. We also implemented Van der Waals interaction in our tight-binding carbon model to describe correctly bi-layer and multi-layer graphene. The compressibility of graphite along c-axis in our tight-binding calculation is found to be in excellent agreement with experiment. We also discuss the difference between single layer and bi-layer graphene about vacancy diffusion and reconstruction.
-
Using first-principles density-functional theory calculations, we find dramatically different electronic states in the C chains generated on the H-terminated C(111) surface, depending on their length and parity. The infinitely long chain has
$\pi$ electrons completely delocalized over the chain, yielding an equal C-C bond length. As the chain length becomes finite, such delocalized$\pi$ electrons are transformed into localized ones. As a result, even-numbered chains exhibit a strong charge-lattice coupling, leading to a bond-alternated structure, while odd-numbered chains show a ferrimagnetic spin ordering with a solitonlike structure. These geometric and electronic features of infinitely and finitely long chains are analogous to those of the closed (benzene) and open (polyacetylene) chains of hydrocarbons, respectively. -
Sb(111) is a spin textured surface due to the strong spin-orbit coupling, often viewed as a proto-type topological insulator. We used scanning tunneling microscopy (STM) to characterize various Mn-induced subsurface defects existing at the surface of Mn-doped Sb at 50 K. Our STM images show that every defect exhibits 3-fold symmetry with a single rotational orientation and can be categorized by their shapes and sizes. We found more than 10 types of subsurface defects with distinctive orders, which allows the resolution of the vertical positions of the magnetic dopants lying more than 10 layers down from the surface. We will discuss about our findings in comparison with theoretical results.
-
Reactive or unstable adsorbates are often difficult to study spectroscopically. They may have, for instance, resonance states lying close to the Fermi level, inducing them to desorb or decompose by the probe itself, low-energy tunneling electrons. In order to overcome this limitation, we developed a novel method, which we call x-ramp scan. The method sweeps the bias voltage, with the simutaneous scan along the imaging direction, in a constant current mode. This mapping yields the tip-height variation as a function of bias, or Z(V), at nominally always fresh surface. We applied this method to the investigation of methanol-induced molecular features, attributed to methoxy, found on NiAl(110) surface. These were produced by methanol molecules deposited by a pulse injection method onto the metallic surface. Our study shows adsorbed methoxy are very reactive to the bias voltage, rendering the standard spectroscopy useless. Our new x-ramp scan shows that the decomposition of adsorbates occurs at the sample bias of 3.63 V, and proceeds with the lifetime of a few milliseconds. The details of the method will be provided at the discussion.
-
Graphene has attracted much interest because of its fascinating electronic structure with excellent electron mobility. However, there are some difficulties in making graphene of large and uniform area for real applications. One alternative is graphite oxide. Since graphite oxide is water soluble, it can be sprayed or spin-coating onto any substrates for applications such as Transparent Conducting Film (TCF) and Field Effect Transistor (FET). In this talk, chemical and physical properties of graphite oxide will be discussed. In addition, possible applications made of graphite oxide (GO) will be introduced.
-
Kim, Dong-Wun;Seo, Hyun-Ook;Kim, Kwang-Dae;Dey, Nilay Kumar;Kim, Myoung-Joo;Jeong, Myoung-Geun;Kim, Young-Dok 60
CO2 reforming of methane (CRM) based on Ni catalysts was studied using temperature programmed reaction (TPR). The onset temperature of the CRM reaction was increased in a repeated TPR experiments. X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy showed formation of graphite structures on Ni during CRM reaction, which deactivate Ni-surfaces. Attempts were made for inhibiting deactivation of Ni surfaces and reducing onset-temperature of the CRM reaction by various surface modification techniques, which will be presented in this poster. -
Kim, Yong-Su;Bostwick, Aaron;Rotenberg, Eli;Ross, Philip N.;Hong, Soon-Cheol;Mun, Bong-Jin Simon 61
By using high resolution x-ray photoelectron spectroscopy, we show that inelastic scattering of photoelectron at low temperature (30K~50K) generates two kinds of oxygen species on Pt (111) surface. Intense synchrotron radiation source dissociates oxygen molecules into chemisorbed atomic oxygen and induces the formation of PtO on surface. Estimated coverage of dissociated atomic oxygen is 0.5 ML, suggesting possible formation of p($2{\times}1$ ) surface structure, while PtO coverage shows saturation coverage of 0.5 ML. Molecular oxygen dosed at 30 K undergoes thermally activated transition from physisorbed to chemisorbed state at around 40K. -
인공관절은 노인성 질환이나 자가 면역질환, 신체적인 외상 등에 의한 관절의 손상 부위를 대체하기 위해 고안된 관절의 인공대용물로써 최근 인구의 고령화와 질병, 사고의 증가에 따라 그 수요가 급격히 증가하는 추세를 보이고 있다. 인공관절의 소재로는 현재 metal-on-polymer(MOP) 소재가 가장 많이 사용되고 있는데, metal 소재로서는 Co-Cr계 합금이, polymer 소재로서는 초고분자량 폴리에틸렌 (ultra high molecular weight polyethylene) 이 주로 사용되고 있다. MOP 소재의 경우 충격흡수의 장점이 있는 반면 wear debris에 의한 골용해로 인해 관절이 느슨해지는 문제점이 발생하여 재시술의 주요 원인이 되고 있다. 또한 metal 소재로 주로 사용되고 있는 Co-Cr계 합금의 경우 인공관절의 마모, 부식 현상에 의해 Co, Cr등이 체내에 용출되어 세포독성의 문제를 일으킬 수 있다는 단점을 가지고 있다. 본 연구에서는 고체원소 이온주입 기술을 이용하여 316L stainless steel 기판에 niobium을 이온 주입 한 후 niobium nitride (NbN) 박막을 증착하여 counterpart 소재인 초고분자량 폴리에틸렌(UHMWPE) 의 마모를 줄이는 실험을 진행하였다. Pin-on-disk tribometer를 통해 마모 테스트를 진행하여 NbN 박막의 내마모특성을 평가하였으며, 박막의 결정구조 및 화학적 특성을 평가하기 위해 XRD, AES 분석을 수행하였다. 또한 박막의 경도와 표면조도를 측정하기 위해 micro hardness tester, AFM을 이용하였다.
-
Kim, Ji-Hoon;Seo, Jae-Won;Kang, Hye-Seung;Kim, Jeong-Kyu;Kim, Jeong-Won;Lee, Han-Gil;Kwon, Young-Kyung;Park, Yong-Sup 63
Using both experimental and theoretical approaches, we have investigated the adsorption properties of an organic molecule (HATCN), which is used in OLEDs as an efficient hole injection layer, on metal and inert surfaces. We have also studied the structural and electronic properties of such interfaces and the dependences on deposition thickness. We have observed different trends in work function changes with different surfaces. Our photoelectron spectroscopic measurements have revealed an abnormal phenomenon in HATCN on a metal (Cu) surface: the work function decreases at lower coverage (~monolayer) of HATCN on a metal (Cu) surface, but it increases back and becomes higher than that of a bare Cu surface at higher coverage. It has, on the contrary, been observed that the work function of graphene surface just increases as the HATCN coverage increases. Our first-principles density functional calculations has not only verified our experimental observations, but also disclosed the underlying mechanism of such abnormal and different work function behaviors. We have found that the change in work function results from mutual polarization induced by the geometrical deformation and the bond dipole formed at the interface due to the charge redistribution. At low coverage of HAT-CN on Cu substrate, the former reduces the work function significantly by pulling down the vacuum level, while the latter tends to push up the vacuum level resulting in the work function increase. -
Ice film surfaces were examined by using the reactive ion scattering (RIS) of low energy (<35 eV) cesium ion beams. Neutral molecules (X) on the surface were detected in the form of cesium-molecule ion clusters (CsX+). Ionic species on the surface were desorbed from the surface via a low energy sputtering (LES) process below the threshold energy of secondary ion emission. The RIS and LES methods allowed us to study the H/D exchange reactions between H2O and D2O molecules on the surface and the associated proton transfer mechanisms. Specifically, H/D exchange kinetics was examined for D2O ice films (~10 BL) covered with a small amount of H2O (<0.5 BL), in the presence or absence of HCl adsorbates which provided excess protons on the surface.
-
Extreme ultraviolet lithography (EUVL) is expected to be applied for making patterns below 32 nm in device industry. An ultrathin EUV photoresist (PR) of a few nm in thickness is required to reduce minimum feature size further. Here, we show that pentacene molecular layers can be employed as a new EUV resist for the first time. Dots and lines in nm scale are successfully realized using the new molecular resist. We clearly provide the mechanism for forming the nanopatterns with scanning photoemission microscope (SPEM), EUV interference lithography (EUV-IL), atomic force microscope (AFM), photoemission spectroscopy (PES), etc. The molecular PR has several advantages over traditional polymer EUV PRs; for example, high thermal/chemical stability, negligible outgassing, ability to control the height and width on the nanometer scale, leaving fewer residuals, no need for a chemical development process and thus reduction of chemical waste to make the nanopatterns. Besides, it could be applied to any substrate to which pentacene bonds chemically, such as
$SiO_2$ , SiN, and SiON, which is of importance in the device industry. -
알루미나는 높은 온도에서 열적으로 안정되고 경도가 높으며 내화학, 내마모 특성이 우수하며 또한 강도대비 비중이 낮아 무게를 줄일 수 있다는 장점을 가지고 있다. 이러한 특성으로 인하여 우주항공, 국방, 원자력등 극한의 온도를 견뎌야 하는 고도의 신뢰성이 요구되는 고온구 조물로서 응용이 되고 있다. 특히 알루미나를 휘스커 형태로 만들어 세라믹 복합체에 결합 할 경우 복합체의 기지 내에서 강화재의 역할을 함으로써 취성 파괴를 억제하고 열 전도율 저하등의 이점을 가져올 수 있다. 그리하여 본 연구에서는 알루미나 휘스커를 성장을 위하여 Al-triisoproxide계를 이용하여 화학기상증착법으로 가장 안정된 열적 특성을 가지고 온도의 변화에 따라 상 변화가 일어 나지 않는 알파 알루미나 상을 가지는 알루미나 휘스커를 성장시키기 위한 연구를 하였다. 또한 증착 온도, 압력, 입력기체비등 증착 조건을 변화시켜가면서 알파 알루미나 휘스커의 성장 거동을 살펴 보았다. 증착 조건 변화에 따라 알파 알루미나는 막이 증착되는 부분과 휘스커가 성장되는 부분이 있으며 휘스커의 밀집도와 길이방향, 직경방향 성장등 여러 가지 성장 특성을 달리하였다. 이러한 결과를 바탕으로 증착조건에 따른 알파 알루미나 휘스커 성장영역을 도식화하였다.
-
본 연구에서는 마그네트론 스퍼터링법을 이용하여 가볍고 내구성이 뛰어난 Al을 다양한 공정 조건에서 냉연 강판에 코팅하여 코팅층의 밀도 측정으로부터 치밀도를 알아보았다. 99.95% 순도의 Al 타겟을 사용하여 강판(냉연강판)과 실리콘 웨이퍼 시편에 증착시켰다. 시편은 알코올과 아세톤으로 초음파 세척을 하였으며 진공용기에서 펄스 전원 공급 장치를 이용하여 플라즈마 청정을 약 30분간 실시하였다. 시편 청정이 끝나면
${\sim}10^{-6}$ Torr 까지 진공 배기를 실시하고, Ar 가스를 진공용기 내로 공급하여${\sim}10^{-3}$ Torr로 진공도를 유지하면서 스퍼터링으로 박막 코팅을 실시하였다. 전자석에 전류를 인가하지 않은 시편의 Al 코팅층 밀도는 bulk 밀도의 81%이며 전자석에 역방향 3 A의 전류를 인가시킨 시편의 Al 코팅층 밀도는 bulk 밀도의 약 94%를 보였다. Al 코팅층의 SEM 분석 결과, 스퍼터링 파워 증가에 따라 Al 코팅층 조직에 기공이 많아지고 두께가 증가하는 경향을 보였다. 또한 전자석의 순방향 전류가 증가하면 박막의 두께가 증가하고 치밀도가 낮아지는 반면 전자석의 역방향 전류가 증가할수록 Al 코팅층의 조직은 치밀해졌으며 전자석 전류를 역방향 3 A로 고정하고 스퍼터링 파워를 변화시켜 Al을 코팅하면 타겟 인가전압 1.5 A에서 가장 치밀한 Al 코팅층 조직을 얻을 수 있었다. 가장 치밀한 조직을 갖는$1.57{\mu}m$ 의 Al 코팅층은 염수분무 시작 후 약 48시간 후에도 적청이 전면적의 5% 이내로 발생하였다. 마그네트론 스퍼터링법을 이용하여 냉연강판에 Al을 증착하였고 치밀한 조직의 박막을 형성함으로써 냉연 강판의 내식성을 향상할 수 있는 공정기술을 개발하였다. -
최근에 양전자의 고유 성질을 이용하여 반도체 및 도체의 표면, 계면 그리고 박막의 특성을 분석하는 기술로 소개되고 있다. 양전자는 양의 전하를 갖으며, 반물질인 전자와 쌍소멸하면서 감마선과 Auger 전자를 방출하는 특성을 이용하여 원소의 화학적 분석을 처음으로 증명하였다 (1987, UTA). 이후 도체 및 반도체의 표면 및 박막성장의 초기 성장 양상을 EAES, LEED와 상호보완적으로 활용하여 다양한 결과를 보고한 바 있다. 최근에는 기존의 양전자 이용 Auger전자 분광기의 단점을 극복하고 Time-Of-Flight(TOF) 시스템을 활용하여 향상된 성능과 Cu(100) 표면에서 얻은 전자 스펙트럼의 연구 결과를 소개하고자 한다. UTA의 TOF PAES 시스템을 이용하여 Si(100)표면에 Se 원자의 열적 안정성을 연구하였다. 1ML의 Se을 Si(100)위에 성장한 후 가열하면서 PAES의 스펙트럼을 반복적으로 취하였다.
$800^{\circ}C$ 이상의 온도로 가열하는 경우 Se MVV Auger 피크는 약해지고 Si LVV 피크가 나타나기 시작했다. MgO(100) 표면과 Cu2O/ITO 시스템의 온도 안정성 결과를 보고하고 PAES의 향상된 표면 선택도 등 장점이 표면 분석 기술로서 적합함을 보고하고자 한다. -
현대 건축물에서 건물에너지의 손실은 대부분은 창호를 통하여 유출되어지고 있으며 에너지 절감을 위해서는 창호의 단열성을 향상시켜야한다. 저방사(Low Emissivity) 코팅유리는 건축물의 냉난방비용을 절약할 수 있는 대표적인 건축재료로써 외부에서 유입되는 태양광의 가시광선 영역은 높은 투과율을 가지면서 적외선 영역과 겨울철 실내 난방열을 반사하는 특징을 지니는 박막코팅기술이다. 이 코팅유리는 일반적으로 유전체/금속/유전체 다층박막 구조로 되어있으며, 유전체층은 내구성 증진과 금속층의 반사를 낮추어 투과율이 향상된다. 금속층은 적외선영역의 복사에너지를 반사하는 역할을 하며 전도성이 우수한 Ag 또는 Au, Pt 등을 이용하고 있다. Ag의 경우 산화물기판 위에 증착하였을 경우 island 성장을 하고 이들의 합체는 전기적, 광학적 특성에 큰 영향을 미치게 된다. 본 연구에서는 DC-sputtering법으로 제조된 Ag/glass, Ag/Ta/glass 박막을 제조하고 Ta seeding이 Ag의 전기적, 광학적 성질에 미치는 영향을 관찰하였다. 박막의 표면 미세구조는 FE-SEM(Field Emission Scanning Electron Microscope)과 AFM(Atomic Force Microscope)으로, 표면저항은 4 point probe로 분석하였다. 광투과율은 UV-Vis spectroscopy와 FT-IR로 측정하였으며 측정파장범위는 각각 200~1100nm와 1400~2400nm 이다.
-
Cerium oxide nanofibers have been of great interest in fundamental level study. We fabricated polyvinylpyrollidone (PVP) and cerium nitrate nanofibers composite applying a mixed solution of PVP and cerium nitrate hydrate (Ce(NO3)3) with various cerium concentration from 8.87 to 35.5wt% by electrospinning process. Electrospinning method is a simple and cost-effective process to make nanoand submicro nanofiber fabrication. We applied 0.69 kV/cm of electric field between the capillary and a drum collector covered with aluminum foil. Cerium oxide nanofibers were obtained after calcination of PVP/Ce(NO3)3 nanofibers composite at 573, 873 and 1273K, which were chosen by thermal gravimetry analysis. The obtained nanofibers were characterized by scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS). When the viscosity of the electrospinning solution was high named over 60 cP, only nano and submicro-sized cerium oxide fibers were collected. X-ray photoelectron spectroscopy (XPS) was performed for investigation of the chemical nature of the obtained ceria nanofibers. After we calcined the PVP/ceria nanocomposites, metallic cerium was oxidized to cerium oxide including ceria.
-
Copper oxide thin films were deposited on the p-type Si(100) by r.f. magnetron sputtering as a function of different substrate temperature. The deposited copper oxide thin films were investigated by atomic force microscopy (AFM), scanning electron microscopy (SEM), spectroscopic ellipsometry (SE), X-ray diffraction (XRD), and X-ray photoelectron spectroscopy (XPS). The SEM and SE data show that the thickness of the copper oxide films was about 170 nm. AFM images show that the surface roughness of copper oxide films was increased with increasing substrate temperature. As the substrate temperature increased, monoclinic CuO (111) peak appeared and the crystal size decreased while the monoclinic CuO (-111) peak was independent on the substrate temperature. The oxidation states of Cu 2p and O 1s resulted from XPS were not affected on the substrate temperature. The contact angle measurement was also studied and indicated that the surface of copper oxide thin films deposited high temperature has more hydrophobic surface than that of deposited at low temperature.
-
Recent development of organic solar cell approaches the level of 8% power conversion efficiency by the introduction of new materials, improved material engineering, and more sophisticated device structures. As for interface engineering, various interlayer materials such as LiF, CaO, NaF, and KF have been utilized between Al electrode and active layer. Those materials lower the work function of cathode and interface barrier, protect the active layer, enhance charge collection efficiency, and induce active layer doping. However, the addition of another step of thin layer deposition could be a little complicated. Thus, on a typical solar cell structure of Al/P3HT:PCBM/PEDOT:PSS/ITO glass, we used Li:Al alloy electrode instead of Al to render a simple process. J-V measurement under dark and light illumination on the polymer solar cell using Li:Al cathode shows the improvement in electric properties such as decrease in leakage current and series resistance, and increase in circuit current density. This effective charge collection and electron transport correspond to lowered energy barrier for electron transport at the interface, which is measured by ultraviolet photoelectron spectroscopy. Indeed, through the measurement of secondary ion mass spectroscopy, the Li atoms turn out to be located mainly at the interface between polymer and Al metal. In addition, the chemical reaction between polymer and metal electrodes are measured by X-ray photoelectron spectroscopy.
-
Various organic- and bio-conjugated nanoparticles have been studied extensively for biological applications in medical diagnoses and drug delivery systems. Gold nanoparticles (AuNP) and poly(ethylene glycol) (PEG) are known biocompatible materials to be used in vivo and are becoming increasingly important in biomedical applications. In this work, we investigated the stability of PEG-conjugated AuNPs, dialysis and centrifuge effects after synthesis or pegylation of AuNPs as a function of elapsed time by using ToF-SIMS imaging technique along with dynamic light scattering (DLS), UV-visible absorption spectroscopic and statistical analyses. Roughly 15-nm-sized AuNPs were synthesized in a citrate-conjugated form, and then converted into the thiol-terminated PEG (O-[2-(3-Mercaptopropionylamino)ethyl]-O'-methylpolyethyleneglycol, M.W.=5 kDa) form. Based on our data, we will show that ToF-SIMS imaging analysis along with DLS, UV-visible absorption and statistical analyses would be a useful method to evaluate stability of PEG-conjugated AuNPs in various environmental conditions.
-
The aqua-plasma is the non-thermal plasma in electrical conductive electrolyte by generates the vapor film layer on the immersed metal electrode surface. This plasma can generate the hydroxyl radical by dissociate the water molecule with the plasma electron. To develop the plasma discharge device for high efficiency in the hydroxyl radical generation, proper model for estimation of plasma power is necessary. In this work, the 1-D spherical model was developed, considering temperature dependence material constants. The relation between the plasma power and hydroxyl generation was also studied by the comparison between the optical emission intensity from the hydroxyl radical using monochromator and estimated plasma power. First, the thickness of vapor layer thickness was estimated using the Navier-Stokes fluid equation in order to calculate the discharge E-field inside vapor layer. Using the E-field magnitude and power balance on the plasma generation, it was possible to estimate the plasma power. The plasma power was assumed to uniformly fill the vapor layer and the temperature of vapor layer was fixed in the boiling temperature of electrolyte, 375K. In the experiment, the aqua-plasma was discharged in the saline by applied the voltage on the bipolar electrode. The range of applied voltage was 234 to 280V-rms in the frequency of 380 kHz. Two type electrodes were produced with two
${\Phi}0.2$ tungsten. The plasma power was estimated from the V-I signal from the two high voltage probes and current probe. The estimated plasma power agreed with the profile of emission intensity when the plasma discharged between the metal electrode and vapor layer surface. However, when the plasma discharged between the metal electrodes, the increasing rate of emission intensity was lower than the increase of plasma power. It implies that the surface reaction is more sufficient rather than the volume reaction in the radical generation, due to the high density of water molecule in the liquid. -
배변 후 toilet flushing 시 다량의 세균을 포함한 물방울들이 화장실 곳곳으로 퍼지는 현상이 있다. 이러한 현상을 방지하기 위해 변기 뚜껑에 자기 세정 효과를 갖는 초발수 표면을 위해 플라즈마를 이용한 표면 처리가 시도되고 있으며, 이 연구의 일환으로 flushing시의 변기내의 유동 분석을 초고속 카메라를 이용하여 수행하였다. Toilet flushing 시 물 튀김 현상은 육안으로는 잘 관찰하기 어렵지만 최고 1000 frame/sec의 속도를 갖는 CCD camera를 이용하여 정량적으로 물 튀김에 의한 오염 가능성을 촬영 분석하였다, 두 번째로 소변 시의 변기 표면에서의 튀김현상을 분석하기 위하여 소변의 발사각도 및 속도를 가장 실제와 유사한 조건으로 설정하고 이를 상용 전산 유체 역학 소프트웨어인 CFD- ACE+의 자유 표면 계산 기능과 두 가지 유체(액체 및 기체)의 혼합 계산 모델을 사용한 계산 결과와 비교 하였다. 그 결과 변기 표면의 표면장력을 아주 작게 설정한 경우(작은 접촉각, 친수성)에는 중력의 영향을 고려하였음에도 불구하고 소변이 변기에 충돌 후 상부로 상당부분 튀어 올라가는 결과를 얻었다. 여러 가지 각도와 발사 속도, 실제의 인체와 유사한 발사 부위의 형상 변화로 인한 유체 표면의 난류 발생과 이에 따른 변기 표면 충돌 현상 변화 등을 수치적으로 고찰하였다. 한 예로 5.6 mm 직경의 노즐에서 소변이 나오는 경우를 발사 속도 3 m/s, 각도
$10^{\circ}$ 로 주고 중력을 고려하여 10초 동안을 계산하면, 방뇨 시 toilet bowl 내부에서의 물의 유동과 toilet 표면을 맞고 튀기는 현상을 그림 1과 같이 볼 수 있었다. -
The interaction of hydrogen (H) and ZnO surfaces has been investigated using a temperature programmed desorption (TPD) technique. When the surface is exposed to atomic hydrogen below 400 K, hydrogen is adsorbed on the surface. As the hydrogen exposure increases, bulk diffusion of hydrogen takes place. The existence of surface and bulk hydrogen has been confirmed using X-ray photoelectron spectroscopy (XPS). When the ZnO(000-1) surface dosed with hydrogen is heated, surface hydrogen is desorbed at 432 K and bulk hydrogen is evolved at ~539 K. Diffusion of hydrogen into the ZnO bulk is an activated process, and the activation energy is estimated to be 0.19 eV. Diffusion of hydrogen on the ZnO(10-10) surface is also investigated.
-
Plasma electrolyte oxidation(PEO) 표면처리된 Mg 합금을 Scanning electron microscopy(SEM) 방법으로 표면에 형성된 산화 막을 조사 분석하였다. 측정은 상온에서 수행하였다. Burning 및 PEO 방식의 표면처리 방법을 통해 제작 된 시료의 산화막을 SEM, EDS 및 I-V 측정을 통해 분석하였다.
-
The Adsorption structures of phenylalanine on Ge(100) surface have been investigated as a function of coverage using high-resolution photoemission spectroscopy (HRPES) and density functional (DFT) calculation. To converge these experimental and theoretical conclusion, we systematically performed HRCLPES measurements and DFT calculation for various coverage in the adsorption structures of phenylalanine molecules on the Ge(100) surface. In this study, we found two different adsorption structure as a function of coverage in phenylalanine on Ge(100), monitoring three core level spectra (Ge 3d, C 1s, N 1s, and O 1s) using HRPES Through analysis of the binding energies, we confirmed that O-H dissociated and N dative-bonded structure emerges at low coverage (0.10 ML), which is the same to the result of glycine and alanine on Ge(100) system, whereas O-H dissociation structure also appears at higher coverage. Moreover, we observed the shape of phenyl group being included in phenylalanine is changed from flat to tilting structure at final state using DFT calculation. Through the spectral analysis for phenylalanine, we will demonstrate variation of coverage dependent structural change for phenylalanine on Ge(100) surface using experimental (HRPES) and theoretical studies (DFT calculation).
-
The electronic and adsorption structure of O-Phthaladehyde (OPA) on the H-Si(100) surface was investigated by using Near Edge X-ray Fine Structure (NEXAFS) and high resolution photoemission spectroscopy (HRPES). We confirmed that the OPA grown on the H-Si(100) surface showed good dependency with about 60 degree tilting angle using NEXAFS and a single O 1s peak by using HRPES. Hydrogen atom passivated on the Si(100) surface was found to be a seed for making one dimensional organic line that uses a chain reaction as the H-Si(100) surface was compared with the hydrogen free Si(100) surface. Through the spectral analysis, we will demonstrate 1-D directional formation of OPA on H-Si(100) surface using NEXAFS and HRPES.
-
X선 광전자 분광법을 이용하여 Pt(110) 표면 위에 증착된 Ni 초박막의 합금 형성에 대한 연구를 수행하였다. 각각 3 ML, 6 ML Ni 초박막을
$5{\times}10-9$ Torr 이하의 초고진공에서 증착된 것을 확인하고 후열처리 과정에 따른 Ni층의 변화와 합금형성에 따른 내각준위 스펙트럼을 관찰하였다. 증착된 Ni 초박막은 증착 후 전자구조 변화에 따른 선형변화가 일어나고, 590 K부터 섞이기 시작함을 내각준위 스펙트럼의 변화들로부터 알 수 있었다. 열처리 온도가 증가하면서 계면에 Ni-Pt 합금이 형성되었음은 Pt 4f 봉우리의 FWHM 변화부터로 확인할 수 있었으며 Ni 2p3/2 의 satelite 구조의 상대적 세기가 590 K부터 급격히 증가하여, 전자구조가 변화함을 알 수 있었다. Valence Band 스펙트럼의 변화로 계면의 Pt d전자들의 상태밀도 변화도 확인하였다. -
Kim, Myoung-Joo;Kim, Kwang-Dae;Dey, Nilay Kumar;Seo, Hyun-Ook;Kim, Dong-Wun;Jeong, Myoung-Geun;Kim, Young-Dok 81
Growth of TiO2 films prepared by atomic layer deposition (ALD) was studied on C fiber. Moreover, adsorption and photocatalytic decomposition of methylene blue on TiO2 thin films were studied. Preferential growth of TiO2 on steps of C surfaces could be identified by scanning electron microscopy (SEM). X-ray Photoelectron Spectroscopy (XPS) showed thickness-dependent positive core level shift of Ti, which can be interpreted in terms of enhanced final state charging for thicker films. Adsorption and photocatalytic behaviors of TiO2 thin films will be discussed in this poster. -
metal/metal계에서는 표면 원자의 재결합이 이루어 져서 표면의 특성이 bulk와는 전혀 다른 물리 화학적 특성을 보인다. 본 연구에서는 텅스텐 (110)면에 알루미늄 원자를 흡착시켜 저에너지 전자회절(LEED)과 이온산란분광법(ISS-TOF)을 이용하여 표면구조를 연구하였다. 텅스텐 (110)면 표면을 1000 K로 가열하는 동안 알루미늄을 1.0 ML 흡착시켰다. 이 때 p(
$1{\times}1$ ) LEED 이미지가 관측되었다. Al/W(110)계면에서 알루미늄 원자가 텅스텐 표면원자와의 결합거리와 방향 등 흡착위치를 알아보기 위해 이온산란분광법을 이용하였다. 그 결과 알루미늄 원자는 double domain으로 W(110) 표면의 hollow site에서$0.55\;{\AA}$ 벗어나 위치하였으며, 텅스텐의 첫 번째 원자 층으로부터의 높이는$2.13{\pm}0.1\;{\AA}$ 이다. 알루미늄 원자와 가장 가까운 텅스텐 원자까지의 거리는$2.71{\pm}0.15\;{\AA}$ 이다. -
산업이 고도화되는 과정에서 에너지의 고효율화를 위하여 고온, 고압 등의 극한환경 하의 공정이 불가결하며, 이에 따라 초미세분진인 나노 입자가 증가되고 있다. 이에 따라 해당 나노의 입자 처리를 위하여 다양한 용도에서의 고온 필터가 산업적으로 요구되고 있다. 본 연구에서는 디젤엔진 매연저감 후처리 장치, 소각로, 발전소 등의 미세 분진 포집 필터로서의 응용을 위해, 카본 파이버에 SiC 휘스커를 증착하는 실험을 진행하였다. 휘스커 증착 공정은 촉매없이 SiC 휘스커를 카본 파이버 위에 화학증착하였다. 휘스커 성장 시 증착 조건의 변화를 통하여 다양한 휘스커의 증착 형태 및 미세구조를 관찰하였다. 또한 높은 포집 효율 및 기체투과도를 갖추기 위해, 휘스커가 증착된 시편의 포집효율 및 기체투과도 향상을 위한 실험을 진행하였다. 해당 실험의 결과로, 증착된 필터는 70% 이상의 포집효율을 보이면서도 기체 투과도는 현재 상용화되어 있는 코디얼라이트보다 5배 이상 높았다. 또한 필터에 추가적인 SiC 침윤공정을 통하여 시편의 내산화성, 내마모성, 내열성 등의 특성이 향상됨을 확인하였다.
-
We present an in-situ study of the interaction of a bimetallic Rh50Pd50 bulk crystal with O2, CO, and NO using ambient pressure x-ray photoelectron spectroscopy and compare it to results for 10 nm nanoparticles with the same overall composition. The surface of the bulk crystal has less Rh present under both oxidizing and reducing conditions than the nanoparticles under identical conditions. Segregation and oxidation/reduction proceeds quicker and at lower temperature for nanoparticles than for the bulk crystal. The near surface of the Rh50Pd50 bulk crystal after high temperature vacuum annealing is ca. 9% Rh measured by XPS. Heating in 0.1 Torr O2 to
$350^{\circ}C$ increases the Rh surface composition to ca. 40%. The surface can then be reduced by heating in H2 at$150^{\circ}C$ , leading to a reduced surface of 30% Rh. Titration of CO from this Rh-rich surface proceeds at a much lower pressure than on the Rh-deficient starting surface. -
Graphene, the building block of graphite, is one of the most promising materials due to their fascinating electronic transport properties. The pseudo-two-dimensional sp2 bonding in graphene layers yields one of the most effective solid lubricants. In this poster, we present the correlation between electrical and nanomechanical properties of graphene layer grown on Cu/Ni substrate with CVD (Chemical Vapor Deposition) method. The electrical (current and conductance) and nanomechanical (adhesion and friction) properties have been investigated by the combined apparatus of friction force microscopy/conductive probe atomic force microscopy (AFM). The experiment was carried out in a RHK AFM operating in ultrahigh vacuum using cantilevers with a conductive TiN coating. The current was measured as a function of the applied load between the AFM tip and the graphene layer. The contact area has been obtained with the continuum mechanical models. We will discuss the influence of mechanical deformation on the electrical transport mechanism on graphene layers.
-
Water adsorption on Si(001)-c(
$4{\times}2$ ) surface is investigated at low temperature by using scanning tunneling microscope (STM) and ab initio pseudopotential calculations.$H_2O$ configurations of single and cluster of two molecules reveal "Y", "X" and "W" depressions as footprints on the surface. Atomic structures of$H_2O$ molecules, which are dissociatively adsorbed on the Si(001)-c($4{\times}2$ ) surface, are studied with simulated and STM images of the filled states. The generation processes of the growth configurations are systematically considered with elapsed time. -
Photoemission study on the reactivity of organic molecules on chemically modified TiO2(001) surfacesAdsorption and subsequent catalytic reactions of ethanol and acetaldehyde on chemically modified rutile TiO2(001) surfaces are probed by x-ray photoemission spectroscopy (XPS) using synchrotron radiation. TiO2 is a well-known photocatalyst for various catalytic reactions including oxidation of organic molecules. In this respect, the surface atomic structure has been found to play a vital role in determining the catalytic reactivity and selectivity of TiO2. In this study, we employ an atomically well-ordered reduced TiO2(001) surface which is prepared in a UHV chamber by repeated Ar+-sputtering and annealing (900 K) cycles. We systematically modify the surface by treating the surface with H2O or O2 at room temperature (RT). The catalytic reactivity of the surface-modified TiO2(001) is evaluated by dosing ethanol/acetaldehyde onto the surface at RT and by subsequent annealing to higher temperatures (400~600 K). XPS spectra of C 1s core level are intensively used to probe any change in the oxidation state of carbon atoms. We find that the reactivity as well as the saturation coverage are significantly affected by the RT-treatment of the TiO2 surface with H2O or O2. For both reactant molecules (ethanol/acetaldehyde), oxidation reactions are found to be enhanced on the O2-treated surface compared with the reduced or H2O-treated surfaces. Possibly reaction pathways are discussed based on the observed XPS spectra.
-
We synthesized molybdenum thin films deposited by RF magnetron sputtering and physicochemical analysis was performed. The physical and chemical properties of these films were examined with X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS). The obtained film at the oxygen ratio of 0% showed crystallinity of cubic Mo(110) phase. After the oxygen ratio increased more than 5% in the sputter gas, the molybdenum films were formed as an amorphous phase. The thickness of the Mo thin film was drastically decreased from 1000 nm to ca 70 nm after introduction of oxygen in the sputter gas confirmed by spectroscopic ellipsometer (SE) and scanning electron spectroscopy (SEM). The calculated band gap of the film deduced from SE data increased from 3.17 to 3.63 eV by addition of oxygen in the sputter gas. The roughness of the Mo film was examined with atomic force microscopy (AFM) and it was dramatically decreased by introducing of oxygen during sputtering. XPS results revealed that the ratio of metallic Mo species in the film decreased by the contents of Mo(VI) species increased at the ratio of oxygen increased in the sputter gas and fully oxidized at low content of oxygen in the sputter gas.
-
The atomic structure of Sb/Si(5 5 12)-
$2{\times}1$ surface, deposited at room temperature (RT) and post-annealed, has been identified by scanning tunneling microscopy and the corresponding interface has been studied by synchrotron core-level photoemission spectroscopy. With 0.3-nm Sb deposition at RT and postannealing at$600^{\circ}C$ , the surface has been facetted to (225)-$2{\times}1$ and (112)-$1{\times}1$ , and its Si 2p has shown that all the Si 2p surface components have disappeared, while the single Sb-Si interfacial component has appeared. Such results indicate that all of surface Si atoms are replaced by Sb atoms and the charge is transferred from Si to passivating Sb-atoms at the top layer. With subsequent postannealing up to$700^{\circ}C$ , the surface has been facetted to (113)-$2{\times}2$ and (335)-$4{\times}2$ , still having Sb-Si interfacial component and partially re-exposed Si surface components. From the present study, the role of surfactant atom, Sb, as well as the thermal-stabilization of Sb-passivated high-index Si surface will be exposed. Especially, the key role of the Sb/Si(113)-$2{\times}2$ , composed of Rebonded-Dimer-Rebonded atom 1D structures, for stabilization will be discussed. -
We investigated the modification of optical response properties of titanium dioxide (TiO2) coatings on the ceramic water-purification filters by using ultraviolet-visible absorption spectroscopy and X-ray diffraction. The TiO2 coatings were prepared on ceramic substrate by e-beam evaporation method. These amorphous TiO2 were turned into anatase phase by heat treatment at
$700^{\circ}C$ for 2 hours. The doping of N atoms into the TiO2 coatings was done by using 70KeV of N+ ion implantation with the dose of$1.0{\times}1017$ ions/cm2, followed by post-irradiation heat treatment at$550^{\circ}C$ for 2 hours. Methylene blue test of TiO2 coatings to solar irradiation showed that the post-evaporation heated TiO2 was photocatalytic and N-doped TiO2 reacted to the visible part of solar irradiation. -
본 논문은 펄스 직류전원 (Pulse DC) 플라즈마 소스와 반응성 가스인
$CF_4$ 와 불활성 가스인 Ar를 혼합하여 산업에서 널리 사용되는 유기고분자인 Polymethylmethacrylate (PMMA), Polyethylene terephthalate (PET), 그리고 Polycarbonate (PC) 샘플을 건식 식각한 결과에 대한 것이다. 각각의 샘플은 감광제 도포 후에 자외선을 조사하는 포토레지스트 방법으로 마스크를 만들었다. 펄스 직류전원 플라즈마 시스템을 사용하면 다양한 변수를 줄 수 있다는 장점이 있다. 공정 변수는 Pulse DC Voltage는 300 - 500 V, Pulse DC reverse time$0.5{\sim}2.0\;{\mu}s$ , Pulse DC Frequency 100~250 kHz 이었다. 변수 각각의 값이 높아질수록 고분자의 식각률이 높아졌다. 특히, PMMA의 식각률이 가장 높았으며 PET, PC 순이었다. 샘플 중 PC의 식각률이 가장 낮은 이유는 고분자 결합 중에 이중결합의 벤젠 고리 모양을 포함하고 있어 분자 결합력이 비교적 높기 때문으로 사료된다. 기계적 펌프만을 사용한 공정 전 압력은 30 mTorr이었다. 쓰로틀 밸브를 완전 개방한 상태에서 식각 공정 중 진공 압력은$CF_4$ 가스유량이 늘어날수록 증가하였다. 식각률 역시$CF_4$ 가스유량(총 가스 유량은 10 sccm)이 많을수록 증가함을 보여주었다 (PMMA: 10 sccm$CF_4$ 에서 330 nm/min, 3.5 sccm$CF_4$ /6.5 sccm Ar에서 260 nm/min., PET: 10 sccm$CF_4$ 에서 260 nm/min, 3.5 sccm$CF_4$ /6.5 sccm Ar에서 210 nm., PC: 10 sccm$CF_4$ 에서 230 nm, 3.5 sccm$CF_4c$ /6.5 sccm Ar에서 160 nm). 이는 펄스 직류전원 플라즈마 식각에서$CF_4$ 와 Ar의 가스 혼합비를 조절함으로서 고분자 소재의 식각률을 적절히 변화시킬 수 있다는 것을 의미한다. 표면 거칠기는 실험 후 표면단차 측정기와 전자 현미경 등을 이용하여 식각한 샘플의 표면을 측정하여 알 수 있었다. 실험전 기준 샘플 표면 거칠기는 PMMA는 1.53nm, PET는 3.1nm, PC는 1.54nm 이었다. 식각된 샘플들의 표면 거칠기는 PMMA는 3.59~10.59 nm, PET은 5.13~11.32 nm, PC는 1.52~3.14 nm 범위였다. 광학 발광 분석기 (Optical emission spectroscopy)를 이용하여 식각 공정 중 플라즈마 발광특성을 분석한 결과, 탄소 원자 픽 (424.662 nm)과 아르곤 원자 픽 (751.465 nm, 763.510 nm)의 픽의 존재를 확인하였다. 이 때 탄소 픽은$CF_4$ 가스에서 발생하였을 것으로 추측한다. 본 발표를 통해 펄스 직류전원$CF_4$ /Ar의 고분자 식각 결과에 대해 보고할 것이다. -
전북대학교에서는 우리나라 최초로 0.4 및 2.4 MW 급 초음속 열플라즈마 시험 시설 구축사업을 진행하고 있으며, 이를 이용한 응용 분야 별 선행연구를 수행하고 있다. 구축 시험 시설의 핵심장치인 MW 급 대출력 초음속 열플라즈마 발생기로는 양극과 음극 사이에, 전기적으로 절연된 도넛 형태의 간극을 다수 삽입하여 아크 길이를 늘림으로써, 플라즈마 출력을 비례하여 높일 수 있는 Segmented 형 아크 직류 토치를 사용하고자 하며, 제작을 위해 설계 중인 토치는 0.4 및 2.4 MW 출력에 대해, 마하 2 이상의 초음속 유동에서 각각 13 및 20 MJ/kg 이상의 플라즈마 비엔탈피 구현을 목표로 하고 있다. 특히, 이와 같은 고엔탈피 초음속 유동의 달성은 0.4MW 급의 경우엔 공기유량 0.01 kg/s 이상에서, 2.4 MW 급의 경우엔 0.05 kg/s 이상에서 10Torr 이하의 진공과 투입된 MW 규모의 열량을 지속적으로 유지 및 제거할 수 있는 시설이 있어야 구현 가능하므로 이를 위한 건축과 지원시설 구축을 동시에 진행하고 있다. 본 발표에서는 0.4 MW 급 초음속 열플라즈마 시험 시설을 중심으로, 상기 MW 급 Segmented 형 아크 직류토치와 이를 구동하기 위한 대출력 초음속 열플라즈마 시험 시설에 대해 그 동안 전북대학교에서 진행되어 온 개념설계 내용을 소개하고자 한다. 덧붙여, 최근 본 사업단에서 선행 연구 중인 고엔탈피 초음속 열플라즈마 진단 계측 기법과 향후 응용분야 및 핵심 연구개발 과제 등에 대한 간략한 소개도 함께 하고자 한다.
-
In this talk, I will introduce a reactive force field (ReaxFF) molecular dynamics (MD) simulation. In contrast to common MD simulations with empirical FFs, we can predict chemical reactions (bond breaking and formation) in large scale systems with the ReaxFF simulation where all of the ReaxFF parameters are from quantum mechanical calculations such as density functional theory to provide high accuracy. Accordingly, the ReaxFF simulation provides both accuracy of quantum mechanical calculations and description of large scale systems of atomistic simulations at the same time. Here, I will first discuss a theory in the ReaxFF including the differences from other empirical FFs, and then show several applications for studying chemical reactions of SiHx radicals on Si surfaces, which is an important issue in Si process.
-
As a new plasma source for the plasma enhanced chemical vapor deposition (PCVD) of
${\mu}c$ -Si deposition, we have demonstrated a microwave-excited plasma source, which can produce high density (${\sim}10^{12}\;cm^{-3}$ ) plasma with low electron temperature (~1 eV) and low plasma potential (~10 V). In this plasma source, microwave power radiated from slot antenna is distributed along the plasma-dielectric interface in large area and this enables us to produce uniform high-density plasma in large area. To optimize deposition conditions, deep understanding of gas phase chemistry is indispensable. In this presentation, we will discuss on the gas phase diagnostics of microwave$SiH_4/H_2$ plasma such as$SiH_4$ dissociation or$SiH_3$ radical profile as well as deposited film properties. -
An apparatus for generating flames and more particularly the microwave plasma burner for generating high-temperature large-volume plasma flame was presented. The plasma burner was composed of micvrowave transmission lines, a field applicator, discharge tube, coal and gas supply systems, and a reactor. The plasma burner is operated by injecting coal powders into a 2.45 GHz microwave plasma torch and by mixing the resultant gaseous hydrogen and carbon compounds with plasma-forming gas. We in this work used air, oxygen, steam, and their mixtures as a discharge gas or oxidant gas. The microwave plasma torch can instantaneously vaporize and decompose the hydrogen and carbon containing fuels. It was observed that the flame volume of the burner was more than 50 times that of the torch plasma. The preliminary experiments were carried out by measuring the temperature profiles of flames along the radial and axial directions. We also investigated the characteristics for coal combustion and gasification by analyzing the byproducts from the exit of reactor. As expected, various byproducts such as hydrogen, carbon monoxide, carbon dioxide, hydrogen sulfide, etc. were detected. It is expected that such burner cab be applied to coal gasification, hydrocarbon reforming, industrial boiler of power plants, etc.
-
To improve surface wettability, each sample was treated by atmospheric pressure plasma (APP) using dielectric barrier discharge (DBD) system. Argon and oxygen gases were used for treatment gas to modify the
$TiO_2$ surface by APP with RF power range from 50 to 200 W. Water contact angle was decreased from$20^{\circ}$ to$10^{\circ}$ with argon only. However, water contact angle was decreased from$20^{\circ}$ to <$1^{\circ}$ with mixture of argon and oxygen. Water contact angle with$O_2$ plasma was lower than water contact angle with Ar plasma at the same RF power. It seems to be increasing the polar force of$TiO_2$ surface. Also, analysis result of X-ray photoelectron spectra (XPS) shows the increase of intensity of O1s shoulder peak, resulting in increasing of surface wettability by APP. Moreover, each water contact angle increased according to increase past time. However, contact angle increase with plasma treatment was lower than without plasma treatment. Additionally, the efficiency of$TiO_2$ photocatalyst was improved by plasma surface-treatment through the degradation experiment of phenol -
많은 플라즈마 공정 중에 건식 식각은 복잡하고 표면반응이 잘 알려지지 않은 등의 이유로 가장 어려운 분야 중의 하나이다. 이러한 이유로 식각 장치 디자인뿐만 아니라 플라즈마를 이용한 건식 식각의 공정 조건은 수많은 시행착오를 통해 시도되어 왔다. 이런 문제들을 극복하기 위해 많은 연구자들에 의해서 다양한 방법과 tool을 이용해 모델링이 시도되고 있다. 본 연구에서는
$CF_4$ 가스를 이용한 유도결합 플라즈마에 의해 Si와$SiO_2$ 를 식각하는 것을 상용 프로그램인 전산모사 유체역학 시뮬레이터인 CFD-ACE+를 이용하여 모델링했다. 150 mm 직경의 웨이퍼에 대한 모델은 식각 속도 실험결과와 비교 하였다. Ar의 경우 20 mTorr에서 13.56 MHz, 500 W인가 시 2.0 eV의 전자온도와$7.3{\times}10^{11}\;cm^{-3}$ 의 전자밀도가 계산결과와 상당히 일치하게 측정되었고,$CF_4$ 를 이용한$SiO_2$ 식각에서도 식각 속도가 평균 190 nm/min로 일치했고 식각 균일도는 3% 였다. 450 mm 웨이퍼 공정용 장치의 모델 계산 결과에서는 안테나와 기판의 거리, 챔버의 단면적, 기판 지지대와 배기구와의 높이 등 기하학적인 구조와 각 안테나 턴의 위치 및 전류비가 플라즈마 균일도에 많은 영향을 주었으며, 안쪽부터 4 turn이 있는 경우 2번째, 4번째 turn에만 1:4의 전류비를 인가했을 때, 수십%의 전자밀도의 불균일도를 4.7%까지 낮출 수 있었다. 또한, Si 식각에서는 식각 속도의 분포가 F radical의 분포와 같은 경향을 보임을 확인했고,$SiO_2$ 식각에서는 전자 밀도의 분포와 일치함을 확인함으로써 균일한 식각을 위해서 두 물질의 식각 공정에서는 다른 접근의 시도가 필요함을 확인했다. 플라즈마의 준중성 조건을 이용해서 Poisson 방정식을 풀지 않고 sheath를 해석적 모델로 처리하는 방법과, Poisson 식으로 정전기장을 푸는 방법을 통해서 입사 이온의 에너지 분포를 비교하였다. 에너지 범위는 80~120 eV로 같지만, 실험에서는 IED가 낮은 에너지 쪽이 더 높게 측정됐고, 계산 결과에서는 높은 에너지 쪽이 높았다. -
대기압 상에서의 방전은 기존의 진공 장비를 요구하던 플라즈마 장비들에 비해 경제적이고 간편해서 물질의 표면 처리 및 바이오 응용 플라즈마 등에서 널리 사용되고 있다. 본 연구에서는 평판형 방전으로 발생되는 플라즈마의 물리적 성질을 확인하기 위해 1차원 Particle-In-Cell (PIC) 시뮬레이션을 이용하였다. PIC 시뮬레이션은 계산시간이 많이 걸리는 단점이 있으나 가정이 거의 없기 때문에 정확한 계산값을 얻을 수 있는 장점이 있다. 주파수를 13.56 MHz에서 100 MHz 까지 변화 시켰고, 입력신호는 정현파와 직류 펄스로 하였다. 정현파에 비해서 펄스형 신호를 인가했을 때 전자, 이온 밀도가 시간에 따라서 급격히 변하는 것이 관찰되었다. 또한 전극 앞에 유전체가 있을 경우, 입력 신호의 변화보다 플라즈마 밀도의 변화가 다소 지연되었다. 이 외에도 여기종 분포, 전자 온도 등의 시공간적 특성을 관찰하였다.
-
Recently, amorphous transparent oxide semiconductors (TOS) have been widely studied for many optoelectronic devices such as AM-OLED (active-matrix organic light emitting diodes). The TOS TFTs using a-IGZO channel layers exhibit a high electron mobility, a smooth surface, a uniform deposition at a large area, a high optical transparency, a low-temperature fabrication. In spite of many advantages of the sputtering process such as better step coverage, good uniformity over large area, small shadow effect and good adhesion, there are not enough researches about characteristics of a-IGZO thin films. In this study, therefore, we focused on the electrical properties of a-IGZO thin films as a channel layer of TFTs. TFTs with the a-IGZO channel layers and Y2O3 gate insulators were fabricated. Source and drain layers were deposited using ITO target. TFTs were deposited on unheated non-alkali glass substrates (
$5cm{\times}5cm$ ) with a sintered ceramic IGZO disc (3 inch$\varnothing$ , 5mm t), Y2O3 disc (3 inch$\varnothing$ , 5mm t) and ITO disc (3 inch$\varnothing$ , 5mm t) as a target by magnetron sputtering method. The O2 gas was used as the reactive gas. Deposition was carried out under various sputtering conditions to investigate the effect of sputtering process on the characteristics of a-IGZO thin films. Correlation between sputtering factors and electronic properties of the film will be discussed in detail. -
토모그래피는 플라즈마 물리학뿐만 아니라 의료영상이나 천문학 등의 분야에서 오랫동안 이용되어 온 기법으로 직접 들여다 볼 수 없는 단면을 선적분된 데이터를 이용하여 국지적인 데이터를 재구성해내는 영상진단 방법이다. 플라즈마 물리학의 경우 공간적으로 검출기 배열을 균일하게 배치할 수 없으므로 토모그래피 기법에 균일화는 필수적이다. 이를 위해 본 연구에서는 Phillips-Tikhonov 균일화 방법을 사용하였다. Phillip-Tikhonov 균일화 방법은 인접한 픽셀 사이의 구배(gradient)를 최소화하는 방향으로 단면영상을 재구성하는 방식으로, 다른 토모그래피 알고리듬에 비해 훨씬 더 정확한 결과를 보여준다. 본 연구에서는 플라즈마의 공간분포 진단을 위하여 토모그래피 진단법과 부유탐침 진단법을 사용하였다. 플라즈마의 선적분된 방출광을 디지털카메라로 측정한 후 Phillips-Tikhonov 토모그래피 방법으로 재구성하여 플라즈마의 국지적인 공간분포를 알아내었다. 결과의 타당성을 확보하기 위해 부유탐침 진단결과와 비교 분석하여, 전자온도가 위치에 따라 일정한 상태에서 부유탐침을 통한 밀도분포와 토모그래피 진단법에 의한 플라즈마 방출광 세기의 공간분포가 거의 일치함을 확인할 수 있었다. 이를 통해 플라즈마의 국지적인 공간분포 진단을 위한 디지털카메라를 이용한 토모그래피 진단법의 타당성을 검증하였다.
-
A strong adhesion of a silicon carbide (SiC) coating on a WC-Co substrate was achieved through an ion beam mixing technique and the corrosion resistance of the SiC coated WC-Co was investigated by means of a potentiodynamic electrochemical test. In the case of 1 M NaOH solution, a corrosion current density for a SiC coated WC-Co with a heat treatment at
$500^{\circ}C$ displays about 50 times lower than that for the as-received WC-Co. However, in the case of 0.5 M H2SO4 solution, a corrosion current density for a SiC coated WC-Co displays about 3 times lower than that for as-received WC-Co. We discussed the physical reasons for the changes of the corrosion current densities at the different electrolytes. -
수 Tera Watt급의 가속기 및 펄스파워 시스템은 다수의 스위치를 사용하고 있으며, 이와 같은 가속기 및 시스템의 성능은 기체방전 스위치의 성능에 직접적으로 관련되어 있다. 일반적으로 이와 같은 기체방전, 액체방전 고출력 스위치는 다목적으로 많은 연구와 개발에 응용되고 있다. 예를 들어 천둥 펄스전자빔 발생장치는 12개의 Marx gap 및 3개의 100 kV 펄스충전 전기트리거 gap을 가지고 있다. 기체 방전 또는 액체 방전 펄스 충전 갭 스위치의 음극에 펄스 고전압이 인가되면 이로 인하여 음극에서 전자빔이 발생한다. 내부에는 전자빔이 양극과 충돌하는 순간 양극표면에 플라스마가 형성된다. 이와 같은 플라스마 sheath는 축 방향 이극관 안에서 양극충전 에서 음극으로 팽창하면서 전파하며, 또한 거의 동시에 음극표면에도 플라스마가 형성되어 음극에서 양극으로도 팽창하여 전파하게 된다. 이와 같은 펄스충전 고출력 갭 스위치 안에서 발생되는 방전 플라스마의 특성에 관한 갭 breakdown 과정에 대한 특성연구를 한다. 고출력스위치의 특성 조건으로는 방전전압, 방전시간, jitter 등이 있다. 본 연구에서는 최대전압 600 KV, 최대전류 88 KA, 펄스 폭 60 ns의 특성을 가지는 고전압펄스 시스템 '천둥'을 이용하여 방전 챔버에 고전압 펄스를 인가하고 N2와 SF6 혼합기체 종류와 압력에 따른 방전 현상을 연구하였다. 전극은 구리텅스텐 합금재질의 표준전극을 사용하였고, 전극 간격은 20 mm로 고정하였다. 방전 챔버 압력을 100 torr에서 4 기압까지 변화시켜가며 실험을 진행하였고, N2에 대한 SF6의 혼합비율을 0%~100%까지 변화시키며 실험을 진행하였다. 방전 챔버에는 C-dot probe와 B-dot probe를 설치하여 전압과 전류를 측정하였고, C-dot probe 와 B-dot probe는 각각 Northstar사의 10000:1 고전압 probe와 rogowiski coil을 이용하여 시준 하였다. 실험결과 방전전압은 압력이 증가함에 따라 증가하다가 2 기압 이상에서는 완만히 증가하는 경향을 보였고, SF6 혼합비율은 0~10%까지 급격히 증가하고, 그 이상의 혼합비율에서는 완만히 증가하였다. 방전개시시간은 혼합기체 압력에 따라 증가하며 1기압 이상에서는 급격히 증가 하였다. SF6 혼합비율에 따라서는 1 기압 조건까지는 큰 차이가 없었으나 2 기압부터는 급격히 증가하였다. 안정성을 나타내는 jitter는 SF6 100%일 때 가장 컸으나 혼합기체의 변화에 따른 큰 차이는 없었다.
-
최근 산화물 반도체 기반의 박막 트랜지스터에 대한 연구가 활발히 진행되고 있으며 이는 공간 점유와 시각적 제약을 해소하려는 시장의 요구에 의해 주도되고 있다. 특히, 2004년 Hosono 그룹에서 비정질 InGaZnO (IGZO) 박막을 이용한 TFT소자 제작을 발표하고 우수한 특성을 확인한 후 산화물 TFT 소자기술에 대한 전 세계적인 연구개발의 계기가 마련되었다. 그러나 다성분계 화합물로 이루어진 산화물 반도체의 경우 복잡한 성분 조합과 조절이 어렵고, 장비의 제약으로 인해 상업화에 어려움을 겪고 있다. 따라서 이성분계 물질인 산화아연의 경우 아직까지 상업화 이점이 남아있으며, 우수한 전기적 성질과 광학적 장점이 있기에 그 가능성은 더욱 커지고 있다. 그럼에도 불구하고 산화아연계 박막 트랜지스터의 경우 바이어스에 의해 동작전압이 이동하는 DC신뢰성의 문제점이 남아 있고, 이를 해결하기 위해 안정적인 절연막 또는 보호막을 도입하려는 연구가 많이 시도되고 있다. 본 연구에서는 산화아연기반의 박막 트랜지스터에 Hf이온을 도핑하여 DC 신뢰성을 향상시키는 연구를 진행하였다. Bottom gate 형식의 HfZnO TFT를 제작하였고 전이 특성을 살펴본 결과 Hf의 함량이 늘어날수록 이동도는 감소하는 경향이 나타났다. 또한 Hf의 미량 도핑에도 불구하고 산소결핍에 의한 결함 생성을 억제하여 DC신뢰성이 상당히 향상되었으며, 이는 특히 산화물 반도체와 절연막 사이의 결함을 억제하여 생긴 결과로 생각된다.
-
현재 디스플레이 시장은 급변하게 변화하고 있다. 특히, 비정질 실리콘의 경우 디스플레이의 채널층으로 주로 상용화되어 왔다. 비정질 실리콘 기반의 박막 트랜지스터는 제작의 경제성 및 균일성을 가지고 있어서 널리 상용화되고 있다. 하지만 비정질 실리콘의 경우 낮은 전자 이동도(<
$1\;cm^2/Vs$ )로 인하여 디스플레이의 대면적화에 부적합하며, 광학적으로 불투명한 특성을 갖기 때문에 차세대 디스플레이의 응용에 불리한 점이 있다. 이런 문제점의 대안으로 현재 국내외 여러 연구 그룹에서 산화물 기반의 반도체를 박막 트랜지스터의 채널층으로 사용하려는 연구가 진행중이다. 산화물 기반의 반도체는 밴드갭이 넓어서 광학적으로 투명하고, 상온에서 증착이 가능하며, 비정질 실리콘에 비해 월등히 우수한 이동도를 가짐으로 디스플레이의 대면적화에 유리하다. 특히 Zinc Oxide, Tin Oxide, Titanum Oxide등의 산화물이 연구되고 있으며, indium이나 aluminum등을 첨가하여 전기적인 특성을 향상시키려는 노력을 보이고 있다. Tin oxide의 경우 천연적으로 풍부한 자원이며, 낮은 가격이 큰 이점으로 작용을 한다. 또한,$SnO_2$ 의 경우 ITO나 ZnO 열적으로 화학적 과정에서 더 안정하다고 알려져 있다. 본 연구에서는$SnO_2$ 기반의 박막 트랜지스터를 DC magnetron sputtering를 이용하여 상온에서 제작을 하였다. 일반적으로,$SnO_2$ 의 경우 증착 과정에서 산소 분압 조절과 oxygen vacancy 조절를 통하여 박막의 전도성을 조절할 수 있다. 이렇게 제작된$SnO_2$ 의 박막을 High-resolution X-ray diffractometer, photoluminescence spectra, Hall effect measurement를 이용하여 전기적 및 광학적 특성을 알 수 있다. 그리고 후열처리 통하여 박막의 전기적 특성 변화를 확인하였다. gate insulator의 처리를 통하여 thin film의 interface의 trap density를 감소시킴으로써 소자의 성능 향상을 시도하였다. 그리고 semiconductor analyzer로 소자의 출력 특성 및 전이 특성을 평가하였다. 그리고 Temperature, Bias Temperature stability, 경시변화 등의 다양한 조건에서의 안정성을 평가하여 안정성이 확보된다면 비정질 실리콘을 대체할 유력한 후보 중의 하나가 될 것이라고 기대된다. -
펄스 DC
$O_2$ 플라즈마를 이용하여 PMMA와 폴리카보네이트 기판을 건식 식각 한 후 그 결과에 대하여 분석하였다. 식각 공정 변수는 펄스 파워 (300~500 V), 펄스 시간 ($0.5{\sim}2.0\;{\mu}s$ ), 펄스 주파수 (100~250 kHz)의 변화이었다. 특성 분석은 PMMA와 폴리카보네이트의 식각률, 두재료의 포토레지스트에 대한 식각 선택도, 식각 후 표면 거칠기 변화에 대해 실시하였다. 또한 주사 전자 현미경을 이용하여 식각 후 패턴의 표면 형상을 관찰하였다. 실험 결과, PMMA의 식각률이 폴리카보네이트보다 높음을 알 수 있었다. 펄스 파워를 300 V 에서 500 V로 증가함에 따라 PMMA의 식각률은$0.17\;{\mu}m/min$ 에서$0.53\;{\mu}m/min$ 로 증가하였다. 폴리카보네이트는 같은 식각 조건에서$0.09\;{\mu}m/min$ 에서$0.22\;{\mu}m/min$ 로 증가하였다. 그 이유는 폴리카보네이트의 경우, 결합력이 큰 벤젠 분자 구조를 포함하고 있기 때문에 PMMA보다 식각률이 더 낮다고 추측한다. 또한 PMMA 와 폴리카보네이트의 포토레지스트에 대한 식각 선택비는 펄스 파워가 증가함에 따라 같이 증가하는 것을 알 수 있었다. 5 sccm O2, 55 mTorr 공정 압력, 400 V 펄스 파워, 200 kHz 펄스 주파수의 조건에서 펄스 시간이$0.5\;{\mu}s$ 에서$1.0\;{\mu}s$ 로 증가할 때 PMMA와 폴리카보네이트의 식각률은 거의 변화가 없었다. 그러나 같은 조건에서 펄스 시간이$1.0\;{\mu}s$ 에서$2.0\;{\mu}s$ 로 증가한 경우에는 PMMA와 폴리카보네이트의 식각률은 선형적으로 증가하였다. 펄스 시간이 고분자 소재의 건식 식각에 영향을 줄 수 있다는 사실을 알 수 있었다. 주사현미경을 이용하여 식각된 표면 형상을 분석한 결과, 폴리카보네이트가 PMMA보다 표면이 매끈하게 관찰되었다. 요약하면, 펄스 DC$O_2$ 플라즈마는 PMMA와 폴리카보네이트 등의 고분자 소재의 건식 식각에 중요하게 활용될 수 있다는 사실을 본 연구를 통해 이해할 수 있다. -
AC PDP(Plasma Display Panel)는 상압에 가까운 압력에서 DBD(Dielectric Barrier Discharge) 방전을 이용한 디스플레이다. AC PDP는 보통 면 방전을 이용하기 때문에 대향 방전과는 다른 방전 현상을 보인다. 본 연구에서는 4인치 test 패널 제작하여 격벽 높이 변화에 따른 방전 현상을 연구하였다. PDP 셀은
$1mm^3$ 보다 작은 크기를 가지고 있기 때문에 방전 현상을 분석하는 것은 쉽지 않다. 그래서 이 연구에서는 2, 3차원 유체 시뮬레이션을 이용하여 실험 결과에 대한 방전 현상을 연구하였다. 테스트 패널을 통하여 정적 마진, 휘도, 소비전력, 발광효율 등을 구하였고, Fluid 시뮬레이션을 통하여 전기장 분포, 하전입자 및 여기종 입자들의 개수 및 밀도 분포, 벽전하 분포 등을 통하여 방전 특성의 경향성을 분석하였다. 격벽 높이가 높아질수록 방전 공간이 넓어지면서 효율이 증가하였으나$140\;{\mu}m$ 이상의 높이에서는 광 변환 효율이 감소하면서 효율이 오히려 감소하였다. -
In this study, we have investigated the holographic grating formation on Ag-doped amorphous chalcogenide AsGeSeS thin films with Ag thickness. Ag/AsGeSeS thin films with the incident laser beam wavelength for the improvement of the polarization diffraction grating efficiency. Holographic gratings have been formed using Diode Pumped Solid State laser (DPSS, 532.0nm) under [P:P] polarized the intensity polarization holography. The diffraction efficiency was obtained by +1st order intensity. The result is shown that the diffraction efficiency of Ag/AsGeSeS double layer thin film for the Ag thickness, the maximum grating diffraction efficiency using 60nm Ag layer is 0.96%.
-
We have generated Ne-Xe plasma in dense plasma focus device with hypocycloidal pinch for extreme ultraviolet (EUV) lithography and investigated an electron temperature. We have applied an input voltage 4.5 kV to the capacitor bank of 1.53 uF and the diode chamber has been filled with Ne-Xe(30%) gas in accordance with pressure. If we assumed that the focused plasma regions satisfy the local thermodynamic equilibrium (LTE) conditions, the electron temperature of the hypocycloidal pinch plasma focus could be obtained by the optical emission spectroscopy (OES). The electron temperature has been measured by Boltzmann plot. The light intensity is proportion to the Bolzman factor. We have been measured the electron temperature by observation of relative Ne-Xe intensity. The EUV emission signal whose wavelength is about 6~16 nm has been detected by using a photo-detector (AXUV-100 Zr/C, IRD) and the line intensity has been detected by using a HR4000CG Composite-grating Spectrometer.
-
최근 AC-PDP에서 MgO Protective Layer 위에 별도의 기능막(Functional Layer)을 사용하고 있는데, 이 기능막인 MgO 나노 입자는 장시간 구동시 AC_PDP panel내에서 plasma 방전에 의하여 MgO Protective Layer와 기능막이 방전 공간에 형성 된 이온에 의해 Sputtering 또는 재 증착 될 수 있다. 본 실험에서는 조성이 다른 기능막이 적용된 AC-PDP Test panel을 제작하여 장시간 구동 후 기능막인 두 가지 다른 MgO 나노 입자의 재형성된 형태를 주사 전자 현미경(Scanning Electron Microscope)을 통해 Surface Profile 및 구조의 변화를 분석하고, 또한 음극선 분광 분석(Cathodoluminascence)을 통하여 방전 영역과 비방전 영역의 delay time, 방전전압 및 효율 등의 전기 광학적 특성과의 관계를 분석하고자 한다.
-
현재 박막 트랜지스터는 비정질 실리콘 기반의 개발이 주를 이루고 있으며, 이 비정질 실리콘은 성막공정이 간단하고 대면적에 용이하지만 전기적인 특성이 우수하지 않기 때문에 디스플레이의 적용에 어려움을 겪고 있다. 이에 따라 poly-Si을 이용한 박막 트랜지스터의 연구가 진행되고 있는데, 이는 공정온도가 높고, 대면적에의 응용이 어렵다. 따라서 앞으로 저온 공정이 가능하며 대면적 응용이 용이한 박막 트랜지스터의 연구가 필수적이다. 한편 최근 박막 트랜지스터의 채널층으로 사용되는 물질에는 oxide 기반의 ZnO, SnO2, In2O3 등이 주로 사용되고 있고, 보다 적합한 채널층을 찾기 위한 연구가 많이 진행되어 왔다. 최근 Hosono 연구팀에서 IGZO를 채널층으로 사용하여 high mobility, 우수한 on/off ratio의 특성을 가진 소자 제작에 성공함으로써 이를 시작으로 IGZO의 연구 또한 세계적으로 활발한 연구가 이루어지고 있다. 특히, ZnO는 wide band gap (3.37eV)을 가지고 있어 적외선 및 가시광선의 투과율이 좋고, 전기 전도성과 플라즈마에 대한 내구성이 우수하며, 낮은 온도에서도 성막이 가능하다는 특징을 가지고 있다. 그러나 intrinsic ZnO 박막은 bias stress 같은 외부 환경이 변했을 경우 전기적인 성질의 변화를 가져올 뿐만 아니라 고온에서의 공정이 불안정하다는 요인을 가지고 있다. ZnO의 전기적인 특성을 개선하기 위해 본 연구에서는 hafnium을 doping한 ZnO을 channel layer로 소자를 제작하고 전기적 특성을 평가하였다. 이를 위해 DC magnetron sputtering을 이용하여 ZnO 기반의 박막 트랜지스터를 제작하였다. Staggered bottom gate 구조로 ITO 물질을 전극으로 사용하였으며, 제작된 소자는 semiconductor analyzer를 이용하여 출력특성과 전이 특성을 평가하였으며, ZnO channel layer 증착시 hafnium이 도핑 되는 양을 조절하여 소자를 제작한 후 intrinsic ZnO의 소자 특성과 비교 분석하였다. 그 결과 hafnium을 doping 시킨 소자의 field effect mobility가
$6.42cm^2/Vs$ 에서$3.59cm^2/Vs$ 로 낮아졌지만, subthreshold swing 측면에서는 1.464V/decade에서 0.581V/decade로 intrinsic ZnO 보다 좋은 특성을 나타냄을 알 수 있었다. 그리고 intrinsic ZnO의 경우 외부환경에 대한 안정성 문제가 대두되고 있는데, hafnium을 도핑한 ZnO의 경우 temperature, bias temperature stability, 경시변화 등의 다양한 조건에서의 안정성이 확보된다면 intrinsic ZnO 박막트랜지스터의 문제점을 해결할 수 있는 물질로 될 것이라고 기대된다. -
헬리콘 플라즈마는 자기장을 이용하여 높은 전자밀도를 가지게 하는 플라즈마 소스이다. 이러한 장점에도 불구하고, 전자석의 크기 때문에 설치가 어렵고, 전자석을 작동시키기 위해 추가 파워에 대한 추가 비용이 필요하며, 플라즈마의 균일도가 좋지 않아 공정에서는 많이 사용되지 못하였다. 이러한 난점은 UCLA의 Chen이 영구자석을 이용한 새로운 개념의 소스를 개발함으로써 풀릴 수 있다. 이 소스는 헬리콘 플라즈마의 높은 저항을 이용하여 여러 개의 헬리콘소스를 병렬로 연결이 가능하게끔 한다. 본 연구에서는 우선 Helic Code를 이용하여 밀도에 따른 헬리콘 플라즈마의 실저항을 계산해 보았다. 계산된 실저항값을 바탕으로, 한 개의 헬리콘 소스를 방전하여 헬리콘 플라즈마의 밀도와 전자온도 등 내부 파라미터 및 저항과 페이즈값 등 외부 파라미터들을 측정하여 계산된 결과와 비교해 보았다. 대면적화에 적합한 플라즈마 소스로써의 가능성을 알아보기 위해, 아르곤가스를 이용한 4개의 튜브로 병렬 방전을 시행해 보았다. Langmuir Probe를 이용하여 측정된 전자밀도를 통해 ICP에서 헬리콘 mode로의 전이 및 균일도를 측정하였다. 측정된 결과로부터, 입력된 파워가 플라즈마에 효과적으로 전달되기 위한 방법을 제시하고, 압력에 따른 헬리콘 mode의 전자밀도 경향성을 통해 어떤 범위에서 헬리콘 방전이 가능한지 알아보았다.
-
Zinc Oxide (ZnO) thin-films were deposited according to the magnetron sputtering method. The deposited ZnO films were annealed with RTA equipment at various annealing temperatures in an vacuum ambient. The influence of the annealing temperature on the structural, electrical, and optical properties of the ZnO films was experimentally investigated, and the effect of conductivity of the ZnO active layer on the device performance of the oxide-TFT was tested. As a result, an increase of the annealing temperature was attributed to improvements of crystallinity in ZnO films. The grain size was found to lead to an increase of conductivity in the ZnO films. Fabricated ZnO TFTs with annealed ZnO active layer provided good performance in the TFT devices. Consequently, the performance of the TFT was determined by the conductivity of the ZnO film, which was related to the structural properties of the ZnO film.
-
최근 태양전지 제작 등에 응용되고 있는
$NH_3/N_2/SiH_4$ 유도결합 플라즈마 방전에서 활성종의 플라즈마 변수 의존성을 이론적인 계산을 통해 분석한다. 먼저, 수집 및 계산 가공된 반응계수들에 대한 신뢰성을 검증하기위해 공간평균 전산모사를 수행하여 최종적으로 계산된 전자온도 및 밀도값들이 합리적인 범주에 속해 있는지 확인한다. 검증된 반응계수들을 바탕으로 공간평균 유체방정식에서 정상상태를 가정하여 중성종 및 활성종 밀도를 전자밀도 및 온도 등의 플라즈마 변수에 대한 함수로 표현하고, 이에 대한 수치해석적인 해를 얻음으로써 그 의존성을 분석해 본다. -
In this study, structural properties and photoluminescent characteristics of thin film rhombohedral zinc orthosilicate doped with manganese (
$Zn_2SiO_4:Mn$ ) were investigated. The$Zn_2SiO_4:Mn$ films showed a pronounced absorption edge in the near ultraviolet wavelength region and a high optical transparency in the visible spectral range. The maximum transmittance reached 0.922 at 597 nm, which was very close to the transmittance of the fused quartz substrate alone (0.935). The$Zn_2SiO_4:Mn$ films were composed of rhombohedral polycrystalline grains with random crystallographic orientation. The broad-band photoluminescence emission peaked at around 525 nm was observed from the$Zn_2SiO_4:Mn$ films, which was ascribed to the radiative relaxation from the$^4T_1$ lowest excitation state to$^6A_1$ ground state of 3d5 electrons in divalent manganese ion. The excitation band exhibited a peak maximum at 259 nm in the near ultraviolet region, which was considered to be associated with the charge transfer transition of divalent Mn ion in the$Zn_2SiO_4$ system. -
고휘도 고효율 백색 LED (lighting emitting diode)가 차세대 조명광원으로 급부상하고 있다. 백색 LED를 생산하기 위한 공정에서 MOCVD (유기금속화학증착)장비를 이용한 에피웨이퍼공정은 에피층과 기판의 격자상수 차이와 열팽창계수차이로 인하여 생성되는 에피결함의 문제로 기판과 GaN 박막층 사이에 완충작용을 해줄 수 있는 버퍼층 (Buffer layer)을 만든다. 그 위에 InGaN/GaN MQW (Multi Quantum Well)공정을 하여 고휘도 고효율 백색 LED를 구현 할 수 있다. 이 공정에서 기판의 온도가 불균일해지면 wafer 파장 균일도가 나빠지므로 백색 LED의 yield가 떨어진다. 균일한 기판 온도를 갖기 위한 조건으로 기판과 induction heater의 간격, 가스의 흐름, 기판의 회전, 유도가열코일의 디자인 등이 장비의 설계 요소이다. 본 연구에서는 유도가열방식의 유도가열히터를 이용하여 기판과 히터의 간격에 차이에 따른 기판 균일도 측정했고, 회전에 의한 기판의 온도분포와 자기장분포의 실험적 결과를 상용화 유체역학 코드인 CFD-ACE+의 모델링 결과와 비교 했다. 또한 가스의 inlet위치에 따른 기판의 온도 균일도를 측정하였다. 본 연구에서 사용된 가열원은 유도가열히터 (Viewtong, VT-180C2)를 사용했고, 가열된 흑연판 표면의 온도를 2차원적으로 평가하기 위하여 적외선 열화상 카메라 (Fluke, Ti-10)를 이용하여 온도를 측정했다. 와전류에 의한 흑연판의 가열 현상을 누출 전계의 분포로 확인하기 위하여 Tektronix사의 A6302 probe와 TM502A amplifier를 사용했다. 흑연판 위에 1 cm2 간격으로 211곳에서 유도 전류를 측정했다. 유도전류는 벡터양이므로
$E{\theta}$ 를 측정했으며, 이때의 측정 방향은 흑연판의 원주방향이다. 또한 자기장에 의한 유도전류의 분포를 확인하기 위하여 KANETEC사의 TM-501을 이용하여 흑연판 중심으로부터 10 mm 간격으로 자기장을 측정 했다. 저항 가열 히터를 통하여 대류에 의한 온도 균일도를 평가한 결과 gap이 3 mm일때, 평균 온도$166.5^{\circ}C$ 에서 불균일도 6.5%를 얻었으며, 회전에 의한 온도 균일도 측정 결과는 2.5 RPM일 때 평균온도$163^{\circ}C$ 에서 5.5%의 불균일도를 확인했다. 또한 CFD-ACE+를 이용한 모델링 결과 자기장의 분포는 중심이 높은 분포를 나타냄을 확인했고, 기판의 온도분포는 중심으로부터 55 mm되는 곳에서 300 W/m3로 가장 높은 분포를 나타냈다. 가스 inlet 위치를 흑연판 중심으로 수직, 수평 방향으로 흘려주었을 때의 불균일도는 각각 10.5%, 8.0%로 수평 방향으로 가스를 흘려주었을 때 2.5% 온도 균일도 향상을 확인했다. -
진공 chamber에서 방전된 plasma 내부를 외부 view port를 통하여 확인하는 것은 극히 제한적이며 leak의 확률을 높이고 plasma의 균일한 방전을 방해한다. 이를 개선하기 위하여 내장형 무선 카메라를 chamber 내부에 위치한 후 고진공 영역에서 촬영을 시도하였으나 일반적인 CCD 카메라로는 촬영할 수 없다. 고진공 영역에서 카메라 내부온도의 급격한 상승이 원인으로 밝혀졌고 적정온도인
$45^{\circ}C$ 를 초과하여 최대$96^{\circ}C$ 까지 4 min 이내에 상승함을 IR camera로 확인할 수 있었으며 이 때 카메라가 작동하지 않았다. 또한 카메라를 고진공 영역에서 촬영 및 녹화하기 위해서는$46^{\circ}C$ 의 온도를 낮추어야 함을 진공해제 이후 내부온도가$50^{\circ}C$ 로 감소하면서 내장형 무선 카메라가 다시 작동함으로 인해 알 수 있었다. 본 연구에서는 이를 해결하기 위하여 내장형 무선 카메라에 AM 변조 방식의 311 MHz RF remote controller를 장착하여 외부에서 선택적으로 ON/OFF 할 수 있도록 개조하였고 10 L chamber에서 150 L/sec TMP를 이용하여 10-6 Torr의 압력에서 성공적으로 녹화 및 촬영하였다. 또한 내장형 무선 카메라 내부의 반도체 회로 규격 및 발열량과 heat sink의 규격 (열전도도, 복사율)을 추가로 조사하였다. 분자유동 영역에서 열전달은 복사에 의한 영향이 대부분이므로 내장형 무선 카메라 내부 온도를 감소시켜 카메라의 작동 시간을 연장하기 위하여 내부 회로에 emissivity가 높고 전기전도도가 낮아 회로에 영향이 없는 박막을 회로에 증착시키는 추후의 연구가 필요하다. -
최근 10여년간 OLED는 급속한 기술발전으로 효율의 급속히 향상되어 100 lm 이상의 소자가 발표되고 있어, 디스플레이와 조명용 광원으로서의 응용 가능성이 증가하고 있다. 또한 에너지 및 환경의 중요성이 대두되며 효율은 점차 중요해 지고 있다. OLED의 효율 향상을 위해, 내부 양자효율이 25%인 형광 OLED를 대체할 수 있는, 인광 OLED가 대두되고 있다. 인광 OLED는 내부양자 효율이 형광 OLED에 비해 4배. 즉 100%의 내부양자 효율을 갖는다. 그러나 주로 사용되는 청색인광 물질인 FIrpic, Fir6 등의 수명이 짧다는 점과 색 좌표의 y값이 0.20 이상으로 하늘색 계열의 색특성을 보이는 등 여러 단점이 있다. 현제 이러한 단점을 보완하고자 하는 여러 연구가 진행되고 있다. 이에 본 연구에서는 다양한 호스트 물질을 사용, 도펀트 물질인 FIrpic을 도핑하여 청색인광의 효율을 높이고 수명을 증진시키고자 한다. 양극전극으로 RF 플라즈마 처리한 ITO를 사용하였으며, 진공증착방법을 사용하여 정공 주입층(HIL)으로 2-TNATA와 정공 수송층(HTL)으로 a-NPD을 증착하였으며, 전자 수송층(ETL)으로 Balq, 전자주입층(EIL)으로 LiF와 음극전극으로 Al을 증착하였다. 발광층(EML)에 사용되는 호스트 물질은 mCP, TCTA, CBP 등으로 다양화 하여 도펀트 물질인 FIrpic을 각각의 호스트 물질에 8 wt%으로 도핑하여 OLED 소자를 제작하였고, 전기 및 광학적 특성을 평가하였다.
-
유리 기판 상에 system on panel (SOP) 구현을 위한 비휘발성 메모리 (NVM)를 제작하였다. 기존에 사용되던 charge storage layer인 SiNx 대신에 a-Si를 사용하여 전하 저장량 증가 및 전하유지 특성 향상시켰다. 그 결과 bandgap이 작아 band edge 저장 가능하였으며, SiNx 와 마찬가지로 a-Si 내 트랩에 저장되었다.
$SiO_2$ /a-Si와 a-Si/SiON 계면의 결함 사이트에 전하 저장되었으며, 또한 bandgap이 작아 트랩 또는 band edge에 위치한 전하들이 높은 bandgap을 가지는 blocking 또는 tunneling layer를 통하여 빠져 나오기 어려웠다. ONOn 구조의 두께와 동일한 OSOn 박막을 사용한 구조에서는 전하 저장 특성은 뛰어나나 기억유지 특성이 나빴다. 이에 대한 향상 방안으로는 Tunneling 박막의 두께를 증가시키는 것과 OSOSOn 적층 구조 소자를 만드는 방법이 있다. Tunneling 박막의 두께를 증가시킨 소자는 기억유지 특성 향상되는 특성을 보였으며 OSOSOn 적층 구조 소자는 전하저장 및 기억유지 특성 향상을 보였다. 특히, OSOSOn 구조의 경우 2개의 터널링 barrier를 사용함으로써 전하 저장 사이트의 증가에 기여하며, 기억 유지 특성도 좋아졌다. 본 연구에서 소자는 NVM이 아닌 MIS 구조로만 제작되었다. -
다층박막구조를 갖는 유기발광소자는 저분자 증착 기술이 발전함에 따라 다양한 구조로 제작이 가능해 다양한 구조 설계를 통하여 발광특성을 향상할 수 있게 되었다. 다층박막구조에서 유기발광소자의 발광효율을 향상시키기 위하여 다양한 주입층과 수송층을 사용하여 전하의 주입 장벽과 이동도를 제어할 수 있다. 저분자 유기발광소자에서 가장 많이 이용되는 tris(8-hydroxyquinoline) aluminum (Alq3) 또는 7-diphenyl-1, 10-phenanthroline (BPhen)을 단일구조로 전자수 송층으로 사용한 유기발광소자의 발광 메커니즘에 대한 연구가 많이 진행되었지만, Alq3 와 BPhen 을 같이 사용하였을 때 나타나는 전기적 특성과 광학적 특성에 대한 연구는 미미하다. 따라서 본 연구에서는 전자 수송층으로 Alq3 와 BPhen 을 다중 이종구조를 사용하여 녹색 유기발광소자를 제작하고 이의 전기적 특성과 광학적 특성을 연구하였다. 유기발광소자를 제작한 후 Alq3와 BPhen 다중 이종구조의 위치와 이종구조 개수의 변화에 따라 발광 특성 비교를 위하여 인가된 전압에 대한 전류밀도와 휘도, 발광 효율 및 전력 효율을 측정하였다. 다중 이종구조로 제작할 경우 단일 BPhen층의 두께가 얇아지기 때문에 단일 이종구조의 소자보다 BPhen층의 정공차단 능력이 저하되어 저전압에서는 Alq3/BPhen 계면에서의 누설되는 정공의 수가 증가하였다. 또한 이종구조의 수가 증가할수록 단일 이종구조일 때에 비하여 인가된 전압에 대한 전류밀도가 감소하였다. 이는 Alq3와 BPhen 내에서 각각 전자의 이동도가 다르기 때문에 Alq3/BPhen 이종계면에서 전자가 축적되어 공간전하를 형성하므로 내부전계가 형성되어 구동전압이 증가하는 것으로 보인다. 그러나 다중 이종구조로 된 전자 수송층을 포함한 유기발광소자의 발광 효율은 구동전압의 변화에 따라 변하지 않는다. 이종계면의 수가 증가함에 따라 각각의 이종계면에서 축적되는 전자의 양이 감소하기 때문에 고전압에서 발광효율의 저하가 감소하였다. 그러므로 다중 이종구조를 가진 전자수송층 내에서 전자의 주입과 수송에 대한 원리는 안정화된 발광효율을 가지는 유기발광소자를 제작하는데 중요하다.
-
유기 발광 소자는 차세대 디스플레이 소자와 조명 광원으로서 많은 응용성 때문에 활발한 연구가 진행되고 있다. 백색광을 구현하는 대표적인 방법으로는 밴드갭이 큰 고분자 물질에 염료를 넣는 방법, 적 녹 청을 순차적으로 증착하는 방법을 사용하지만 인가 전압의 증가 및 효율 저하, 유기물질의 수명감소, 색 안정성 감소, 제조공정의 복잡화의 문제가 발생된다. 이 문제를 해결하기 위하여 발광효율 및 안정성이 향상된 유기발광 재료 개발, 다층 이종구조 및 형광/인광성 물질의 도핑에 대한 연구가 진행되고 있다. 이와 더불어 기존의 수직 적층 구조에서 벗어난 평행하게 적 녹 청을 배열한 백색 유기 발광 소자 및 색변환 물질을 사용한 백색 유기발광소자가 제시되고 있다. 본 연구에서는 고분자 물질의 용해도가 다른 선택적 식각 방법을 이용하여 제조 공정이 간단하며 동일평면에서 적색 및 청색을 발광하여 백색을 발생하는 백색 유기발광소자를 제작하였다. 두 가지 유기물을 일정 성분비로 용매에 용해하여 적색 발광 고분자 발광층을 제작하였다. 이렇게 형성한 박막층을 한 가지 유기물만을 선택적으로 용해시켜 다공성 고분자 박막층을 형성 한 후 열 진공 증착법에 의해 청색 빛을 내는 저분자 유기물을 증착하여 적색과 청색이 동시에 발광하는 백색 유기 발광 소자를 제작하였다. 다공성고분자/저분자 층이, 수직 적층된 구조와 비교하였을 때 수직 적층된 구조는 높은 highest occupied molecular orbital 준위를 가진 저분자층으로 인해 적색에서 청색 발광층으로 정공의 주입이 일어나지 않는다. 그러나 적색과 청색이 평행한 적층 구조를 가진 발광소자인 경우 정공이 적색층과 청색층에 동시에 주입되기 때문에 문턱전압의 감소하고 백색의 빛을 발광하였다.
-
유기발광소자의 발광 효율을 향상하기 위해 발광층에서 전자와 정공의 효율적인 재결합이 중요하기 때문에 발광층에서 재결합 확률을 높이기 위한 전하의 효율적인 주입과 전송에 대한 연구가 많이 진행되고 있다. 본 연구에서는 전자주입효율을 향상하기 위하여 강한 전자 받게 역할을 하는 플러렌 (C60)과 무기물 절연층인 cesium flouride (CsF) 층을 조합한 무기물 이중 전자주입층을 삽입한 녹색 유기발광소자를 제작하였고, 녹색 유기 발광 소자에 사용하여 발광효율의 변화를 관찰하였다. 큰 쌍극자 모멘트를 갖는 CsF 층은 전기전도성이 좋은 C60 층과 Al 층 사이에 삽입되어 전자의 주입장벽을 낮추어 전자주입 효율을 향상하는 역할을 한다. C60만으로 이루어진 단층 전자 주입층으로 구성된 유기발광 소자는 Al 음극전극과 C60 계면사이에 거칠기가 크기 때문에 누설전류의 크기가 커지며 Al 과 플러렌 C60 의 공유결합 형성으로 인해 전자의 주입이 오히려 저하되는 현상을 보였다. 무기물 절연층인 CsF 층을 C60 과 Al 사이에 삽입한 유기발광소자에서 C60 층은 Cs 원자가 유기물층 내부로 확산되는 것을 감소하였다. 매우 얇은 CsF층을 Al층과 C60층 사이에 삽입함으로써 C60과 Al 사이의 공유결합을 없애고 누설전류를 줄이고 전자주입장벽을 낮추어 전자주입효율이 향상하였다. 전자주입 향상으로 인해 발광층 내에서 전자와 정공간의 비율이 개선되어 유기발광 소자의 발광효율도 증가되고 색안정성이 향상되는 것을 관찰할 수 있었다.
-
백색 유기발광소자를 제작하기 위한 여러 가지 유기물층을 사용할 때 제작공정이 어려워지고 유기발광소자의 발광 효율이 저하되고 색안정성이 나빠지는 문제점이 있다. 본 논문에서는 Zn2SiO4:Mn 무기물 형광체를 사용한 유무기 혼성 유기발광소자를 제작하고 발광 메카니즘을 조사하였다. 색변환층으로 사용되는 Zn2SiO4:Mn 형광체는 졸겔 방법을 사용하여 형성하고 비이클용액 및 열처리 공정을 사용하여 유리기판 위에 도포하였다. 형성된 Zn2SiO4:Mn 형광체 층에 대하여 X선 회절측정한 결과는 형광체내의 Zn 이온이 도핑된 Mn 이온에 대체되었음을 보여준다. 제작된 진청색 OLED의 전계발광 스펙트럼은 461 nm 에서 peak 을 나타내고 Zn2SiO4:Mn 무기물 형광체는 470 nm에서 여기 되어 Mn 이온의 4T1-6A1 전이에 의하여 527 nm에서 발광을 한다. Zn2SiO4:Mn 무기물 형광체를 사용한 유기발광소자의 전계발광스펙트럼에서 나타나는 527nm peak 은 Zn2SiO4:Mn 무기물의 색변환에 의해 나타난 결과로서 제작된 유기발광소자에서 발광된 빛을 청색에서 녹색으로 변환한 결과이다. Zn2SiO4:Mn 무기물 색변환층을 사용하여 제작된 무기물/유기물 유기발광소자의 발광 메카니즘은 전계발광스펙트럼 및 광루미네센스 스펙트럼 결과를 기초로 설명하였다. 이 결과는 녹색 무기물 형광체를 진청색 유기발광소자와 결합하여 제작된 유기발광소자의 발광색을 조절할 수 있음을 보여주었다.
-
투명전극 물질인 ITO는 가시광선 영역에서 높은 투과율과 낮은 전기저항을 나타내어 OLED, PDP, LCD등 다양한 분야에서 널리 사용되는 물질이다. 하지만 차세대 조명으로 개발이 확대되고 있는 OLED 조명 등 더 많은 분야에 적용하기 위해서는 많은 개선점이 필요하다. 특히 픽셀 구조가 아닌 OLED 조명의 경우 ITO의 저항에 의해 전압 강하가 발생하여 휘도 불균일, 발열 등의 문제가 발생할 수 있다. 이 때문에 보다 우수한 전기적인 특성과 높은 투과율을 갖는 투명전극이 필요하다. 현재 이러한 문제점을 개선하기 위해 ITO 박막 내부에 얇은 금속 층을 삽입하여 다층 박막으로 증착하는 연구가 활발히 진행되고 있다. 본 연구에서는 기존의 투명 전극인 ITO 대신 ITO 중간에 Ag를 삽입한 3층 박막인 ITO/Ag/ITO와 5층 박막인 ITO/Ag/ITO/Ag/ITO를 투명 전극으로 대체하였을 때 수반되는 전기적, 광학적 특성을 알아보고자 한다. 또한 열처리 조건을 다르게 하여 열처리에 따른 다층 박막의 특성 변화도 비교해보았다. In-line 형태의 RF-DC sputter를 이용하여 다층박막을 증착시킨 후 Oven과 Vacuum Oven에 열처리를 하여 특성을 알아보았다. 전기적 특성을 알아보기 위해 4-point probe를 이용하여 비저항을 측정하였고, UV-VLS spectrometer를 이용하여 투과율을 측정하였다. 또한, Atomic force microscopy(AFM)을 이용하여 표면 형상을 측정하였다.
-
Currently, extreme ultraviolet lithography (EUVL) is being investigated for next generation lithography. EUVL is one of competitive lithographic technologies for sub-22nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance due to the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore, new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.
-
플라즈마 아킹은 PECVD, 플라즈마 식각 그리고 토카막과 같은 플라즈마를 이용하는 여러 공정과 연구 분야에서 문제점을 야기시켜왔다. 하지만, 이에 대한 연구는 아킹 현상의 불규칙성과 과도적인 행동으로 인해 미비한 상태이다. 특히, RF 방전에서의 아킹 연구는 DC 방전에서의 아킹 연구에 비해 많이 부족한 것이 현실이다. 플라즈마 아킹은 집단전자방출(collective electron emission)에 의한 스파크 방전(spark discharge)현상이다. 집단전자방출은 전계방출(field emission)이나 플라즈마와 쉬스를 두고 인접한 표면위에서의 유전분극(dielec emission)에 의해 발생한다. 이렇게 방출된 집단 전자들은 쉬스에서 가속되어 에너지를 얻게 되고 원자와의 충돌로 전자 아발란체를 일으킨다. 이렇게 배가된 전자들은 아킹 스트리머(arcing streamer)를 형성하게 되고 아킹 발생 시 높은 전류와 공정 실패의 원인이 된다. 우리는
$30cm{\times}20cm$ 크기의 사각 전극을 위 아래로 가진 챔버에서 Ar 가스를 RF(13.56 MHz)파워를 이용해 방전시켰다. 방전 전압과 전류는 파워 전극 압단에서 High voltage probe (Tektronix P6015A)와 Current probe (TCPA300 + TCP312)를 이용해 측정했다. 플라즈마 아킹시 변하는 플라즈마 플로팅 포텐셜은 챔버 중앙에 위치한 랑뮈프 프로브에 의해 측정되고 챔버 옆의 뷰포트 앞에 위치한 PM-tube를 이용해 아킹시 변하는 광량을 측정한다. RF 방전에서의 플라즈마 아킹은 아킹시 플로팅 포텐셜의 변화에 의해 크게 세부분으로 나눌 수 있다. 아킹 발생과 동시에 급격히 감소하는 감소부분 (약 2us) 그리고 감소한 포텐셜이 유지되는 유지부분 (약 0~10ms) 그리고 감소했던 포텐셜이 서서히 원래 상태로 회복되는 회복부분(약 100 us)이다. 아킹 초기시 방출된 집단 전자들과 원자들간의 충돌에 의해 형성된 아킹 스트리머는 플라즈마 전체를 단락시키게 되고 이로 인해 플로팅 포텐셜은 급격히 감소하게 된다. 이렇게 감소한 플로팅 포텐셜은 아킹 스트리머가 유지되는 한 계속 감소한 상태를 유지하게 된다. 그리고 플라즈마를 섭동했던 아킹 스트리머가 중단되면 플라즈마는 섭동전의 원래 상태로 돌아가려 하기 때문에 플로팅 포텐셜은 서서히 증가하면서 원래 상태로 회복된다. 플라즈마 아킹 발생시 생성되는 아킹 스트리머는 순간적으로 많은 전자들을 국소적으로 생성하게 되고 이 전자들에 의해 광량이 순간적으로 증가하게 된다. PM-tube (750.4 nm)에 의해 측정된 아킹시 광량은 정상방전 상태의 두배 가량이 된다. 그리고 이 순간적으로 증가된 광량은 시간이 지남에 따라 감소하게 되고 정상방전 일때의 광량이 된다. 광량이 증가한 후 정상방전 상태의 광량에 이르는 부분은 플로팅 포텐셜이 감소한 상태에서 유지되는 부분과 일치하고 이는 플로팅 포텐셜의 유지부분동안 아킹 스트리머가 발생하고 있다는 간접적인 증거가 된다. 그리고 정상 방전 상태 일때의 광량이 되면 아킹 스트리머가 중단되었다는 것이므로 그 시점부터 플로팅 포텐셜은 정산 방전상태 일 때의 포텐셜로 복구되기 시작한다. 이처럼 PM-tube를 이용한 아킹 광량 측정은 아킹 스트리머를 간접적으로 측정하게 하고 아킹 스트리머를 이용해 아킹시의 플로팅 포텐셜의 변화를 설명하게 해 준다. 응용적인 측면에서 아킹 광량 측정을 이용한 아킹 판독은 방전 전류와 방전 전압과 같은 전기적 신호를 이용한 아킹 판독에 비해 여러가지 장점을 가진다. 우선, 전기적 신호를 이용한 아킹 판독처럼 매칭 회로나 플라즈마를 섭동시키지 않는다. 그리고 원하는 부분의 아킹만을 판독하는 것도 가능하며 photo-diode를 이용할 경우 전기적 신호를 이용하는 것에 비해 경제적으로 유리하다. -
최근 Light-emitting diodes (LEDs: 발광다이오드) 디바이스의 고휘도, 저전력, 긴 수명, 다양한 색연출 가능, 친환경 소자 등의 장점으로 LED 디바이스가 flat panel display(FPD)의 back light unit (BLU) 를 비롯해 실내 외 조명과 자동차 전조등 분야 이외에도 의료, 인테리어 사업을 비롯한 각종 전자 통신 기기의 정보 처리 기기의 표시소자 등, 여러 제품 군에 적용되는 가운데 큰 관심을 받고 있다. 하지만 이러한 여러 가지 장점에도 불구하고 LED 모듈에서의 junction temperature가 높은 방열 특성이 나쁘다는 단점은 아직 해결되지 않고 있는 실정이다. LED 소자 모듈에서의 junction temperature가 높을 경우 소비되는 에너지가 많을 뿐만 아니라 LED 소자의 발광효율이 떨어지고 수명이 급격히 저하 되어, 결국에는 신뢰성 특성이 현저히 저하 되는 결과가 초래되기 때문이다. 따라서 본 논문에서는 LED 디바이스의 열저항을 낮추기 위해 고방열 세라믹 기판을 이용해 LED 디바이스의 방열 특성을 향상시킨 결과를 제시한다. 고방열 세라믹 기판을 제작하여 LED 칩을 실장시킨 다음 LED 열저항 특성을 측정하였다. 이때 고방열 세라믹 기판은 Al2O3와 AlN이 사용되었으며 제작한 세라믹 기판의 강도, 표면 roughness, 미세구조 등을 살펴보고 이 기판들의 열전도도를 측정하였다. 제작 공정방법에 따라 세라믹 기판의 미세구조를 비롯한 기계적, 열적 특성이 현저히 변하였으며 이때 LED 칩을 실장 하여 측정한 열저항 특성 값도 함께 변하였다. Al2O3의 열저항 값은 3.003 K/W 으로 측정 되었으며, AlN의 열저항 값은 3.003k/W 으로 측정되었다.
-
In this study, we investigated the evolution and reduction of the surface roughness during the high-speed chemical dry thinning process of Si wafers. The direct injection of NO gas into the reactor during the supply of F radicals from NF3 remote plasmas was very effective in increasing the Si thinning rate, due to the NO-induced enhancement of the surface reaction, but resulted in the significant roughening of the thinned Si surface. However, the direct addition of Ar and N2 gas, together with NO gas, decreased the root mean square (RMS) surface roughness of the thinned Si wafer significantly. The process regime for the increasing of the thinning rate and concomitant reduction of the surface roughness was extended at higher Ar gas flow rates. In this way, Si wafer thinning rate as high as
$20\;{\mu}m/min$ and very smooth surface roughness was obtained and the mechanical damage of silicon wafer was effectively removed. We also measured die fracture strength of thinned Si wafer in order to understand the effect of chemical dry thinning on removal of mechanical damage generated during mechanical grinding. The die fracture strength of the thinned Si wafers was measured using 3-point bending test and compared. The results indicated that chemical dry thinning with reduced surface roughness and removal of mechanical damage increased the die fracture strength of the thinned Si wafer. -
플라즈마 처리를 통하여 수직 합성된 다중벽 탄소나노튜브가 원뿔형 다발이 될 수 있으며 원뿔형 탄소나노튜브 다발은 기존의 구조적, 기계적 성질의 향상과 더불어 향상된 전계방출 능력을 가질 것으로 기대되어 이를 X-선원, 전계방출디스플레이(FED), 유기발광다이오드(OLED) 백라이트 등의 전자빔 원으로 적용하기 위한 연구가 진행되고 있다. 원뿔형 탄소나노튜브 다발의 형상 제어를 통하여 전계방출특성을 향상시킬 수 있으며 이를 위해 원뿔형 탄소나노튜브 다발이 생성되는 메커니즘과 조사되는 플라즈마의 역할에 대해서 이해하는 것이 중요하다. 본 연구에서는 플라즈마 생성부와 조사부를 분리한 유도결합형 플라즈마 원을 사용하여 입사되는 이온의 에너지, 조사량, 입자 종을 독립적으로 제어하였고 이를 통하여 원뿔형 탄소나노튜브 다발이 형성되는 메커니즘과 플라즈마의 역할을 밝혀내었다. 알곤 및 수소 플라즈마 처리에서는 원뿔형 탄소나노튜브 다발이 형성되지 않았으나 질소 및 산소 플라즈마 처리에서는 원뿔형 탄소나노튜브 다발이 형성되었다. 특히 산소 플라즈마 처리가 원뿔형 탄소나노튜브 다발 형성에 효과적이었다. 원뿔형 탄소나노튜브 다발의 형성 메커니즘은 탄소나노튜브의 분극과 쉬스 전기장의 상호작용을 이용한 모델을 사용하여 설명하였다. 질소 및 산소 플라즈마 처리에서는 탄소나노튜브 끝단에 생성되는 C-N, C-O 결합에 의해 향상된 유도 쌍극자와 쉬스 전기장에 의해 탄소나노튜브 끝단이 모여 원뿔형 탄소나노튜브 다발이 생성됨을 밝혀내었다. 산소 플라즈마 처리에서 입사되는 이온의 에너지 조절에 의한 쉬스 전기장 조절과 조사량 조절을 독립적으로 수행하여 원뿔형 탄소나노튜브 다발의 직경 및 높이가 쉬스 전기장 및 조사량에 따라 조절 가능함을 보였다. 이로부터 입사되는 이온의 입자 종, 쉬스 전기장 및 조사량 조절 등의 플라즈마 인자 조절을 통하여 원뿔형 탄소나노튜브 다발의 형상 제어가 가능함을 보였다. 탄소나노튜브의 형상 제어와 더불어 세슘 입자 삽입을 통한 탄소나노튜브의 일함수 감소를 통하여 향상된 전계 방출 특성을 갖는 탄소나노튜브 팁의 제조 가능성을 확인하였다.
-
마이크로웨이브를 소스로 사용하는 상압 공정 장치는 비교적 저렴한 비용과 구동의 용이성 때문에 널리 사용되고 있다. 이러한 상압 마이크로웨이브 장치는 에너지 전달 방식에 따라 도파관을 사용하는 TIA (Torch Inject Axial)방식과 동축선을 사용하는 MPT (Microwave plasma torch)로 구분할 수 있다. 이 중 TIA 방식은 동축선에 비해 에너지 전달 용량이 큰 도파관을 사용하기 때문에 대용량 처리가 가능하다. TIA 방식에서 형성된 플라즈마의 조절과 처리 효율의 증가는 형성되는 각각의 스트리머 채널의 조절에 의해 결정된다. 방전기 내부에서 스트리머 채널은 인가된 전기장의 방향으로 성장하게 되며 전기장 현상을 조절함으로써 스트리머 채널의 조절이 가능하다. 내부에 인가되는 전기장은 마그네트론에 의해 인가되는 전기장, 스트리머 채널간의 유도 전기장, 열적 팽창효과에 의한 스트리머 헤드 형상 변화에 의한 전기장으로 구분될 수 있다. 이 때 각각의 항들의 조절을 위해 생성된 플라즈마의 온도, 밀도 등의 범위를 측정할 필요가 있으며 광학적인 방법을 통해 플라즈마의 온도, 밀도를 측정하였다. 이 결과를 토대로 도파관의 형상, 방전 기체의 유량, 방전 기체의 조성을 통해 각각 전기장의 조절이 가능하였다. 각 변수의 조절을 통해 방전기 내부에서 플라즈마 헤드 성장에 대해 알 수 있었고 끝이 열린 TIA 구조에서 발생하는 플라즈마 수렴 현상을 설명할 수 있었다.
-
원자의 여기 및 천이에 의한 플라즈마에서의 빛 방출은 일차적으로 여기를 위한 특정 문턱값 이상의 에너지 공급이 전제 된다. 진공 플라즈마에서 대부분의 에너지 전달 과정은 전자와의 물리적 충돌에 의해 일어나므로 충돌 여기의 결과 발생한 광신호 세기는 전자 에너지 분포에 대한 정보를 내포하고 있다. 전자는 입자들 간의 에너지 전달 매개가 되는 동시에 플라즈마 구성 입자 중 가장 가벼워 빠르게 주변 환경 변화에 응답하여 열평형을 이루므로 EEDF는 플라즈마의 미세한 변동까지도 보여줄 수 있는 인자가 된다. 플라즈마의 열평형 이동에 관한 정보를 광신호로부터 EEDF의 형태로 추출해내기 위해 BEB (Binary - Encounter - Bethe) 모델을 근거로 충돌 반응 단면적을 함수로 나타내어 신호를 분석하였다. EEDF의 꼴을
$f(E)=AEexp(-E^b)$ 의 임의의 형태로 두고 아르곤의 427nm, 763nm 두 빛의 세기 비를 BEB 모델을 적용하여 전개한 결과 b factor 의 값을 구할 수 있었다. b factor 가 1인 경우는 Maxwellian, 2인 경우는 압력이 높은 조건에서 잦은 충돌에 의한 에너지 손실 때문에 고에너지 전자군이 현격하게 감소된 Druyvesteyn 분포를 의미하므로 광신호에 모델을 적용하여 얻은 b factor의 변화는 EEDF의 형태 자체의 변화가 감지되었음을 보여준다. 실제로 13.56MHz - 1kW ICP 장치에서 아르곤 플라즈마를 발생시켰을 때, 압력이 낮아 Maxwellian 분포가 예상되는 10mTorr 조건에서는 b=1.13, Druyvesteyn 분포에 가까워지는 100mTorr 조건에서는 b=1.502 로 관측되었다. -
In the nano-scale Si processing, patterning processes based on multilevel resist structures becoming more critical due to continuously decreasing resist thickness and feature size. In particular, highly selective etching of the first dielectric layer with resist patterns are great importance. In this work, process window for the infinitely high etch selectivity of silicon oxynitride (SiON) layers and silicon nitride (Si3N4) with EUV resist was investigated during etching of SiON/EUV resist and Si3N4/EUV resist in a CH2F2/N2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the CH2F2 and N2 flow ratio and low-frequency source power (PLF). It was found that the CH2F2/N2 flow ratio was found to play a critical role in determining the process window for ultra high etch selectivity, due to the differences in change of the degree of polymerization on SiON, Si3N4, and EUV resist. Control of N2 flow ratio gave the possibility of obtaining the ultra high etch selectivity by keeping the steady-state hydrofluorocarbon layer thickness thin on the SiON and Si3N4 surface due to effective formation of HCN etch by-products and, in turn, in continuous SiON and Si3N4 etching, while the hydrofluorocarbon layer is deposited on the EUV resist surface.
-
중성입자빔 입사장치(neutral beam injection, NBI)의 중성빔 에너지 효율은 이온원의 수소 이온밀도 분율이 결정한다. 이온원에서 만들어진
$H^+$ ,$H_2^+$ 그리고${H_3}^+$ 는 중성화 과정(neutralization) 중 해리(dissociation) 때문에 각각 입사 에너지의 1, 1/2 그리고 1/3을 가진 중성입자가 된다. 중성빔 에너지 효율 제고하기 위해서는 이온원의 전체 이온 중 단원자 수소 이온 밀도 증가가 필요하다. 유도결합형 수소 플라즈마 이온원에서 RF 안테나 주파수에 따른 플라즈마 밀도와 단원자 수소 이온 밀도 비율 변화를 관찰하였다. RF 플라즈마에서 가스 압력이 결정하는 전자의 운동량 전달 충돌 주파수 대비 높은 RF 안테나 주파수(13.56 MHz)와 낮은 RF 안테나 주파수(수백 kHz)의 전력을 인가하였으며, Langmuir 탐침, 안테나 V-I 측정기 그리고 QMS(quadrupole mass spectrometer)을 이용하여 플라즈마 특성을 진단하였다. 플라즈마 밀도와 수소 이온 밀도 분율은 플라즈마 가열 메커니즘과 수소 플라즈마 내 반응 메커니즘에 의해 결정된다. 플라즈마 가열 메커니즘에 따른 실험 결과에 대한 RF 안테나 주파수 효과는 플라즈마 트랜스포머 회로 모델을 통해 해석하였으며, 수소 플라즈마 내 반응은 0-D 정상 상태의 입자 및 전력 평형 방정식 결과로 해석하였다. -
KBSI 부산센터에 건설중인 소형의 다중 양전하 중이온 가속기에 사용될 초전도 ECR 이온온은 최대 자기장 3 T, 최소 0.5 T의 자기 Mirror구조를 가지고 있으며 중심 부근의 1 T 자기장에서 28 GHz 전자공명에 의해 마이크로파의 에너지를 플라즈마로 전달한다. 최대 10 kW의 Gyrotron에서 TE02 모드로 발생하는 마이크로파는 모드변환기, 직류차단기 및 진공창을 통하여 플라즈마로 입사된다. 32.5 mm 지름의 원형 도파관에서 자기장 축방향으로 도입된 TE01 모드의 마이크로파를 Altar-Appleton-Hartree 분산관계식을 이용하여 군속도의 관점에서 살펴보았다. 플라즈마 밀도가 Cut-off에 근접하지 않는 한 마이크로파의 바깥 방향으로의 회절은 크지 않았으며, 전자공명 위치로 접근함에 따라 군속도의 방향이 바뀌어 오히려 중심 방향으로 향함을 알 수 있다. 즉 마이크로파가 플라즈마 챔버의 벽을 가열시킬 가능성은 크지 않은 것이다. 또한 뜨거운 전자 플라즈마의 Susceptibility를 이용하여 마이크로파의 플라즈마로의 전자 공명에 의한 흡수를 살펴본 결과, 운전 영역에 속하는 전자밀도가 제공되면 공명 지점에 이르기 전에 충분히 흡수되는 것을 확인하였으며, 이에 따라 챔버를 관통하여 인출부 벽에 충돌하는 마이크로파 에너지는 무시할 수 있을 것으로 보여진다. 이 결과들을 토대로 마이크로파 시스템을 설계 완료하였다.
-
Kstar (Korea Superconducting Tokamak Advanced Research, 국가핵융합연구장치) ICRF(Ion Cyclotron Range of Frequency) 가열장치를 이용한 이온가열을 추가 gas puffing 과 함께 수행할 예정이다. 안테나와 separatrix사이 간격이 좁혀질수록 안테나의 고주파 부하저항은 올라가는 것으로 알려져 있지만, 안테나에서의 sputtering을 통한 불순물 증가의 원인이 될 수 있으며, 이는 전체 플라즈마 에너지의 손실을 초래할 수 있다. 이를 보완하기 위해 고주파 입사시 플라즈마 외곽, 안테나 전면에 추가적인 연료 가스를 공급하게 되면, 안테나 주위의 플라즈마는 냉각되어 sputtering에 의한 불순물 방출을 줄일 수 있고, 안테나 전면의 플라즈마 밀도는 증가될 것이다. 이를 통한 고주파 부하저항의 증가에 의하여 동일한 고주파 최고전압의 한계 내에서 기존보다 더 큰 출력을 플라즈마에 전달할 수 있을 것이다. 본 발표에서는 단순한 외곽 플라즈마 모델에서의 고속파 전파에 따른 고주파 부하저항의 거동을 살펴봄으로써 예상할 수 있는 추가 gas puffing 효과와 출력 증대량을 설명하고, 구체적인 실험 방법을 토론하도록 하겠다.
-
일반적인 플라즈마의 밀도측정에는 랑뮤어(Langmuir)탐침법이 보편적으로 사용되고 있다. 그러나 LCD(Liquid Crystal Display)나 PDP(Plasma Display Panel) 등 미세 방전 플라즈마는 이러한 방법으로는 측정이 너무 어려워서 잘 사용되지 않고 있다. 그래서 이러한 경우 간접측정 방법이 사용되고 있다. 본 연구에서는 알려진 간접 측정방법과는 다른 방식인 spectrum analyzer를 사용하여 측정해 보았다. LCD에서 사용되는 BLU(Back Light Unit)lamp와 PDP panel을 각각 측정하여 기존의 간접측정 방법의 결과와 비교해 보았다.
-
현재 반도체의 공정 중 80% 이상이 플라즈마를 사용하는 공정이며 태양전지나 디스플레이의 공정에서 플라즈마를 이용하는 공정이 점차 증가하고 있다. 따라서 공정의 재현성과 안정성의 향상에 대한 요구도 증가하고 있다. 이러한 요구들을 달성하기 위하여 공정에 직접 이용되고 있는 플라즈마의 특성을 파악하는 것은 필수적인 요소이다. 본 연구에서는 전기장 시물레이션을 사용하여 플라즈마 밀도(Plasma density)를 계산하였다. 그리고 실제 반도체 식각 공정에 사용되고 있는 플라즈마 밀도를 량뮤어 프로브로 측정하여 시물레이션 값과 비교하여 최적의 공정조건을 찾아보았다.
-
이중 주파수를 이용한 고주파 용량성 결합 플라즈마 장치는 반도체 및 디스플레이 생산 공정에서 널리 사용되는 장치 형태이며 일반적으로 이온 플라즈마 주파수보다 높은 주파수의 고주파 전력과 이온 플라즈마 주파수보다 낮은 주파수의 저주파 전력을 인가하여 플라즈마 발생 밀도 및 입사 이온 에너지를 독립적으로 조절할 수 있다. 용량성 결합 플라즈마 장치에서는 전극의 쉬스 임피던스가 비선형적으로 변화함에 따라 전극의 전압, 전류 및 플라즈마 전위는 수많은 고조파를 포함하게 되며, 이중 주파수가 인가된 경우 이러한 고주파와 저주파 신호의 고조파가 상호 변조된 형태로 나타나게 된다. 본 연구에서는 주파수에 따른 이온의 거동 특성 차이를 이용하여 변조된 형태의 Lieberman의 비균일 RF쉬스 모델을 가정한 고주파 쉬스를 단순한 저주파 쉬스로 모사하였다. 단순화된 저주파 쉬스 임피던스를 이용한 회로 모델을 구성하여 100MHz와 2MHz RF전력을 사용하는 용량성 결합 플라즈마 장치에서 측정된 전극 전압, 전류 및 플라즈마 전위 신호의 위상차 및 고조파 발생 특성을 분석하였다.
-
Ionic Transition Metal Complex based (iTMC) Light-emitting electrochemical cells (LEECs) have been drawn attention for cheap and easy-to-fabricate light-emitting device. LEEC is one of the promising candidate for next generation display and solid-state lighting applications which can cover the defects of current commercial OLEDs like complicated fabrication process and strong work-function dependent sturucture. We have investigated the performance characteristics of LEECs based on poly (3, 4-ethylenedioxythiophene):poly (styrene sulfonate) (PEDOT:PSS)-incorporated transition metal complex, which is tris(2, 2'-bipyridyl)ruthenium(II) hexafluorophosphate in this study. There are advantages using conductive polymer-incorporated luminous layer to prevent light disturbance and absorbance while light-emitting process between light-emitting layer and transparent electrode like ITO. The devices were fabricated as sandwiched structure and light-emitting layer was deposited approximately 40nm thickness by spin coating and aluminum electrode was deposited using thermal evaporation process under the vacuum condition (10-3Pa). Current density and light intensity were measured using optical spectrometer, and surface morphology changes of the luminous layer were observed using XRD and AFM varying contents of PEDOT:PSS in the Ruthenium(II) complex solution. To observe enhanced ionic conductivity of PEDOT:PSS and luminous layer, space-charge-limited-currents model was introduced and it showed that the performances and stability of LEECs were improved. Main discussions are the followings. First, relationship between film thickness and performance characteristics of device was considered. Secondly, light-emitting behavior when PEDOT:PSS layer on the ITO, as a buffer, was introduced to iTMC LEECs. Finally, electrical properties including carrier mobility, current density-voltage, light intensity-voltage, response time and turn-on voltages were investigated.
-
터치패널은 키보드나 마우스와 같은 입력장치를 사용하지 않고, 스크린에 손가락, 펜 등을 접촉하여 입력하는 방식이다. 누구나 쉽게 입력할 수 있는 장점으로 인해 기존에는 현금인출기, 키오스크 등 공공분야에 주로 많이 사용되어 왔으나, 최근의 터치스크린은 휴대폰, 게임기, 네비게이션, 노트북 모니터 등 개인정보기기의 입력장치로 활용분야가 넓어져가고 있다. 기존 터치패널은 유리 기판 위에 ITO박막(투명전도막)을 진공코팅하여 사용하여 왔지만, 최근 터치패널은 경량화를 고려하여 PET 필름 기판 위에 ITO 박막을 진공코팅하여 사용하고 있다. PET 필름의 유연성 때문에 ITO 코팅된 필름을 PC 혹은 강화유리 위에 OCA 물질을 이용하여 다시 고정하여야 한다. 이때 터치패널 제작시 생산공정이 늘어나 생산성이 떨어지고, 터치패녈의 광투과율도 떨어지는 2차적인 문제가 발생한다. 이를 해결코자하는 터치페널 업체의 needs가 있고, 최근에 이를 해결하기 위하여 PC, 강화유리, 그리고 COP 기판 위에 ITO 박막을 직접 진공코팅하는 공정개발이 진행되고 있다. ITO 박막은 진공코팅 중에 열을 가하여 결정화를 이루어야 하는데, PC, 강화유리 그리고 COP 기판의 열에 약한 특성을 고려하여, 열을 가하지 않고 ITO 박막을 진공코팅하여야 한다. 이러한 ITO 박막의 진공코팅 공정에는 In-line magnetron sputtering system이 사용된다. 본 연구에서는 In-line magnetron sputtering system을 사용하여 강화유리 기판 위에 터치패널용 고투과율 투명전도성 ITO 박막을 제작하고 그 특성을 조사하였다. ITO 박막의 면저항은 230Ohm/cm2, 최고 광투과율은 90.96%(@541~543nm), 그리고 550nm에서 광투과율은 90.45%로 ITO 박막 코팅 전후에 투과율 차이가 0.4임을 확인하였다. 정전용량방식의 터치패널에서는 ITO 박막 코팅 전후에 투과율 차이가 1 이하의 특성을 필요로 하는데, 이는 ITO 박막 패턴후에 패턴이 보이지 않게 하기 위해서이며, 이러한 시장의 needs를 고려하면 본 연구에서 매우 중요한 연구성과를 얻었다고 말할 수 있다.
-
태양광 발전산업에서 현재 주류인 결정 실리콘 태양전지의 변환효율은 꾸준히 향상되고 있으나, 태양전지의 가격이 매년 서서히 하강되고 있는 실정에서 결정질 실리콘 가격의 상승 등으로 부가가치 창출에 어려움이 있으며, 생산 원가를 낮출 수 있는 태양전지 제조기술로는 2세대 태양전지로 불리는 박막형이 현재의 대안이며, 특히 에너지 변환 효율과 생산 원가에서 장점이 있는 것이 CIGS 박막 태양전지로 판단된다. 화합물반도체 베이스인 CIGS 박막 태양전지는 연구실에서는 세계적으로 20.3% 높은 효율을 보고하고 있으며, 모듈급에서도 13% 효율로 생산이 시작되고 있다. 국내에서도 연구실 규모 뿐만 아니라 대면적(모듈급) CIGS 박막 태양전지 증착용 장비, 제조공정 등의 기술개발이 진행되고 있다. CIGS를 광흡수층으로 하는 CIGS 박막 태양전지의 구조는 여러 층의 단위박막(하부전극, 광흡수층, 버퍼층, 앞면 투명전극, 반사방지막)을 순차적으로 형성시켜 만든다. 이 중에 하부전극은 Mo 재료을 스퍼터링 방법으로 증착하여 주로 사용한다. 하부전극은 0.24 Ohm/cm2 정도의 전기적 특성이 요구되며, 주상조직으로 성장하여야 하며, 기판과의 밀착성이 좋아야하고 또한 레이저 패턴시 기판에서 잘 떨어져야 하는 특성을 동시에 가져야 한다. 그리고 CIGS 박막 내에서 Na 도핑을 어떻게 제어할 것인지도 고려해야한다. 본 연구에서는 대면적(모듈급) CIGS 박막 태양전지에서 요구되는 하부전극 Mo 박막의 특성과 기술적 이슈들에 대해서 연구결과들을 논하고자 한다.
-
3-5족 화합물 반도체를 이용한 집광형 삼중 접합 태양전지는 40% 이상의 광변환 효율로 많은 주목을 받고 있다[1]. 삼중 접합 태양전지의 하부 셀은 기계적 강도가 높고 장파장을 흡수할 수 있는 Ge이 사용된다. Ge위에 성장될 III-V족 단결정막으로서 Ge과 격자상수가 일치하는 GaInP나 GaAs가 적합하고, 성장 중 V족 원소의 열확산으로 인해 Ge과 pn접합을 형성하게 된다. 이때 GaInP의 P의 경우 GaAs의 As보다 확산계수가 낮아 태양전지 변환효율향상에 유리한 얇은 접합 형성이 가능하고, 표면 에칭효과가 적기 때문에 GaInP를 단결정막으로 선택하여 p-type Ge기판 위 성장으로 단일접합 Ge구조 제작이 가능하다. 하지만 이종접합 구조 성장으로 인해 발생한 계면사이의 전위나 미세결함들이 결정막내부에 존재하게 되며 이러한 결함들은 광학소자 응용 시 비발광 센터로 작용할 뿐 아니라 소자의 누설전류를 증가시키는 원인으로 작용하여 태양전지 변환효율을 감소시키게 된다. 이에 결함감소를 통해 소자의 전기적 특성을 향상시키고자 수소 열처리나 플라즈마 공정을 통해 수소 원자를 박막내부로 확산시키고, 계면이나 박막 내 결함들과 결합시킴으로서 결함들의 비활성화를 유도하는 연구가 많이 진행되어 왔다 [2][3]. 하지만, 격자불일치를 갖는 GaInP/Ge 구조에 대한 수소 열처리 및 불순물 준위의 거동에 대한 연구는 많이 진행되어 있지 않다. 따라서 본 연구에서는 Ga0.45In0.55P/Ge구조에 수소 열처리 공정을 적용을 통하여 단결정막 내부 및 계면에서의 결함밀도를 제어하고 이를 통해 태양 전지의 변환효율을 향상시키고자 한다. <111> 방향으로
$6^{\circ}C$ 기울어진 p-type Ge(100) 기판 위에 유기금속화학증착법 (MOCVD)을 통해 Si이 도핑된 200 nm의 n-type GaInP층을 성장하여 Ge과 단일접합 n-p 구조를 제작하였다. 제작된 GaInP/Ge구조를 furnace에서 250도에서 90~150분간 시간변화를 주어 수소열처리 공정을 진행하였다. 저온 photoluminescence를 통해 GaInP층의 광학적 특성 변화를 관찰한 결과, 1.872 eV에서 free-exciton peak과 1.761 eV에서 Si 도펀트 saturation에 의해 발생된 D-A (Donor to Acceptor)천이로 판단되는 peak을 검출할 수 있었다. 수소 열처리 시간이 증가함에 따라 free-exciton peak 세기 증가와 반가폭 감소를 확인하였고, D-A peak이 사라지는 것을 관찰할 수 있었다. 이러한 결과는 수소 열처리에 따른 단결정막 내부의 수소원자들이 얕은 불순물(shallow impurity) 들로 작용하는 도펀트들이나, 깊은 준위결함(deep level defect)으로 작용하는 계면근처의 전위, 미세결함들과의 결합으로 결함 비활성화를 야기해 발광세기와 결정질 향상효과를 보인 것으로 판단된다. 본 발표에서는 상술한 결과를 바탕으로 한 수소 열처리를 통한 박막 및 계면에서의 결함준위의 거동에 대한 광분석 결과가 논의될 것이다. -
In this paper, we report that the effects of hydrogen doping on the electrical and optical properties of typical transparent conducting oxide films such as ZnO and
$SnO_2$ prepared by magnetron sputtering. Recently, density functional theory (DFT) calculations have shown strong evidence that hydrogen acts as a source of n-type conductivity in ZnO. In this work, the beneficial effect of hydrogen incorporation on Ga-doped ZnO thin films was demonstrated. It was found that hydrogen doping results a noticeable improvement of the conductivity mainly due to the increases in carrier concentration. Extent of the improvement was found to be quite dependent on the deposition temperature. A low resistivity of$4.0{\times}10^{-4}\;{\Omega}{\cdot}cm$ was obtained for the film grown at$160^{\circ}C$ with$H_2$ 10% in sputtering gas. However, the beneficial effect of hydrogen doping was not observed for the films deposited at$270^{\circ}C$ . Variations of the electrical transport properties upon vacuum annealing showed that the difference is attributed to the thermal stability of interstitial hydrogen atoms in the films. Theoretical calculations also suggested that hydrogen forms a shallow-donor state in$SnO_2$ , even though no experimental determination has yet been performed. We prepared undoped$SnO_2$ thin films by RF magnetron sputtering under various hydrogen contents in sputtering ambient and then exposed them to H-plasma. Our results clearly showed that the hydrogen incorporation in$SnO_2$ leads to the increase in carrier concentration. Our experimental observation supports the fact that hydrogen acting as a shallow donor seems to be a general feature of the TCOs. -
진공이나 특정 가스 분위기 또는 플라즈마를 이용하여 박막을 제조하는 방법은 공정 조건에 따라 그 특성이 현저히 달라지며 대부분의 경우 제조된 박막에 대한 성분 및 조직의 분석과 박막이 구현하는 특성을 파악한 후 공정 조건을 최적화하게 되는 번거로움이 있다. 특히, 박막 제조 시스템에 따라 제조되는 박막이 특성이 달라지거나 원하는 공정조건에서 원하는 특성의 박막을 얻지 못하는 경우가 종종 발생하고 있다. 한편, 최근의 박막 제조 기술은 결정립 미세화 및 나노화, 다층화, 다성분계 박막 등을 통해 다기능을 구현하는 연구가 활발히 진행되고 있다, 이러한 다기능성 박막을 제조하기 위해서는 박막의 조직제어 기술과 함께 특성을 예측하고 제어하는 기술이 필요하게 된다. 본 연구에서는 상기의 문제점을 근본적으로 해결하고 다기능성 박막의 특성을 예측하고 제어하기 위한 코팅 수식모델을 개발하고 이를 응용하는데 필요한 시스템 구성에 대한 연구를 진행하였다. 코팅 수식 모델은 정해진 물질계의 각 공정별 특성 데이터를 이용하여 내삽 또는 외삽을 통해 수식화하였으며 이를 바탕으로 특성을 예측하는 프로그램을 개발하였고, 시스템에 따른 차이를 줄이기 위해 플라즈마 진단장치를 이용하여 시스템을 동기화시키는 작업을 진행하였다. 이러한 수식 모델을 바탕으로 TiN 피막의 특성예측 및 제어에 대한 기초연구를 소개한다.
-
Ferroxyl Test는 박막의 치밀도를 측정하는 대표적인 방법이다. 본 연구에서는 Ferroxyl Test를 이용하여 박막의 치밀도를 정량적으로 측정할 수 있는 방법을 제안한다. 알루미늄(aluminum; Al)은 뛰어난 내부식성 때문에 모재의 부식을 막을 수 있는 보호막으로 널리 사용되고 있다. Al 박막의 치밀도를 측정하기 위해서 스퍼터링(sputtering)으로 철(Fe) 기판위에 Al 타겟(99.99%)을 이용하여 박막을 코팅하였다. Ferroxyl Test 용액은 순수(deionized water)에 Potassium Ferrocyanide와 황산(또는 염화나타륨과 염화암모늄)을 첨가하여 제작하며, 용액에 거름종이를 적셔 Al이 코팅된 철 시편위에 올려놓고 반응시킨다. 일반적인 Ferroxyl test는 거름종이에 나타난 파란색(prussian blue) 반점의 숫자와 면적으로 치밀도를 측정한다. 하지만 이러한 방법은 측정 오차가 발생할 수 있다. 본 연구에서는 시편에 나타난 반응 반점의 면적을 광학 현미경이나 전자 현미경으로 이미지화하고, 이미지 프로세싱 프로그램을 이용하여 반응 면적을 수치화함으로써 측정오차를 줄이고 정확도를 높이고자 한다. 이러한 측정 방법을 이용하여 알루미늄 박막의 치밀도를 측정한 결과, 최고의 치밀도를 갖는 Al 박막이 Bulk 밀도의 94% 이상으로 측정되었다.
-
GaN와 SiC 반도체는 band gap이 넓고 주파수 특성이 우수하여 미국, 유럽, 일본등 선진국에서는 기존의 GaAs나 InP에 이어 차세대 화합물 반도체 플랫폼(next generation compound semiconductor platform)으로서 연구개발 테마로 각광을 받고 있다. 미국의 경우 잘 알려진 국가 대형프로젝트인 WBGS-RF program (2003~2010, 7년)의 종료와 함께 새로운 NEXT program(2009~2014, 5년)을 지난 해 시작하였으며, 유럽은 KORRIGAN program (2005~2009, 5년)에서 연구개발된 기술에 대하여 후속인 MANGA program (2010~2014, 3.5년)을 통하여 GaN 반도체의 양산체제 구축을 위한 대형 연방 프로젝트를 시작하였다. 따라서 본 논문발표에서는 지난 10년 동안 그리고 향후 5년간 2014년까지 미국 국방성과 유럽연방 국방성에서 지원하고 있는 대형 국가 프로젝트인 GaN 전자소자 연구개발 프로그램과 연구개발 동향 분석을 통하여 대한민국이 나아가야 할 차세대 화합물 반도체 플랫폼인 GaN 전자소자의 연구개발 방향을 제시하고자 한다.
-
The origin of the inhomogeneous distribution of photoluminescence (PL) peak wavelength on a commercial 2" GaN wafer for green light emitting diode has been investigated by wide momentum transfer (Q) range x-ray diffraction (XRD) profile of InGaN/GaN multiple quantum wells. Near the GaN (0004) Bragg peak, wide-Q range XRD (
${\Delta}Q$ >$1.4{\AA}-1$ ) was measured along the growth direction. Wide-Q XRD gives precise and direct information of ultra-thin InGaN quantum well structure. Based on the QW structural information, the variation of PL spectra can be explained by the combined effect of temperature gradient and slightly uneven flow of atomic sources during the QW growth. In narrow variations of indium composition and thickness of QW, an effective indium composition can be a good character to match structural data to PL spectra. -
질화물 기반의 LED의 경우 c-plane 사파이어에 GaN을 성장 하는 방법이 많이 연구되어 왔다. 그러나 c-plane 위에 성장시킨 LED는 분극현상이 발생하여 양자효율의 저하와 발광파장의 적색 편이를 야기한다[1]. 이런 문제를 해결하기 위하여 a-plane (11-20), m-plane (10-10) 등의 비극성면을 갖는 GaN을 성장을 통해 분극문제를 해결하고자 하는 방법이 제안되었다 [2] 하지만, 현재 비극성 면을 갖는 고품질의 박막을 성장시키기 어렵다는 단점이 존재한다. 고품질의 박막을 성장시키는 방법으로는 ELO와 다중 버퍼층의 사용처럼 여러가지 방법이 연구되고 있다. 하지만 사파이어의 tilt와 열처리에 따른 표면 개질에 대한 연구는 많이 진행되어지고 있지 않다. 본 연구에서는 사파이어에 열처리를 통하여 표면의 특성 변화를 관찰하고 이를 이용하여 고품위 GaN 박막의 품질을 높이려 하였다. r-plane 사파이어에 유기금속화학증착법(MOCVD)을 이용하여 a-plane GaN을 성장하였다. 이 공정에서 사용한 pre-treatment는off-axis angle을 가진 기판의 표면 열처리이다A-plane GaN 성장전에 m-direction으로 off-axis angle을 가진 r-plane 사파이어 기판을 1300도로 가열한 후 각각의 다른 시간에서 열처리를 진행하였다. Off-axis angle을 가진 사파이어는 표면에 step구조를 가지고 있으며, 이 step의 width를 비롯한 표면의 morphology는 off-axis angle에 따라 달라진다. Off-axis angle을 변화시킨 사파이어를 1300도에서 1시간, 3시간, 5시간 annealing하여 표면을 AFM을 사용하여 관측한 결과 step width가 증가하고 step의 형태가 뚜렷해지며 rms-roughness가 변화한 것을 관찰할 수 있었다. 그리고 이 각각의 기판을 동일한 조건으로 a-plane GaN을 성장하여 박막의 특성을 측정하였다. 본 발표에서는 사파이어 기판의 표면 처리에 따른 비극성 GaN의 특성 변화에 대한 분석 결과를 논의할 것이다.
-
극성 [0001] 방향으로 성장 된 질화물 기반의 LEDs (light emitting diodes) 는 분극현상에 의해 발생하는 강한 내부 전기장의 영향을 받게 된다. 이러한 내부 전기장은 양자우물 내의 전자와 정공의 공간적 분리를 야기하고 quantum confined Stark effect (QCSE) 에 의한 발광 파장의 적색 편이가 발생하며 양자효율의 저하를 가져오게 된다. 이러한 문제를 해결하기 위해 양자 우물구조를 GaN 의 m-plane (100) 이나 a-plane (110) 등 비극성면 위에 성장하려는 시도를 하고 있다. 그러나 비극성면의 비등방성 (anisotropy) 으로 인하여 결정성이 높은 비극성 GaN을 성장하는 데에는 많은 어려움이 있다. 비극성 a-plane GaN 의 결정성과 표면 거칠기의 향상을 위해 경사각을 가지는 r-plane 사파이어를 기판으로 이용하는 연구들이 많이 진행되어 있다 [1-4]. 그러나 r-plane 사파이어 기판의 경사각과 표면의 pit 형성에 관한 상관관계의 체계적인 연구는 상대적으로 많이 진행되지 않았다. 본 연구에서는 경사각을 가진 r-plane 사파이어 기판에 유기금속화학증착법을 (MOCVD) 이용하여 a-plane GaN 을 성장하였으며, 성장 시 기판의 경사각이 a-plane GaN의 성장 거동 및 표면형상에 미치는 영향을 분석하였다. 본 실험에서는r-plane에서 m-axis방향으로 0도에서 -0.65도의 경사각을 가지는 r-plane 사파이어 기판을 이용하였다. a-plane GaN 성장에는 고온 GaN 핵 형성층을 (nucleation layer) 이용하는 2단계 성장 법이 사용되었다 [5]. -0.37도 보다 크기가 큰 경사각을 가진 r-plane 사파이어에 성장된 a-plane GaN의 표면에는 수
${\mu}m$ 크기의 삼각형 형태의 pit이 형성되었다. 사파이어의 경사각이 -0.37도에서 -0.65도로 증가하였을 경우에, GaN의 m방향 X-ray 록킹커브 반치폭은1763 arcsec에서 1515 arcsec로 감소하였으나 표면에 삼각형 pit의 밀도는 103 cm-2 이하에서$2{\times}106$ cm-2으로 증가하였다. 이러한 r -plane 사파이어 기판의 경사각의 차이로 표면에 pit이 발생과 결정성변화의 원인을 확인하기 위해서, 여러가지 다른 경사각을 가진 사파이어 기판의 표면에 성장된 핵 형성층의 표면 양상을 확인하였다. 발표에서는 경사각의 차이에 따른 기판 표면에서의 원자 step 구조와 GaN 의 핵 형성 간의 상관관계에 대하여 구체적으로 논의할 것이다. -
Lee, Kwang-Jae;Kim, Hyun-June;Park, Dong-Woo;Jo, Byoung-Gu;Oh, Hye-Min;Hwang, Jeong-Woo;Kim, Jin-Soo;Lee, Jin-Hong;Leem, Jae-Young 153
Recently, to develop GaN-based light-emitting diodes (LEDs) with better performances, various approaches have been suggested by many research groups. In particular, using the patterned sapphire substrate technique has shown the improvement in both internal quantum efficiency and light extraction properties of GaN-based LEDs. In this paper, we discuss the influences of the direction of the hexagonal-structure arrays of lens-shaped patterns (HSAPs) formed on sapphire substrates on the crystal, optical, and electrical properties of InGaN/GaN multi-quantum-well (MQW) LEDs. The basic direction of the HSAPs is normal (HSAPN) with respect to the primary flat zone of a c-plane sapphire substrate. Another HSAP tilted by 30o (HSAP30) from the HSAPN structure was used to investigate the effects of the pattern direction. The full width at half maximums (FWHMs) of the double-crystal x-ray diffraction (DCXRD) spectrum for the (0002) and (1-102) planes of the HSAPN are 320.4 and 381.6 arcsecs., respectively, which are relatively narrower compared to those of the HSP30. The photoluminescence intensity for the HSAPN structure was ~1.2 times stronger than that for the HSAP30. From the electroluminescence (EL) measurements, the intensity for both structures are almost similar. In addition, the effects of the area of the individual lens pattern consisting of the hexagonal-structure arrays are discussed using the concept of the planar area fraction (PAF) defined as the following equation; PAF = [1-(patterns area/total unit areas)] For the relatively small PAF region up to 0.494, the influences of the HSAP direction on the LED characteristics were significant. However, the direction effects of the HSAP became small with increasing the PAF. -
최근 Stranski-Krastanov (SK) 성장법을 이용한 자발형성 (Self-assembled) InAs/GaAs 양자점 (Quantum Dot) 연구가 기초 물리학뿐만 아니라 응용에 있어 활발하게 진행되고 있다. 그러나 기존 보고에 따르면 SK 성장법을 통한 InAs/GaAs 양자점은 크기, 균일도, 및 밀도 등의 성장거동 제어에 한계가 있다. 예로, 성장속도 및 증착양이 감소하더라도 상대적으로 크기가 큰 InAs/GaAs 클러스터 (Cluster)를 형성하여 크기분포의 불균일 및 결함을 야기하여 결과적으로 전기/광학적 특성을 저해하는 요인이 된다. 이를 개선하기 위한 방안으로 SK 성장법을 변형한 다양한 수정자발형성법이 제안되어 연구되고 있다. 본 논문에서는 기존 SK 성장법과 Arsenic-interruption Technique(AIT), In Pre-deposition (IPD)법을 각각 접목한 수정자발형성법을 이용하여 상대적으로 크기가 큰 InAs/GaAs 양자점 또는 클러스터 형성을 감소시켜 공간적 크기 균일도 및 밀도를 제어한 결과를 보고한다. 성장된 InAs/GaAs 양자점 시료의 구조 및 광학적 특성을 원자력간현미경 (Artomic Force Microscopy, AFM)과 Photoluminescence (PL) 분광법을 이용하여 분석하였다. 기존 SK 성장법을 이용하여 형성한 기준시료의 AFM 이미지에서 InAs/GaAs 양자점과 클러스터의 공간밀도는 각각 6.4*1010/cm2와 1.4*109/cm2로 관찰되었다. 그러나, AIT를 이용한 양자점 시료의 경우 상대적으로 크기가 큰 InAs/GaAs 클러스터는 관찰되어지지 않았고, 양자점 밀도는 8.4*1010/cm2로 SK 양자점에 비하여 30% 정도 개선되었다. 또한, InAs/GaAs 클러스터를 제외한 공간 균일도는 SK-InAs/GaAs 양자점의 15.6%에 비하여 8%로 크게 개선된 결과를 얻었다. AIT 성장법을 이용한 InAs/GaAs 양자점에서 원자의 이동거리 (Migration Length)의 제어로 양자점의 형성특성이 개선된 것으로 설명할 수 있으며, Arsenic 차단 시간이 임계점 이상으로 길어지면 다시 InAs/GaAs 클러스터들이 형성되는 것을 관찰할 수 있었다. InAs/GaAs 양자점과 클러스터 형성 특성이 초기 표면 조건에 어떻게 영향을 받는지 분석하기 위해, InAs 양자점 성장 이전에 V족 물질 공급 없이 Indium의 공급시간을 1초(IPDT1S 시료), 2초 (IPDT2S 시료), 3초 (IPDT1S 시료)로 변화시키면서 증착하고 기존 SK 성장법으로 양자점을 성장하였다 (IPD성장법). 그 결과 IDP1S 양자점 시료의 공간밀도가 10*1010/cm2로 SK InAs/GaAs 양자점 시료에 비해 약 60% 정도 증가하였고, 클러스터도 관찰 할 수 없었다. 그러나 IPD 시간이 증가할수록 다시 InAs/GaAs 클러스터들이 형성되는 것을 관찰할 수 있었다. 이러한 결과는 InAs/GaAs 양자점 성장초기에 InAs 핵생성 사이트 (Nucleation site)의 크기 및 상태를 제어하는 것이 양자점의 밀도 및 균일도를 제어하는 중요한 요소임을 알 수 있다.
-
최근 휴대용전자기기의 급격한 수요증가로 인하여 고성능 저전력 비휘발성메모리에 대한 관심이 크게 증가되고 있다. 다양한 비휘발성 메모리중에 상변화메모리는 고집적성과 저전력등의 장점을 가져 현재 가장 유망한 차세대 비휘발성 메모리로 각광받고 있고 일부 상용화가 진행되고 있다. 현재 상변화 메모리의 주된 연구 방향은 sub-40nm 크기에서 물리적, 전기적, 열적 scaling down에 대한 내용이며 주로 새로운 상변화 물질을 개발하여 이러한 문제점을 극복하려고 연구가 진행되고 있다. 하지만 이러한 상변화 물질의 나노급 특성은 물리적, 전기적, 열적 특성이 복합적으로 나타나고 나노급 소자 제작이 어렵기때문에 많은 연구가 진행되지 못했다. 본 연구에서는 나노임프린트 리소그래피 기술과 c-AFM 기술을 통하여 다양한 나노급 상변화 물질의 물리적, 전기적, 열적 특성에 대해 연구를 진행하였다.
-
Strain-induced enhancement of thermal stability of Ag metallization with Ni/Ag multi-layer structureVertical-structure light-emitting diodes (V-LEDs) by laser lift-off (LLO) have been exploited for high-efficiency GaN-based LEDs of solid-state lightings. In V-LEDs, emitted light from active regions is reflected-up from reflective ohmic contacts on p-GaN. Therefore, silver (Ag) is very suitable for reflective contacts due to its high reflectance (>95%) and surface plasmon coupling to visible light emissions. In addition, low contact resistivity has been obtained from Ag-based ohmic contacts annealed in oxygen ambient. However, annealing in oxygen ambient causes Ag to be oxidized and/or agglomerated, leading to degradation in both electrical and optical properties. Therefore, preventing Ag from oxidation and/or agglomeration is a key aspect for high-performance V-LEDs. In this work, we demonstrate the enhanced thermal stability of Ag-based Ohmic contact to p-GaN by reducing the thermal compressive stress. The thermal compressive stress due to the large difference in CTE between GaN (
$5.6{\times}10^{-6}/^{\circ}C$ ) and Ag ($18.9{\times}10^{-6}/^{\circ}C$ ) accelerate the diffusion of Ag atoms, leading to Ag agglomeration. Therefore, by increasing the additional residual tensile stress in Ag film, the thermal compressive stress could be reduced, resulting in the enhancement of Ag agglomeration resistance. We employ the thin Ni layer in Ag film to form Ni/Ag mutli-layer structure, because the lattice constant of NiO ($4.176\;{\AA}$ is larger than that of Ag ($4.086\;{\AA}$ ). High-resolution symmetric and asymmetric X-ray diffraction was used to measure the in-plane strain of Ag films. Due to the expansion of lattice constant by oxidation of Ni into NiO layer, Ag layer in Ni/Ag multi-layer structure was tensilely strained after annealing. Based on experimental results, it could be concluded that the reduction of thermal compressive stress by additional tensile stress in Ag film plays a critical role to enhance the thermal stability of Ag-based Ohmic contact to p-GaN. -
최근 전자산업의 발전은 형상 면에서 경박 단소화로 급속하게 진행되고 있으며, 전자소자 내부에서의 배선재료로 사용되고 있는 알루미늄(Al) 박막의 두께 역시 얇아지고 있다. 극박막 범위에서 박막의 두께 증가에 따라 전기가 잘 흐르기 시작하는 박막의 최소두께로 정의 되는 유착두께를 실시간으로 측정하는 방법을 구현하고 임의의 금속박막과 기판의 조합에 있어서 각각의 재료에 대한 유착두께를 제공함으로써 향후 미세전자소자의 제작 시 배선 재료의 선택에 대한 기초자료를 축적할 수 있다. 또한 박막의 미세구조 변화 관점에서 연구함으로써 여러 가지 금속박막에 대한 유착두께를 줄일 수 있는 방법을 도출할 수 있다. 본 연구에서는 유리 기판 위에 사진 식각 공정으로 패턴을 형성하고 패턴이 형성된 유리 기판은 스퍼터에 연결된 4 point probe에 구리 도선으로 연결한 후 DC 마그네트론 스퍼터법으로 Al, Cr, ITO, Sn을 증착하면서 실시간으로 시간에 따른 면저항을 측정하며 이 때 스퍼터 내부 진공도는
$4.6{\times}10^{-5}$ 까지 낮춰준 후 각각의 금속에 맞는 진공도를 설정하였다. 20.0 sccm의 Ar가스를 넣고 100 W파워로 플라즈마를 형성시켜 금속을 증착하면서 4-point probe를 이용하여 실시간으로 면저항을 측정했다. 1초 단위로 면저항을 측정한 결과 평균적으로 Al은 71초, Cr은 151초, ITO는 61초, Sn은 20초에 저항이 급격히 감소함을 알 수 있었다. 또한 저항이 급격히 감소한 시점의 박막 두께를 알기 위해Surface profiler로 박막두께를 측정한 결과 1초당 Al은$4\;{\AA}$ , Cr은$1.7\;{\AA}$ , ITO는$2.7\;{\AA}$ , Sn은$6.7\;{\AA}$ 이었다. 실험적으로 R은 면저항, T는 증착 시간이라 할 때 Y축을$R{\times}T^3$ 으로 하고 X축을 T로 설정하고 그래프로 나타내면 Y축 값이 최소값을 갖는 시점이 유착두께임을 확인하였다. 본 연구는 실시간 면저항 측정을 통한 금속박막의 전기전도 특성과 미세구조에 대한 기초자료를 제공함으로써 신기술 발전에 공헌할 것이다. -
펄스 직류 전원
$BCl_3$ /He 플라즈마를 사용하여 GaAs의 건식 식각을 연구하였다. 공정 변수는 가스 유량 (0~100%$BCl_3$ in$BCl_3$ /He), 펄스 파워 ($450{\sim}600\;{\nu}$ ), 펄스 주파수 (100~250 KHz), 펄스 시간 ($0.4{\sim}1.2\;{\mu}s$ )이었다. 식각 공정 후 식각률, 포토레지스트에 대한 식각 선택도, 표면 거칠기는 표면 단차 측정기를 이용하였다. 식각 공정 동안 플라즈마 광 특성 분석은 광학 발광분석기 (Optical emission spectroscopy)를 사용하였다. 실험 후 주사 전자 현미경을 이용, 식각 후 시료의 단면과 표면을 관찰하였다. 실험 결과에 의하면 1) 펄스 파워, 주파수, 시간을 고정 ($500\;{\nu}$ ,$0.7\;{\mu}s$ , 200 KHz)하고 10% He 가스가 혼합되어 있는 조건에서 GaAs의 식각률이 순수한$BCl_3$ 를 사용한 것보다 높았다. 이를 통해 식각 공정에서 일정량 이하의 He 혼합은 GaAs 식각률을 증가시키는 시너지효과가 있음을 알 수 있었다. 2) 그러나 약 20% 이상의 He 가스의 혼합은 GaAs의 식각 속도를 저하시켰다. 3) 10% He (9 sccm$BCl_3/1$ sccm He), 200 KHz 펄스 주파수,$0.7\;{\mu}s$ 펄스 시간의 조건에서 펄스 파워가 증가함에 따라 GaAs의 식각률 또한 선형적으로 증가하였다. 4) 특히,$600\;{\nu}$ 의 파워에서 식각률은${\sim}0.5\;{\mu}m/min$ 로 가장 높았다. 5) 표면 단차 측정기와 전자현미경을 이용하여 식각한 GaAs를 분석한 결과 10% He이 혼합되어 있는 조건에서는 우수한 수직 측벽과 매끈한 표면 (RMS roughness <1 nm)을 관찰할 수 있었다. 6) 10% He이 혼합된$BCl_3$ /He 펄스 직류 플라즈마 식각 후 XPS 분석결과에서도 기준 샘플과 비교하였을 때, 공정 후의 GaAs 표면이 화학적으로 깨끗하며 잔류물이 거의 검출되지 않았다. 위의 결과를 정리하였을 때, 펄스 직류$BCl_3$ /He 플라즈마는 GaAs의 식각에서 매우 우수한 공정 결과를 나타내었다. -
Organic electronic devices require a passivation layer to ensure sufficient lifetime. Specifically, flexible organic electronic devices need a barrier layer that transmits less than
$10^{-6}\;g/m^2/day$ of water and$10^{-5}\;g/m^2/day$ of oxygen. To increase the lifetime of organic electronic device, therefore, it is indispensable to protect the organic materials from water and oxygen. Severe groups have reported on multi-layerd barriers consisting inorganic thin films deposited by plasma enhenced chemical deposition (PECVD) or sputtering. However, it is difficult to control the formation of granular-type morphology and microscopic pinholes in PECVD and sputtering. On the contrary, atomic layer deoposition (ALD) is free of pinhole, highly uniform, conformal films and show good step coverage. In this study, the passivation layer was deposited using single-process PEALD. The passivation layer, in our case, was a bilayer system consisting of$Al_2O_3$ films and a$TiO_2$ buffer layer on a poly (ether sulfon) (PES) substrate. Because the deposition temperature and plasma power have a significant effect on the properties of the passivation layer, the characteristics of the$Al_2O_3$ films were investigated in terms of density under different deposition temperatures and plasma powers. The effect of the$TiO_2$ buffer layer also was also addressed. In addition, the water vapor transmission rate (WVTR) and organic light-emitting diode (OLEDs) lifetime were measured after forming a bilayer composed of$Al_2O_3/TiO_2$ on a PES substrate. -
n-type 반도체 특성을 띄는
$SnO_2$ 나노선은 가스 센서, 투명 소자, 태양광 전지 등으로 널리 사용되고 있다. 본 연구에서는 화학기상증착법으로 성장한$SnO_2$ 나노선으로 폴리이미드 (PMDA-ODA: PI) 박막을 게이트 절연막으로 이용한 전계효과트랜지스터를 플렉서블 기판에 제작하고 전기적 특성을 분석하였다. 전자 전달 특성 곡선으로부터 n-형의 반도체 특성을 확인하였으며, 대부분의 산화금속 나노선에서와 같이 매우 큰 전기적 히스테리시스가 관찰되었다. 산화금속계통 나노선 소자의 히스테리시스는 나노선 표면에 산소 및 물 분자가 흡착되어 생기는 전자 갇힘 현상이 가장 큰 원인으로 알려져 있는데, 이러한 히스테리시스를 조절하거나 없애는 것은 소자의 특성 향상에 있어 매우 중요하다. 한편 PI 절연막에는 느린 분극 현상을 만드는 OH 반응기가 존재하기 때문에 나노선과는 반대 방향의 히스테리시스를 보일 것으로 예상된다. 본 연구에서는 제작된$SnO_2$ 나노선 FET에서 PI 게이트 절연막의 경화 정도에 따른 히스테리시스를 조사하였다. FT-IR 측정에 따르면, PI 필름에 존재하는 OH 반응기는 PI를 경화시킴에 따라 감소하였으며 전기적인 히스테리시스도 감소하였다. 따라서, 절연막을 경화시키지 않았을 때는 PI 내부에 다량의 OH 반응기가 존재하여, PI의 히스테리시스가 나노선 히스테리시스보다 더 크게 작용하여, 전체적으로는 PI의 특성인 반시계 (counterclockwise) 방향의 히스테리시스를 나타내었다. 한편, 절연막을 완전히 경화시키면, OH 반응기는 대부분 사라지고 나노선의 히스테리시스만 발현되어 소자는 시계방향의 히스테리시스를 보였다. 이러한 실험결과를 통해, PI 박막을$250^{\circ}C$ 에서 약 7분간 경화시켰을 때 나노선과 절연막의 히스테리시스가 가장 이상적으로 상쇄되어 전체적으로 히스테리시스가 매우 작아진 것을 관찰할 수 있었다. 이는 향후 나노선 FET의 안정적인 응용에 매우 유용한 결과로 활용될 것으로 예측된다. -
Lee, Jae-Yel;Park, Se-Hun;Kim, Jung-Sub;Yang, Chang-Jae;Kim, Su-Jin;Seok, Chul-Kyun;Park, Jin-Sub;Yoon, Eui-Joon 162
InSb has received great attentions as a promising candidate for the active layer of infrared photodetectors due to the well matched band gap for the detection of$3{\sim}5\;{\mu}m$ infrared (IR) wavelength and high electron mobility (106 cm2/Vs at 77 K). In the fabrication of InSb photodetectors, passivation step to suppress dark currents is the key process and intensive studies were conducted to deposit the high quality passivation layers on InSb. Silicon dioxide (SiO2), silicon nitride (Si3N4) and anodic oxide have been investigated as passivation layers and SiO2 is generally used in recent InSb detector fabrication technology due to its better interface properties than other candidates. However, even in SiO2, indium oxide and antimony oxide formation at SiO2/InSb interface has been a critical problem and these oxides prevent the further improvement of interface properties. Also, the mechanisms for the formation of interface phases are still not fully understood. In this study, we report the quantitative analysis of indium and antimony oxide formation at SiO2/InSb interface during plasma enhanced chemical vapor deposition at various growth temperatures and subsequent heat treatments. 30 nm-thick SiO2 layers were deposited on InSb at 120, 160, 200, 240 and$300^{\circ}C$ , and analyzed by X-ray photoelectron spectroscopy (XPS). With increasing deposition temperature, contents of indium and antimony oxides were also increased due to the enhanced diffusion. In addition, the sample deposited at$120^{\circ}C$ was annealed at$300^{\circ}C$ for 10 and 30 min and the contents of interfacial oxides were analyzed. Compared to as-grown samples, annealed sample showed lower contents of antimony oxide. This result implies that reduction process of antimony oxide to elemental antimony occurred at the interface more actively than as-grown samples. -
중적외선 영역 (
$3{\sim}5\;{\mu}m$ )은 공기 중에 존재하는 이산화탄소나 수증기에 의해 흡수가 일어나지 않기 때문에 군사적으로 중요한 파장 영역이며, 야간에 적을 탐지하는데 응용되고 있다. InSb는 77 K에서 중적외선 파장 흡수에 적합한 밴드갭 에너지 (0.228 eV)를 갖고 있으며, 다른 화합물 반도체와 달리 전하 수송자 이동도 (전자:$10^6\;cm^2/Vs$ , 정공:$10^4\;cm^2/Vs$ )가 매우 빠르기 때문에 적외선 화상 감지기 재료로 매우 적합하다. 또한 현재 중적외선 영역대에서 널리 사용되는 HgCdTe (MCT)와 대등한 소자 성능을 나타냄과 동시에 낮은 기판 가격, 소자의 제작 용이성 때문에 MCT를 대체할 물질로 주목 받고 있다. 하지만, 기판과 절연막의 계면에 존재하는 결함 때문에 에너지 밴드갭 내에 에너지 준위를 형성하여 높은 누설 전류 특성을 보인다. 따라서 InSb 적외선 소자의 구현을 위하여 고품질의 절연막의 연구가 필수적이라고 할 수 있겠다. 절연막의 특성을 알아보기 위해, n형 InSb 기판에 플라즈마 화학 기상 증착법 (PECVD)을 이용하여$SiO_2$ ,$Si_3N_4$ 를 증착하였으며, 증착 온도를$120^{\circ}C$ 에서$240^{\circ}C$ 까지$40^{\circ}C$ 간격으로 변화하여 증착온도가 미치는 영향에 대하여 알아보았다. 절연막과 기판의 계면 특성을 분석하기 위하여 77 K에서 커패시턴스-전압 (C-V) 분석을 하였으며, 계면 트랩 밀도는 Terman method를 이용하여 계산하였다 [1].$Si_3N_4$ 를 증착하였을 경우,$120{\sim}240^{\circ}C$ 의 증착 온도에서$2.4{\sim}4.9{\times}10^{12}\;cm^{-2}eV^{-1}$ 의 계면 트랩 밀도를 가졌으며, 증착 온도가 증가할수록 계면 트랩 밀도가 증가하는 경향을 보였다. 또한 모든 증착 온도에서 flat band voltage가 음의 전압으로 이동하였다.$SiO_2$ 의 경우$120{\sim}200^{\circ}C$ 의 증착온도에서$7.1{\sim}7.3{\times}10^{11}\;cm^{-2}eV^{-1}$ 의 계면 트랩 밀도 값을 보였으나,$240^{\circ}C$ 이상에서 계면 트랩밀도가$12{\times}10^{11}\;cm^{-2}eV^{-1}$ 로 크게 증가하였다.$SiO_2$ 절연막을 사용함으로써,$Si_3N_4$ 대비 약 25% 정도 낮은 계면 트랩 밀도를 얻을 수 있었으며, 모든 증착 온도에서 양의 전압으로 flat band voltage가 이동하였다. 두 절연막에 대한 계면 트랩의 원인을 분석하기 위하여 XPS 측정을 진행하였으며, 깊이에 따른 조성 분석을 하였다. 본 실험에서 최적화된$SiO_2$ 절연막을 이용하여 InSb 소자의 pn 접합 연구를 진행하였다. Be+ 이온 주입을 진행하고, 급속열처리(RTA) 공정을 통하여 p층을 형성하였다. -0.1 V에서 16 nA의 누설 전류 값을 보였으며,$2.6{\times}10^3\;{\Omega}\;cm^2$ 의 RoA (zero bias resistance area)를 얻을 수 있었다. -
The impurity doped ZnO has been extensively studied because of its optoelectric properties. GIZO (Ga-In-Zn-O) amorphous oxide semiconductors has been widely used as transparent flexible semiconductor material. Recently, various amorphous transparent semiconductors such as IZO (In-Zn-O), GIZO, and HIZO (Hf-In-Zn-O) were developed. In this work, we examined the local structures of IZO, GIZO, and HIZO. The local coordination structure was investigated by the extended X-ray absorption fine structure. The IZO, GIZO and HIZO thin films ware deposited on the glass substrate with thickness of 400nm by the radio frequency sputtering method. The targets were prepared by the mixture of
$In_2O_3$ , ZnO and$HfO_2$ powders. The percent ratio of In:Zn in IZO, Ga:In:Zn in GIZO and Hf:In:Zn in HIZO was 45:55, 33:33:33 and 10:35:55, respectively. In this work, we found that IZO, GIZO and HIZO are all amorphous and have a similar local structure. Also, we obtained the bond distances of$d_{Ga-O}=1.85\;{\AA}$ ,$d_{Zn-O}=1.98\;{\AA}$ ,$d_{Hf-O}=2.08\;{\AA}$ ,$d_{In-O}=2.13\;{\AA}$ . -
GaInZnO는 투명 비정질 산화물 반도체로서 태양전지, 평판 액정 디스플레이, 잡음방지 코팅, 터치 디스플레이 패널, 히터, 광학 코팅 등 여러 응용에 쓰인다. 이 논문에서는 투명전자소자로 관심을 모으고 있는 GaInZnO의 전자적 그리고 전기적 특성을 측정하였다. GaInZnO 박막은
$SiO_2$ (100)/Si 기판위에 RF 마그네트론 스퍼터링 증착법으로$Ga_2O_3:In_2O_3:ZnO$ 의 조성이 2:2:1로 된 타겟을 가지고 박막을 성장시켰다. 성장한 후에 RTP를 이용하여 30분간 열처리 하였다. GaInZnO의 전자적 특성을 나타내는 띠틈 및 실리콘 기판과의 원자가 띠 오프셋 값을 측정하였으며, 이 값들을 통해 GaInZnO박막과 실리콘 기판과의 띠 정렬도 수행하였다. 띠틈은 반사 전자 에너지 손실 분광법(REELS)을 이용하여 측정하였고, 원자가 띠 오프셋은 광전자 분광법(XPS)을 이용하여 측정하였다. 열처리 온도가$400^{\circ}C$ 까지는 띠틈의 변화 및 XPS 결합에너지의 변화가 없는 것으로 보아 열적안정성이 우수함을 알 수 있다. 반면$450^{\circ}C$ 에서의 띠틈이 감소하는 것으로 보아$450^{\circ}C$ 에서는 열적안정성이 깨지는 것을 알 수 있다. GaInZnO 박막을 채널 층으로 하고 전극은 알루미늄(Al)으로 된 TFT를 제작하여 전기적 특성을 조사하였다. TFT 특성 결과 이동도가 약, subthreshold swing(S.S)이 약 1.5 V/decade, 점멸비가 약$10^7$ 으로 측정되었다. 유리 위에 증착시킨 GaInZnO 박막의 투과율을 측정해본 결과 모든 시료가 가시광선 영역에서 80%이상의 투과율을 갖는 것으로 보아 투명전극소자로 응용이 가능하다는 것을 알 수 있었다. -
Recently, TFTs based on amorphous oxide semiconductors (AOSs) such as ZnO, InZnO, ZnSnO, GaZnO, TiOx, InGaZnO(IGZO), SnGaZnO, etc. have been attracting a grate deal of attention as potential alternatives to existing TFT technology to meet emerging technological demands where Si-based or organic electronics cannot provide a solution. Since, in 2003, Masuda et al. and Nomura et al. have reported on transparent TFTs using ZnO and IGZO as active layers, respectively, much efforts have been devoted to develop oxide TFTs using aforementioned amorphous oxide semiconductors as their active layers. In this thesis, I report on the performance of thin-film transistors using amorphous indium gallium zinc oxides for an active channel layer at room temperature.
$SiO_2$ was employed as the gate dielectric oxide. The amorphous indium gallium zinc oxides were deposited by RF magnetron sputtering. The carrier concentration of amorphous indium gallium zinc oxide was controlled by oxygen pressure in the sputtering ambient. Devices are realized that display a threshold voltage of 1.5V and an on/off ration of >$10^9$ operated as an n-type enhancement mode with saturation mobility with$9.06\;cm^2/V{\cdot}s$ . The devices show optical transmittance above 80% in the visible range. In conclusion, the fabrication and characterization of thin-film transistors using amorphous indium gallium zinc oxides for an active channel layer were reported. The operation of the devices was an n-type enhancement mode with good saturation characteristics. -
Indium tin oxide (ITO) has been widely used as transparent conductive oxides (TCOs) for transparent electrodes of various optoelectronic devices, such as liquid crystal displays (LCD) and organic light emitting diodes (OLED). However, indium has become increasingly expensive and rare because of its limited resources. In addition, ITO thin films have some problems for OLED and flexible displays, such as imperfect work function, chemical instability, and high deposition temperature. Therefore, multi-component TCO materials have been reported as anode materials. Among the various materials, IZTO thin films have been gained much attention as anode materials due to their high work function, good conductivity, high transparency and low deposition temperature. IZTO thin films with a thickness of 200nm were deposited on Corning glass substrate at different substrate temperature by pulsed DC magnetron sputtering with a sintered ceramic target of IZTO (In2O3 70 wt%, ZnO 15 wt%, SnO2 15 wt%). We investigated the electrical, optical, structural properties of IZTO thin films. As the substrate temperature is increased, the electrical properties of IZTO are improved. All IZTO thin films have good optical properties, which showed an average of transmittance over 80%. These IZTO thin films were used to fabricate organic light emitting diodes (OLEDs) as anode and the device performances studied. As a result, IZTO has utility value of TCO electrode although it reduced indium and we expect it is possible for the IZTO to apply to flexible display due to the low processing temperature.
-
Transparent conduction oxides (TCOs) films is extensively reported for optoelectronic devices application such as touch panels, solar cells, liquid crystal displays (LCDs), and organic light emitting diodes(OLEDs). Among the many TCO film, indium tin oxide(ITO) is in great demand due to the growth of flat panel display industry. However, indium is not only high cost but also its deposits dwindling. Therefore, many studies are being done on the transparent conductive oxides(TCOs). We fabricated a target of IZTO(In2O3:ZnO:SnO2=70:15:15 wt.%) reduced indium. Then, IZTO thin films were deposited on glass substrates by pulsed DC magnetron sputtering with various oxygen flow ratio. The substrate temperature was fixed at the room temperature. We investigated the electrical, optical, structural properties of IZTO thin films. The electrical properties of IZTO thin films were dependent on the oxygen partial pressure. As a result, the most excellent properties of IZTO thin films were obtained at the 3% of oxygen flow rate with the low resistivity of
$7.236{\times}10^{-4}{\Omega}cm$ . And also the optical properties of IZTO thin films were shown the good transmittance over 80%. These IZTO thin films were used to fabricated organic light emitting diodes(OLEDs) as anode and the device performances studied. The OLED with an IZTO anode deposited at optimized deposition condition showed good brightness properties. Therefore, IZTO has utility value of TCO electrode although it reduced indium and we expect it is possible for the IZTO to apply to flexible display due to the low processing temperature. -
As display industry requires various applications for future display technology, which can guarantees high level of flexibility and transparency on display panel, oxide semiconductor materials are regarded as one of the best candidates.
$InGaZnO_4$ (IGZO) has gathered much attention as a post-transition metal oxide used in active layer in thin-film transistor. Due to its high mobility fabricated at low temperature fabrication process, which is proper for application to display backplanes and use in flexible and/or transparent electronics. Electrical performance of amorphous oxide semiconductors depends on the resistance of the interface between source/drain metal contact and active layer. It is also affected by sheet resistance on IGZO thin film. Controlling contact/sheet resistance has been a hot issue for improving electrical properties of AOS(Amorphous oxide semiconductor). To overcome this problem, post-annealing has been introduced. In other words, through post-annealing process, saturation mobility, on/off ratio, drain current of the device all increase. In this research, we studied on the relation between device's resistance and post-annealing temperature. So far as many post-annealing effects have been reported, this research especially analyzed the change of electrical properties by increasing post-annealing temperature. We fabricated 6 main samples. After a-IGZO deposition, Samples were post-annealed in 5 different temperatures; as-deposited,$100^{\circ}C$ ,$200^{\circ}C$ ,$300^{\circ}C$ ,$400^{\circ}C$ and$500^{\circ}C$ . Metal deposition was done on these samples by using Mo through E-beam evaporation. For analysis, three analysis methods were used; IV-characteristics by probe station, surface roughness by AFM, metal oxidation by FE-SEM. Experimental results say that contact resistance increased because of the metal oxidation on metal contact and rough surface of a-IGZO layer. we can suggest some of the possible solutions to overcome resistance effect for the improvement of TFT electrical performances. -
Thin-film transistors (TFT) have become the key components of electronic and optoelectronic devices. Most conventional thin-film field-effect transistors in display applications use an amorphous or polycrystal Si:H layer as the channel. This silicon layers are opaque in the visible range and severely restrict the amount of light detected by the observer due to its bandgap energy smaller than the visible light. Therefore, Si:H TFT devices reduce the efficiency of light transmittance and brightness. One method to increase the efficiency is to use the transparent oxides for the channel, electrode, and gate insulator. The development of transparent oxides for the components of thin-film field-effect transistors and the room-temperature fabrication with low voltage operations of the devices can offer the flexibility in designing the devices and contribute to the progress of next generation display technologies based on transparent displays and flexible displays. In this thesis, I report on the dc performance of transparent thin-film transistors using amorphous indium tin zinc oxides for an active layer.
$SiO_2$ was employed as the gate dielectric oxide. The amorphous indium tin zinc oxides were deposited by RF magnetron sputtering. The carrier concentration of amorphous indium tin zinc oxides was controlled by oxygen pressure in the sputtering ambient. Devices are realized that display a threshold voltage of 4.17V and an on/off ration of${\sim}10^9$ operated as an n-type enhancement mode with saturation mobility with$15.8\;cm^2/Vs$ . In conclusion, the fabrication and characterization of thin-film transistors using amorphous indium tin zinc oxides for an active layer were reported. The devices were fabricated at room temperature by RF magnetron sputtering. The operation of the devices was an n-type enhancement mode with good saturation characteristics. -
In this work, we investigated the effect of the oxidation temperature on the unipolar and bipolar resistance switching behaviors of the oxidized TiO-x films. TiOx films on Pt electrodes were fabricated by the oxidation of Ti films at
$550^{\circ}C$ for 1 to 3 hours. The unipolar and bipolar resistance switching properties were investigated with the oxidation temperature and time. Also, the crystal structure and the physical properties such as chemical bonding states of TiOx layers were characterized in addition to the resistance switching characteristics. The resistance switching behaviors of TiOx films oxidized at above$450^{\circ}C$ and below$650^{\circ}C$ was shown. So, we investigated that the resistance switching behaviors of TiOx films oxidized at$550^{\circ}C$ with the oxidation time from 1 to 3 hour. The memory windows of unipolar switching in the oxidized TiOx films were reduced with increasing the oxidation time, but those of the bipolar switching were slightly enlarged. The enlargement of rutile TiO2 peak with increasing the oxidation time and temperature was studied by X-ray diffraction. An increase of non-lattice oxygen and Ti3+ in the TiOx films with the oxidation times was investigated by X-ray photoemission spectroscopy. It was expected that the uipolar and bipolar resistive switching of the oxidized TiOx film was strongly related with the migration of non-lattice oxygen anions and schottky barrier height, respectively. -
$MgB_2$ doped with$TiO_2$ was prepared by the in-situ solid state reaction to study the effects of$TiO_2$ dopant on the flux pinning behavior of$MgB_2$ superconductor. From the field-cooled and the zero-field-cooled temperature dependences of magnetization, the realms of vortex-glass and vortex-liquid states of$TiO_2$ -doped$MgB_2$ were determined in the H-T diagram (the temperature dependence of upper critical magnetic field and irreversibility line). The critical current density was estimated from the width of hysteresis loops in the framework of Beam's model at different temperatures. The results indicate that nano-scale$TiO_2$ inclusions play a role of the effective pinning centers and lead to the enhanced upper critical field and critical current density. It is suggested that the grain-boundary pinning mechanism is realized in$TiO_2$ -doped$MgB_2$ superconductor. -
Yoon, Jae-Jin;Ghong, Tae-Ho;Jung, Yong-Woo;Kim, Young-Dong;Seong, Tae-Geun;Kang, Lee-Seung;Nahm, Sahn 173
$Bi_4Ti_3O_{12}$ ($B_4T_3$ ) is a unique ferroelectric material that has a relatively high dielectric constant, high Curie temperature, high breakdown strength, and large spontaneous polarization. As a result this material has been widely studied for many applications, including nonvolatile ferroelectric random memories, microelectronic mechanical systems, and nonlinear-optical devices. Several reports have appeared on the use of Mn dopants to improve the electrical properties of$B_4T_3$ thin films. Mn ions have frequently been used for this purpose in thin films and multilayer capacitors in situations where intrinsic oxygen vacancies are the major defects. However, no systematic study of the optical properties of$B_4T_3$ films has appeared to date. Here, we report optical data for these films, determined by spectroscopic ellipsometry (SE). We also report the effects of thermal annealing and Mn doping on the optical properties. The SE data were analyzed using a multilayer model that is consistent with the original sample structure, specifically surface roughness/$B_4T_3$ film/Pt/Ti/$SiO_2$ /c-Si). The data are well described by the Tauc-Lorentz dispersion function, which can therefore be used to model the optical properties of these materials. Parameters for reconstructing the dielectric functions of these films are also reported. The SE data show that thermal annealing crystallizes$B_4T_3$ films, as confirmed by the appearance of$B_4T_3$ peaks in X-ray diffraction patterns. The bandgap of$B_4T_3$ red-shifts with increasing Mn concentration. We interpret this as evidence of the existence deep levels generated by the Mn transition-metal d states. These results will be useful in a number of contexts, including more detailed studies of the optical properties of these materials for engineering high-speed devices. -
본 연구에서는 IBS(Ion Beam Sputter) 증착방법으로 Cr2O3, Ta2O5 타겟을 이용하여 single layer 산화물 박막을 제작하였다. IBS 박막 증착 시 발생하는 전하의 영향을 상쇄시키기 위하여 neutralizer를 사용하였다. 증착 시 기판을 si, quartz, 그리고 sapphire로 변화시켜 각 기판위에 증착한 산화물 박막에 대한 특성평가를 하였으며, 증착 전 기판 cleaning방법에 따른 변화도 같이 관찰하였다. 증착된 박막의 두께, 거칠기, 밀도 등을 평가하기 위해 XRR(X-ray Reflectometer)을 이용하여 살펴보았다. 기판, 박막두께, cleaning 등의 조건을 변화시켜 여러 종류의 박막을 만들었다. Sapphire 기판에 증착한 박막은 XRR 그래프의 변화가 생겼는데 cleaning과 곡률반경에 의한 영향임을 확인하였다. 다른 종류의 기판에서도 같은 현상이 있을 것으로 예상되고, 이런 영향은 IBS로 증착되는 산화물박막을 분석하는 데에 많은 도움이 될 것으로 기대된다.
-
Transparent conducting oxide (TCO) films are widely used as transparent conducting thin film material for application in various fields such as solar cells, optoelectronic devices, heat mirrors and gas sensors, etc. Recently the increased utilization of many transparent electrodes has accelerated the development of inexpensive TCO materials. Indium tin oxide (ITO) film is well-known for TCO materials because of its low resistivity, but there is disadvantage that it is too expensive. ZnO film is cheaper than ITO but it shows thermally poor stability. On the contrary, antimony-doped tin oxide films (ATO) are more stable than TCO films such as Al-doped zinc oxide (AZO) and ITO. Moreover, SnO2 film shows the best thermal and chemical stability, low cost and mechanical durability except the poor conductivity. However, annealing is proved to improve the conductivity of ATO film. Therefore, in this work, antimony (6 wt%) doped tin oxide films to improve the conductivity were deposited on 7059 corning glass by RF magnetron sputtering method for the application to transparent electrodes. In general, of all TCO films, glass is the most commonly selected substrate. However, for future development in flexible devices, glass is limited by its intrinsic inflexibility. In this study, we report the growth and properties of antimony doped tin oxide (ATO) films deposited on PES flexible substrate by using RF magnetron sputtering. The optimization process was performed varying the sputtering parameters, such as RF power and working pressure, and parameter effect on the structural, electrical and optical properties of the ATO films were investigated.
-
One of the critical issues for applications of flexible organic thin film transistors (OTFTs) for flexible electronic systems is the electrical stabilities of the OTFT devices, including variation of the current on/off ratio (Ion/Ioff), leakage current, threshold voltage, and hysteresis under repetitive mechanical deformation. In particular, repetitive mechanical deformation accelerates the degradation of device performance at the ambient environment. In this work, electrical stability of the pentacene organic thin film transistors (OTFTs) employing multi-stack hybrid encapsulation layers was investigated under mechanical cyclic bending. Flexible bottom-gated pentacene-based OTFTs fabricated on flexible polyimide substrate with poly-4-vinyl phenol (PVP) dielectric as a gate dielectric were encapsulated by the plasma-deposited organic layer and atomic-layer-deposited inorganic layer. For cyclic bending experiment of flexible OTFTs, the devices were cyclically bent up to 105 times with 5mm bending radius. In the most of the devices after 105 times of bending cycles, the off-current of the OTFT with no encapsulation layers was quickly increased due to increases in the conductivity of the pentacene caused by doping effects from
$O_2$ and$H_2O$ in the atmosphere, which leads to decrease in the Ion/Ioff and increase in the hysteresis. With encapsulation layers, however, the electrical stabilities of the OTFTs were improved significantly. In particular, the OTFTs with multi-stack hybrid encapsulation layer showed the best electrical stabilities up to the bending cycles of$10^5$ times compared to the devices with single organic encapsulation layer. Changes in electrical properties of cyclically bent OTFTs with encapsulation layers will be discussed in detail. -
티타늄 화합물은 뛰어난 물리적 특성과 인체 무해성을 가지고 있어 생체, 내식 및 내마모 재료 등에 널리 응용되고 있으며, 다양한 색상 구현을 통한 미려한 외관 등 기능성을 위한 표면처리 분야에도 많은 관심을 받고 있다. 이중 질화 티타늄은 금색을 대체할 수 있는 물질로 코팅방법과 기판온도, 바이어스, 질소유량 등과 같은 공정변수 제어를 통해 그 물성을 변화시킬 수 있어 기능적 측면과 함께 미려한 외관처리에 응용이 가능하다. 본 연구에서는 아크(cathodic arc) 코팅 시스템을 이용하여 다양한 조건에서 TiN 박막을 제조하고 그 특성을 평가하였다. 아크 장비는 화합물 박막을 코팅할 수 있는 아크 소스와 시편 홀더, 가스 주입구, 시편 가열장치 그리고 배기 장치로 구성되어 있고, 아크 소스에 장착된 타겟은 99.5%의 Ti 타겟을 사용하였다. 시편과 타겟 간의 거리는 약 31cm이며, 시편은 알코올과 아세톤으로 초음파 세척 된 강판(냉연 강판), 실리콘 웨이퍼를 사용하였다. 시편을 진공용기에 장착하고
${\sim}10^{-6}$ Torr까지 진공배기를 실시하고, Ar 가스를 진공용기 내로 공급하여${\sim}10^{-4}$ Torr에서 시편에 bias (Pulse : 400V)를 인가한 후 아크를 발생시켜 약 5분간 청정을 실시하였다. 플라즈마 청정이 끝나면 시편에 인가된 bias를 차단하고, 질소 유량, 온도, bias, 시간 등의 공정변수에 따라 코팅을 실시하였다. 질소의 유량이 80sccm 일 때, Ti 금속 결정구조가 나타났는데, 이는 질소와 충분하게 반응하지 못한 Ti이 기판에 코팅되어 나타나는 현상으로 판단된다. 색상변화에서는 질소 유량이 증가함에 따라 노란색이 짙어지며, TiN은 시편에 인가되는 bias 전압이 높아질수록 붉은색이 증가하고, 온도에 따른 큰 변화는 관찰되지 않았다. 공정변수에 따른 반사율 변화는 TiN의 경우 질소 가스 유량이 200sccm, bias 150V, 공정 온도 200도에서 반사율이 가장 높았으며, 코팅 시간이 짧을수록 반사율이 높아지는 경향을 나타냈다. 따라서 본 연구에서 얻어진 결과를 외관 코팅 분야에 응용한다면 장식성과 외관의 경도, 내마모성, 내식성의 향상 등 많은 장점을 가질 것으로 예상된다. -
With the scaling down of ULSI(Ultra Large Scale Integration) circuit of CMOS(Complementary Metal Oxide Semiconductor)based electronic devices, the electronic devices become more faster and smaller size that are promising field of semiconductor market. However, very narrow line width has some disadvantages. For example, because of narrow line width, deposition of conformal and thin barrier is difficult. Besides, proportion of barrier width is large, thus resistance is high. Conventional PVD(Physical Vapor Deposition) thin films are not able to gain a good quality and conformal layer. Hence, in order to get over these side effects, deposition of thin layer used of ALD(Atomic Layer Deposition) is important factor. Furthermore, it is essential that copper atomic diffusion into dielectric layer such as silicon oxide and hafnium oxide. If copper line is not surrounded by diffusion barrier, it cause the leakage current and devices degradation. There are some possible methods for improving the these secondary effects. In this study, TaNx, is used of Tertiarybutylimido tris (ethylamethlamino) tantalum (TBITEMAT), was deposited on the 24nm sized trench silicon oxide/silicon bi-layer substrate with good step coverage and high quality film using plasma enhanced atomic layer deposition (PEALD). And then copper was deposited on TaNx barrier using same deposition method. The thickness of TaNx was 4~5 nm. TaNx film was deposited the condition of under
$300^{\circ}C$ and copper deposition temperature was under$120^{\circ}C$ , and feeding time of TaNx and copper were 5 seconds and 5 seconds, relatively. Purge time of TaNx and copper films were 10 seconds and 6 seconds, relatively. XRD, TEM, AFM, I-V measurement(for testing leakage current and stability) were used to analyze this work. With this work, thin barrier layer(4~5nm) with deposited PEALD has good step coverage and good thermal stability. So the barrier properties of PEALD TaNx film are desirable for copper interconnection. -
다양한 분야에서 확산 방지막은 소자의 신뢰성 향상에 중요한 역할을 하고 있다. 최근 반도체에 적용되기 시작한 구리 배선 형성 공정에서도 실리콘이나 실리콘 산화막으로 구리가 확산하는 것을 방지하는 기술이 중요한 부분을 차지하고 있다. 기존 physical vapor deposition (PVD)법을 이용한
$TaN_x$ 확산 방지막 형성 기술이 성공적으로 적용되고 있으나 반도체의 최소선폭이 지속적으로 감소함에 따라 한계에 다다르고 있다. 20 nm 급과 그 이하의 구리 배선을 위해서는 5 nm 이하의 매우 얇고 높은 피복 단차율을 가진 확산 방지막 형성 기술이 요구된다. 또한, 요구 두께의 감소에 따라 더 우수한 확산 방지 특성이 요구된다. Atomic layer deposition (ALD)은 박막의 정교한 두께 조절이 가능하며 높은 종횡비를 가지는 구조에서도 균일한 박막 형성이 가능하다. 이번 연구에서는 다른 질소 함량을 가진$TaN_x$ 박막을 Tertiarybutylimido tris (ethylamethlamino) tantalum (TBITEMAT) 전구체와$H_2+N_2$ 반응성 플라즈마를 사용하여 plasma enhanced atomic layer deposition (PEALD) 법으로 형성하였다. 박막 내질소 함량에 따라$TaN_x$ 의 상 (phase)과 미세구조 변화가 관찰되었고, 이러한 물성의 변화는 확산 방지 특성에 영향을 주었다. TEM (Transmission electron microscopy)과 SEM (scanning electron microscope), XPS (x-ray photoelectron spectroscopy)를 통해$TaN_x$ 의 물성을 분석하였고, 300 도에서 700 도까지 열처리 후 XRD (x-ray deffraction)와 I-V test를 통해 확산 방지막의 열적 안정성이 평가되었다. PEALD를 통해 24 nm 크기의 trench 기판 위에 약 4 nm의$TaN_x$ 확산 방지막이 매우 균일하게 형성할 수 있었으며 향후 구리 배선에 효과적으로 적용될 것으로 예상된다. -
The design and implementation of high rate deposition process and anti-scratch property of silicon oxide film by PECVD with UHF power were investigated according to the effect of UHF input power with HF bias. New regime of high rate deposition of SiOx films by hybrid plasma process was investigated. The dissociation of OMCTS (C8H24Si4O4) precursor was controlled by plasma processes. SiOx films were deposited on polyethylene terephthalate (PET) and polycarbonate substrate by plasma enhanced chemical vapor deposition (PECVD) using OMCTS with oxygen carrier gas. As the input energy increased, the deposition rate of SiOx film increased. The plasma diagnostics were performed by optical emission spectrometry. The deposition rate was characterized by alpha-step. The mechanical properties of the coatings were examined by nano-indenter and pencil hardness, respectively. The deposition rate of the SiOx films could be controlled by the appropriate intensity of excited neutrals, ionized atoms and UHF input power with HF bias at room temperature, as well as the dissociation of OMCTS.
-
현대 반도체 금속배선 연구에서는 기존에 쓰이던 Al (Aluminium) 금속배선 대신에 Cu (Copper) 금속배선 연구가 진행되고 있다. Cu는 Al 보다 비저항이 낮고, 녹는점도 Al보다 높다는 장점이 있지만 저온에서 기판인 Si (Silicon) 과 반응하고 접착력이 우수하지 못 하다는 단점이 있다. 이런 문제를 해결하기 위하여 확산방지막을 기판과 금속배선 사이에 삽입하는 방법이 제시 되었다. 확산방지막으로는 기존에 쓰이던 Ti (Titanium) 계열의 확산방지막과 W (Tungsten) 계열의 확산방지막이 있다. 이번 연구에서는 W 계열의 확산방지막에 불순물 C (Carbon) 과 N (Nitrogen) 을 첨가한 W-C-N 확산방지막 시편을 제조하였고, N2의 비율을 변화시키며
$600^{\circ}C$ ,$800^{\circ}C$ 열처리를 하였다. 본 실험의 결과로, 확산방지막의$N_2$ 농도가 0, 0.5, 2 sccm으로 증가할수록 고온에서도 Elastic modulus 와 Hardness 값이 시편의 여러 영역에서 비교적 안정적으로 유지된다는 결과를 얻었다. 이 결과로부터 W-C-N 박막의 질소 농도에 따라 고온에서도 비교적 안정적으로 유지된다는 결과를 얻었다. 본 연구에서 시편은 RF magnetron sputtering 방법으로 제작하였고 Elastic modulus와 Hardness의 측정은 Hysitron사의 Triboindenter를 이용하였다. Indenting에 사용된 압입팁은 Berkovich tip을 사용하였다. -
나노 소재의 물성을 측정하기 위하여 대부분의 연구 구룹에서는 크게 두 가지 분석 기법인 분광학을 이용한 분석과 나노트라이볼로지를 이용한 분석을 사용하고 있다. 분광학을 이용한 분석에는 NMR, IR, Raman, SEM, TEM 등이 대표적이라 할 수 있고, 나노트라이볼로지를 이용한 분석에는 AFM, EFM, KFM, Nano-indenter 등의 탐침을 이용한 측정 기법이 대표적이다. Nano-indenter는 물질의 탄성 및 경도를 측정 할 수 있으며 이를 통해 물질의 특성을 연구하는데에 사용된다. 그러나 이런 Nano-indenter의 압입 실험에서는 그 결과값이 압입 조건 등의 통제변수의 함수가 될 것이다. 이를 확인하고 변화값의 parameter를 추출하기 위하여 본 실험에서는 이런 압입 조건 중 Load - Hold - Unload force의 속도 및 시간을 변화시켜 물질의 탄성계수와 경도가 어떻게 변하는지에 대한 역학관계를 연구하였다.
-
최근 고밀도 플라즈마(High Density Plasma, HDP)를 이용하여 STI (shallow trench Isolation) 공정에 사용하기 위한 높은 종횡비를 가지는 갭을 공극 없이 절연물질로 채우는 HDP CVD 법이 개발되어 사용되고 있으며, HDP 공정에서는 그 증착 과정 중에 스퍼터링(Sputtering)에 의한 식각이 동시에 발생하기 때문에 높은 종횡비를 가지는 갭을 공극 없이 채우는 것이 가능하게 되었다. 이러한 특성을 이용하여 HDP CVD 공정은 주로 STI 와 알루미늄 배선간의 갭을 실리콘 산화막 (
$SiO_2$ )의 절연막으로 채우는 데 주로 사용되고 있다. 이 논문에서는 새로 개발된 HDP CVD 법을 적용하여 300 mm Si 웨이퍼에$SiO_2$ 절연막을 증착하여 웨이퍼의 중심과 가장자리의 deposition uniformity를 nano-indenter system을 이용하여 연구하였으며, 그 결과 300 mm 웨이퍼에서 균일한 탄성계수 값이 측정되었다. 또한 HDP CVD로 제작된 SiO2 박막의 탄성계수 값이 99 - 107 GPa로 측정되어 기존 PECVD-$SiO_2$ 박막보다 약 10 - 20% 향상된 것을 확인하였다. -
반도체 집적도의 비약적인 발전으로 각 박막 층간의 두께는 더욱 줄어들었고 이는 각 박막 층간의 확산에 대한 문제를 간과할 수 없게 하였다. 따라서 각 층간의 확산을 방지하기 위하여 두께가 수십 nm size의 확산방지막의 연구에 대한 관심도는 증가하게 되었다. 본 연구에서 분석을 위하여 사용된 Nano-indentation은 박막 표면에 다이아몬드 팁을 이용하여 압입을 실시하여 이때 시표의 반응에 의한 팁의 위치(Z-축)를 in-situ로 측정하여 인가력과 팁의 위치에 대한 연속 압입곡선을 측정하게 된다. 이를 통하여 박막의 hardness와 elastic modulus를 측정하게 되고, 연속 압입곡선 분석을 통하여 박막의 표면응력 변화를 측정한다. 이 논문에서는 반도체의 기판으로 사용되는 Si기판과 금속배선 물질인 Cu와의 확산을 효과적으로 방지하기 위한 W-C-N 확산 방지막을 제시하였고, 시료 증착을 위하여 RF-magnetron sputter를 사용하여 동일한 증착조건에서 질소(N)의 비율을 다르게 하여 박막 내 질소비율에 따른 확산방지막을 제작하였다. 이후 시료의 열적 안정성 측정을 위하여 상온,
$600^{\circ}C$ ,$800^{\circ}C$ 로 각각 질소 분위기에서 30분간 열처리 과정을 실시하여 열적 손상을 인가하였다. 고온에서 확산방지막의 물리적 특성을 알아보기 위해 Nano-indentation을 이용하여 분석하였고, WET-SPM을 이용하여 표면 이미지와 거칠기를 확인하였다. 그 결과 질화물질이 내화물질에 비해 고온에서 물성변화가 적게 나타나는 것을 알 수 있었고, 균일도와 결정성 또한 질화물질에서 더 안정적이었다. -
Programmable Metallization Cell (PMC) Random Access Memory is based on the electrochemical growth and removal of electrical nanoscale pathways in thin films of solid electrolytes. In this study, we investigated the nature of thin films formed by the photo doping of copper ions into chalcogenide materials for use in programmable metallization cell devices. These devices rely on metal ions transport in the film so produced to create electrically programmable resistance states. The results imply that a Cu-rich phase separates owing to the reaction of Cu with free atoms from chalcogenide materials.
-
소자의 축소화에 따라 floating gate 형의 flash 메모리 소자는 얇은 게이트 절연막 등의 이유로, 이웃 셀 간의 커플링 및 게이트 누설 전류와 같은 문제점을 지니고 있다. 이러한 문제점을 극복하기 위해 charge trap flash 메모리 (CTF) 소자가 연구되고 있지만, CTF 메모리 소자는 쓰기/지우기 속도와 데이터 보존 성능간의 trade-off 관계와 같은 문제점을 지니고 있다. 최근, 이를 극복하기 위한 방안으로, 다른 유전율을 갖는 유전체들을 적층시킨 터널 절연막을 이용한 Tunnel Barrier Engineered (TBE) 기술이 주목 받고 있다. 따라서, 본 논문에서는 TBE 기술을 적용한 MIS-capacitor를 높은 유전율을 가지는 Al2O3와 HfO2를 이용하여 제작하였다. 이를 위해 먼저 Si 기판 위에 Al2O3 /HfO2 /Al2O3 (AHA)를 Atomic Layer Deposition (ALD) 방법으로 약 2/1/3 nm의 두께를 가지도록 증착 하였고, Aluminum을 150 nm 증착 하여 게이트 전극으로 이용하였다. Capacitance-Voltage와 Current-Voltage 특성을 측정, 분석함으로써, AHA 구조를 가지는 터널 절연막의 전기적인 특성을 확인 하였다. 또한, high-k 물질을 이용한 터널 절연막을 급속 열처리 공정 (Rapid Thermal Annealing-RTA) 과 H2/N2분위기에서 후속열처리 공정 (Post-RTA)을 통하여 전기적인 특성을 개선 시켰다. 적층된 터널 절연막은 열처리를 통해 터널링 전류의 민감도의 향상과 함께 누설전류가 감소됨으로서 우수한 전기적인 특성이 나타남을 확인하였으며, 적층된 터널 절연막 구조와 적절한 열처리를 이용하여 빠른 쓰기/지우기 속도와 전기적인 특성이 향상된 비휘발성 메모리 소자를 기대할 수 있다.
-
In this study, we investigated the effect of electrodes on resistance switching of TaOx film. Pt, Ni, TiN, Ti and Al metal electrodes having the different oxidation degree were deposited on TaOx/Pt stack. Unipolar resistance switching behavior in Pt or Ni/TaOx/Pt MIM stacks was investigated, but bipolar resistance switching behavior in TiN, Ti or Al /TaOx/Pt MIM stacks was shown. We investigated that the voltage dependence of capacitance was decreased with higher oxidation degree of metal electrodes. Through the C-V results, we expected that linearity (
$\alpha$ ) and quadratic ($\beta$ ) coefficient was reduced with an increase of interface layer between top electrode and Tantalum oxide. Transmission Electron Microscope (TEM) images depicted the thickness of interface layer formed with different oxidation degree of top electrode. Unipolar resistance switching behavior shown in lower oxidation degree of top electrode was expected to be generated by the formation of the conducting path in TaOx film. But redox reaction in interface between top electrode and Tantalum oxide may play an important role on bipolar resistance switching behavior exhibited in higher oxidation degree of top electrode. We expected that the resistance switching characteristics were determined by oxidation degree of metal electrodes. -
동일한 에너지와 일정한 dose량을 유지하고 dose rate만을 변화시켜가며 이온을 Si(100) 표면에 주입하였다. 이러한 조건하에서 이온의 dose rate가 커지게 되면 시료 내에서 relaxation되는 시간이 짧아져서 damage의 양이 증가하게 되고 depth profile의 꼬리부분이 표면 쪽으로 올라오게 된다. 이와 같은 damage profile의 변화가 sheet resistance에 영향을 준다는 실험결과가 있다. 본 연구에서는 Crystal-TRIM computer simulation을 통해서 depth profile과 damage profile의 결과를 얻고, dose rate가 커질수록 시료표면 근방에 잔류 damage의 양이 높게 나타나는 것을 확인할 수 있다. 또한, 잔류 damage의 표면근방에서의 분포가 annealing 이후 sheet resistance를 변화시키는데 이에 대한 mechanism을 규명하고자 한다.
-
최근 연구와 생산에 가속이 붙기 시작한 AMOLED는 모두 LTPS TFT를 사용하고 있다. LTPS TFT는 높은 전자 이동도를 가지고 있기 때문에 현재 각광 받는 AMOLED에 잘 맞는다. 하지만 LTPS TFT는 균일성이 낮고 고비용이라는 문제점이 있으며, 현재 대면적 기술이 부족한 상태이다. 극복방안으로 AMOLED를 타겟으로 하는 Oxide TFT와 a-Si TFT의 기술이 발전되고 있다. Oxide TFT는 AMOLED backplane으로 사용될 수 있는 강력한 후보 중의 하나이다. Oxide TFT는 단결정 산화물과 다결정 복합 산화물 두 가지 범주를 가지고 있다. 본 연구에서는 다결정 Oxide TFT의 하나인 ZTO TFT를 연구함으로서 Engineer의 근본적 이슈인 저비용에 초점을 맞추어 소자특성을 확인해보도록 한다. n-type wafer 에 PE-CVD 장비를 이용하여 SiNx를 120 nm 증착하고, channel layer인 ZTO 용액을 spin-coating을 이용하여 형성하였다. 균일하게 형성된 ZTO의 결정을 위하여
$500^{\circ}C$ 에서 1시간 동안 공기 중에서 annealing을 하였다. 과정을 거친 ZTO는 약 30 nm 두께로 형성되었다. Thermal evaporator를 이용하여 Source, Drain의 전극을 형성 하고, wafer 뒷면에는 Silver paste를 이용하여 Gate를 형성하였다. 제작된 소자를 dark room temperature 에서 측정 하였다. 측정된 소자는 우수한 전기적 특성과 0.96 cm2/Vs 인 이동도를 얻어냈다. 이러한 소자의 안정성에 따른 전기적 특성을 관측하기 위하여 상온에서$100^{\circ}C$ 까지의 온도 스트레스를 주었다. Stress에 따른 소자는 상온에서 시작하여 온도가 올라갈수록 이동도가 낮아지고, 문턱전압 증가와 SS이 커짐을 알 수 있었다. 캐리어의 운동 매커니즘에서 온도가 올라가면 격자진동의 영향을 크게 받음으로서 캐리어의 이동도가 낮아져 전기적 특성이 낮아지는 점이 본 연구에도 적용됨을 알 수 있었다. 본 연구를 통하여 화학적 안정성을 지닌 소자라는 점과 더불어 여타 TFT공정에 비하여 현저히 낮은 공정비용을 통하여 AMOLED가 요구하는 수준의 특성에 가까운 소자를 제작할 수 있다는 것을 확인하였으며 앞으로의 추가적인 연구에 따라서 더욱 완성된 공정기술을 기대할 수 있었다. -
본 연구에서는 Ti이 도핑된 ZnO의 성장 및 후처리 과정에 따른 구조적, 전기적, 자기적 특성에 관하여 보고한다. ZnTiO 박막은 Pt/SiO2/Si기판에
$500^{\circ}C$ , 20 mTorr에서 RF 마그네트론 스퍼터법과 DC 마그네트론 스퍼터법으로 코스퍼터링을 통하여 증착 하였다. 그리고 박막 성장 후 질소분위기에서$600{\sim}900^{\circ}C$ ($50^{\circ}$ step)에서 급속 열처리 공정(RTA)을 이용하여 후열처리에 따른 특성변화를 관찰하였다. 구조적 특성변화를 확인하기 위하여 XRD 측정을 하였으며, Ti이 Zn와 치환되어 성장 한 것을 관측하였다. 한편 자기적 특성 확인을 위한 SQUID 측정 결과, ZnTiO 박막에서 강자성 특성인 자기-이력곡선을 확인하였다. 또한 강유전 특성 분석을 위한 I-V 측정에서 ZnTiO 박막에서 강유전 특성인 전류-이력 현상을 관측하였다. -
높은 유전상수를 가지는 터널 장벽물질 들은 플래쉬메모리 및 나노 부유게이트 메모리 소자에서 터널의 두께 및 밴드갭 구조의 변형을 통하여 단일층의
$SiO_2$ 터널장벽에 비하여 동작속도를 향상시키고 누설전류를 줄이며 전하보존 특성을 높여줄 수 있다.[1-3] 본 연구에서는$Al_2O_3/HfO/Al_2O_3$ 구조의 고 유전체 터널장벽을 사용하여$WSi_2$ 나노입자를 가지게 되는 metal-oxide-semiconductor(MOS)구조의 커패시터를 제작하여 전기적인 특성을 확인하였다. p형 (100) Si기판 위에$Al_2O_3/HfO/Al_2O_3$ (AHA)의 터널장벽구조를 원자층 단일 증착법을 이용하여$350^{\circ}C$ 에서 각각 2 nm/1 nm/3 nm 두께로 증착시킨 다음,$WSi_2$ 나노입자를 제작하기 위하여 얇은$WSi_2$ 박막을 마그네트론 스퍼터링법으로 3 - 4 nm의 두께로 증착시켰다. 그 후$N_2$ 분위기에서 급속열처리 장치로$900^{\circ}C$ 에서 1분간의 열처리과정을 통하여 AHA로 이루어진 터널 장벽위에$WSi_2$ 나노입자들이 형성할 수 있었다. 그리고 초 고진공 마그네트론 스퍼터링장치로$SiO_2$ 컨트롤 절연막을 20 nm 증착하고, 마지막으로 열 증기로 200 nm의 알루미늄 게이트 전극을 증착하여 소자를 완성하였다. 그림 1은 AHA 터널장벽을 이용한$WSi_2$ 나노 부유게이트 커패시터 구조의 1-MHz 전기용량-전압 특성을 보여준다. 여기서,${\pm}3\;V$ 에서${\pm}9\;V$ 까지 게이트전압을 점차적으로 증가시켰을 때 메모리창은 최대 4.6 V로 나타났다. 따라서 AHA의 고 유전체 터널층을 가지는$WSi_2$ 나노입자 커패시터 구조가 차세대 비 휘발성 메모리로서 충분히 사용가능함을 보였다. -
서로 다른 유전 물질을 이용하여 다층구조의 터널장벽을 이용하여 비휘발성 메모리 소자의 동작 특성 및 전하보존 특성을 향상시킬 수 있음이 보고되었다.[1-3] 본 연구에서는
$SiO_2/Si_3N_4/SiO_2$ 구조의 다층 구조의 터널 장벽을 이용하여$WSi_2$ 나노 입자 비휘발성 메모리 소자를 제작하였다. P-형 Si 기판에 100 nm 두께의 Poly-Si 박막을 증착시켜 소스, 드레인 및 게이트 영역을 포토 리소그래피를 이용하여 형성하였다.$SiO_2/Si_3N_4/SiO_2$ (ONO) 터널장벽은 CVD (chemical vapor deposition) 장치로 각각 2 nm, 2 nm 와 3 nm 두께로 형성하였으며, 그 위에$WSi_2$ 박막을 3~4 nm 마그내트론 스퍼터링 방법으로 증착하였다. ONO 터널 장벽구조 위에$WSi_2$ 나노입자를 형성시키기 위해,$N_2$ 분위기에서 급속열처리 방법을 이용하여$900^{\circ}C$ 에서 1분간 열처리를 하였다. 마지막으로 20 nm 두께의 컨트롤 절연막을 초고진공 스퍼터를 이용하여 증착하고, Al 박막을 200 nm 두께로 증착하였다. 여기서. 제작된 메모리 소자의 게이트 길이와 선폭은 모두$10\;{\mu}m$ 이다. 비휘발성 메모리 소자의 전기적 특성은 HP 4156A 반도체 파라미터 장비, Agilent 81104 A 80MHz 펄스/패턴 발생기를 이용하였다. 또한 전하 저장 터널링 메커니즘과, 전하누설의 원인을 분석하고 소자의 열적 안정성을 확인하기 위하여$25^{\circ}C$ 에서$125^{\circ}C$ 로 온도를 변화시켜 외부로 방출되는 전하의 활성화 에너지를 확인하여 누설근원을 확인하였다. -
Charge trap flash (CTF) 구조를 가진 플래시 메모리 소자는 기존의 플래시 메모리 소자에 비해 쓰고 지우는 속도가 빠르고, 데이터의 저장 기간이 길며, 쓰고 지우는 동작에 의한 전계 스트레스에 잘 견디는 장점을 가지고 있다. 이러한 장점에도 불구하고 CTF 플래시 메모리에서도 수십 나노 이하로 소자의 셀 사이즈가 감소함에 따라 단 채널 효과, 펀치스루 현상 및 셀 사이의 간섭현상이 발생함에 따라 이러한 문제들을 해결해야 한다. 인접한 셀 사이에 발생하는 간섭 현상에 대해선 플로팅 게이트를 사용한 플래시 메모리 소자에 대하여 많은 연구가 진행되었으나, CTF 플래시 메모리 소자에서 나타나는 셀 사이의 간섭현상에 대한 연구는 만히 진행되어 있지 않다. 본 연구에서는 CTF 플래시 메모리 소자의 셀 사이의 간격이 작아짐에 따라 발생하는 인접한 셀 간의 간섭 현상에 대해 관찰하였다. CTF 플래시 메모리 소자의 셀 사이의 간격에 따른 비교를 위하여 각 소자의 셀을 구성하는 터널링 산화막, 질화막 및 블로킹 산화막의 두께를 동일하게 하였다. 각 셀 사이의 간격이 감소함에 따라 발생하는 소자의 전기적 특성을 TCAD 시뮬레이션 툴인 Sentaurus를 사용하여 계산하였다. 인접한 셀의 상태에 따라 발생하는 간섭 효과를 확인하기 위해 word line (WL)과 bit line (BL) 방향에 있는 주변 셀의 프로그램 상태에 따른 선택한 셀의 문턱전압이 변화 정도를 관찰하였다. 시뮬레이션 결과는 셀 사이의 간섭효과가 WL 방향에 의한 간섭 현상보다 BL 방향에 의한 간섭 현상보다 크다. 시뮬레이션한 전류-전압 특성 결과는 CTF 플래시 메모리 소자가 비례 축소할 때 인접하는 셀 사이에 간격이 15 nm 이하로 줄어들 경우에 간섭 현상이 급격히 증가하였다.
-
In recent years, Anodic aluminum oxide(AAO) has become popular and attractive materials. It can be easily fabricated and self-organized pore structures. It has been widely used as a biosensor membrane, photonic crystal for optical circuit and template for nanotube growth etc. In previous papers, the theory was developed that AAO shows anisotropic optical properties, since it has anisotropic structure with numerous cylindrical pores. It gives rise to the anisotropy of the refractive index called as birefringence. It can be used as conventional polarizing elements with high efficiency and low cost. Therefore, we would like to compare the theory and experimental results in this study. One method which can measure effective refractive index of thin film is the prism coupling technique. It can give accurate results fast and simply. Furthermore, we can also measure separately the refractive index with different polarization using polarization of the laser (TE mode and TM mode). We calculated the effective refractive index with effective medium approximations (EMAs) by pore size in the SEM image. EMAs are physical models that describe the macroscopic system as the homogeneous and typical method of all mean field theories.
-
NAND형 charge trap flash (CTF) non-volatile memory (NVM) 소자가 30nm node 이하로 고집적화 되면서, 기존의 SONOS형 CTF NVM의 tunnel barrier로 쓰이는 SiO2는 direct tunneling과 stress induced leakage current (SILC)등의 효과로 인해 data retention의 감소 등 물리적인 한계에 이르렀다. 이에 따라 개선된 retention과 빠른 쓰기/지우기 속도를 만족시키기 위해서 tunnel barrier engineering (TBE)가 제안되었다. TBE NVM은 tunnel layer의 전위장벽을 엔지니어드함으로써 낮은 전압에서 전계의 민감도를 향상 시켜 동일한 두께의 단일 SiO2 터널베리어 보다 빠른 쓰기/지우기 속도를 확보할 수 있다. 또한 최근에 각광받는 high-k 물질을 TBE NVM에 적용시키는 연구가 활발히 진행 중이다. 본 연구에서는 Si3N4와 HfAlO (HfO2 : Al2O3 = 1:3)을 적층시켜 staggered의 새로운 구조의 tunnel barrier Capacitor를 제작하여 전기적 특성을 후속 열처리 온도와 방법에 따라 평가하였다. 실험은 n-type Si (100) wafer를 RCA 클리닝 실시한 후 Low pressure chemical vapor deposition (LPCVD)를 이용하여 Si3N4 3 nm 증착 후, Atomic layer deposition (ALD)를 이용하여 HfAlO를 3 nm 증착하였다. 게이트 전극은 e-beam evaporation을 이용하여 Al를 150 nm 증착하였다. 후속 열처리는 수소가 2% 함유된 질소 분위기에서
$300^{\circ}C$ 와$450^{\circ}C$ 에서 Forming gas annealing (FGA) 실시하였고 질소 분위기에서$600^{\circ}C{\sim}1000^{\circ}C$ 까지 Rapid thermal annealing (RTA)을 각각 실시하였다. 전기적 특성 분석은 후속 열처리 공정의 온도와 열처리 방법에 따라 Current-voltage와 Capacitance-voltage 특성을 조사하였다. -
전하 트랩형 비휘발성 메모리는 10년 이상의 데이터 보존 능력과 빠른 쓰기/지우기 속도가 요구 된다. 그러나 두 가지 특성은 터널 산화막의 두께에 따라 서로 trade off 관계를 갖는다. 즉, 두 가지 특성을 모두 만족 시키면서 scaling down 하기는 매우 힘들다. 이것의 해결책으로 적층된 유전막을 터널 산화막으로 사용하여 쓰기/지우기 속도와 데이터 보존 특성을 만족하는 Tunnel Barrier engineered Memory (TBM)이 있다. TBM은 가운데 장벽은 높고 기판과 전극쪽의 장벽이 낮은 crested barrier type이 있으며, 이와 반대로 가운데 장벽은 낮고 기판과 전극쪽의 장벽이 높은 VARIOT barrier type이 있다. 일반적으로 유전율과 밴드갭(band gap)의 관계는 유전율이 클수록 밴드갭이 작은 특성을 갖는다. 이러한 관계로 인해 일반적으로 crested type의 터널산화막층은 high-k/low-k/high-k의 물질로 적층되며, VARIOT type은 low-k/high-k/low-k의 물질로 적층된다. 이 형태는 밴드갭이 다른 물질을 적층했을 때 전계에 따라 터널 장벽의 변화가 민감하여 전자의 장벽 투과율이 매우 빠르게 변화하는 특징을 갖는다. 결국 전계에 민감도 향상으로 쓰기/지우기 속도가 향상되며 적층된 유전막의 물리적 두께의 증가로 인해 데이터 보존 특성 또한 향상되는 장점을 갖는다. 본 연구에서는 기존의 TBM과 다른 형태의 staggered tunnel barrier를 제안한다. staggered tunnel barrier는 heterostructure의 에너지 밴드 구조 중 하나로 밴드 line up은 두 밴드들이 같은 방향으로 shift된 형태이다. 즉, 가전자대 에너지 장벽의 minimum이 한 쪽에 생기면 전도대 에너지 장벽의 maximum은 반대쪽에 생기는 형태를 갖는다. 이러한 밴드구조를 갖는 물질을 터널 산화막층으로 하게 되면 쓰기/지우기 속도를 증가시킬 수 있으며, 데이터 보존 능력 모두 만족할 수 있어 TBM의 터널 산화막으로의 사용이 기대된다. 본 연구에서 제작한 staggered TBM소자의 터널 산화막으로는 Si3N4/HfAlO (3/3 nm)을 사용하여 I-V(current-voltage), Retention, Endurance를 측정하여 메모리 소자로서의 특성을 분석하였으며, 제 1 터널 산화막(Si3N4)의 두께를 wet etching 시간 (0, 10, 20 sec)에 따른 메모리 특성을 비교 분석하였다.
-
최근 평판 디스플레이 산업의 발전에 따라 능동행렬 액정 표시 소자 (AMOLED : Active Matrix Organic Liquid Crystral Display) 가 차세대 디스플레이 분야에서 각광을 받고있다. 기존의 TFT-LCD에 사용되는 a-Si:H는 균일도가 좋지만 전기적인 스트레스에 의해 쉽게 열화되고 낮은 이동도는 갖는 단점이 있으며, ELA (Eximer Laser Annealing) 결정화 poly-Si은 전기적인 특성은 좋지만 uniformity가 떨어지는 단점을 가지고 있어서 AMOLED 및 대면적 디스플레이에 적용하기 어렵다. 따라서 a-Si:H TFT보다 좋은 전기적인 특성을 보이며 ELA 결정화 poly-Si TFT보다 좋은 uniformity를 갖는 SPC (Solid Phase Crystallization) poly-Si TFT가 주목을 받고있다. 본 연구에서는 차세대 디스플레이 적용을 위해서 glass 기판위에 증착된 a-Si을 SPC 로 결정화 시킨 후 TFT를 제작하고 평가하였다. 또한 TFT 형성시에 저온공정을 실현하기 위해서 소스/드레인 영역에 실리사이드를 형성시켰다. 소자 제작시의 최고온도는
$500^{\circ}C$ 이하에서 공정을 진행하는 저온 공정을 실현하였다. Glass 기판위에 a-Si이 80 nm 증착된 기판을 퍼니스에서 24시간 동안 N2 분위기로 약$600^{\circ}C$ 에서 결정화를 진행하였다. 노광공정을 통하여 Active 영역을 형성시키고 E-beam evaporator를 이용하여 약 70 nm 의 Pt를 증착시킨 후, 소스와 드레인 영역의 실리사이드 형성은 N2 분위기에서$450^{\circ}C$ ,$500^{\circ}C$ ,$550^{\circ}C$ 에서 열처리를 통하여 형성하였다. 게이트 절연막은 스퍼터링을 이용하여 SiO2를 약 15 nm 의 두께로 증착하였다. 게이트 전극의 형성을 위하여 E-beam evaporator 을 이용하여 약 150 nm 두께의 알루미늄을 증착하고 노광공정을 통하여 게이트 영역을 형성 후 에$450^{\circ}C$ , H2/N2 분위기에서 약 30분 동안 forming gas annealing (FGA)을 실시하였다. 제작된 소자는 실리사이드 형성 온도에 따라서 각각 다른 특성을 보였으며$450^{\circ}C$ 에서 실리사이드를 형성시킨 소자는 on currnet와 SS (Subthreshold Swing)이 가장 낮은것을 확인하였다.$500^{\circ}C$ 와$550^{\circ}C$ 에서 실리사이드를 형성시킨 소자는 거의 동일한 on current와 SS값을 나타냈다. 이로써 glass 기판위의 SB-TFT 제작 시 실리사이드 형성의 최적온도는$500^{\circ}C$ 로 생각되어 진다. 위의 결과를 토대로 본 연구에서는 SPC 결정화 방법을 이용하여 SB-TFT를 성공적으로 제작 및 평가하였고, 차세대 디스플레이에 적용할 경우 우수한 특성이 기대된다. -
이 논문에서는 field-effect mobility를 향상시키기 위해 triple-layer (SiNx/SiO2/SiOxNy stack 구조)를 gate dielectric material 로 LTPS TFTs에 적용하였다. 이는 플라즈마 처리 기법과 적층구조의 효과적인 in-situ 공정을 이용하여 interface trap과 mobile charge를 낮추어 높은 이동도의 결과를 생각하고 실험하였다. 실험은 SiO2 gatedielectric과 triple-gate dielectric의 C-V curve를 1 MHz의 주파수에서 측정하였다. 또한 Transfer characteristics를 single SiO2 gatedielectric과 triple-gate dielectric of SiNx/SiO2/SiOxNy를 STA 장비를 이용해 측정하였다. 위의 측정을 통해 threshold voltage, mobility, subtheshold swing, driving current, ON/OFF current ratio를 비교 분석하였다.
-
DRAM (dynamic random access memory)은 하나의 트랜지스터와 하나의 캐패시터의 구조 (1T/1C)를 가지는 구조로써 빠른 동작 속도와 고집적에 용이하다. 하지만 고집적화를 위해서는 최소한의 캐패시터 용량 (30 fF/cell)을 충족시켜 주어야 한다. 이에 따라 캐패시터는 stack 혹은 deep trench 구조로 제작되어야 한다. 위와 같은 구조로 소자를 구현할 시 제작공정이 복잡해지고 캐패시터의 집적화에도 한계가 있다. 이러한 문제점을 보완하기 위해 1T-DRAM이 제안되었다. 1T-DRAM은 하나의 트랜지스터로 이루어져 있으며 SOI (silicon-on-insulator) 기판에서 나타나는 floating body effect를 이용하여 추가적인 캐패시터를 필요로 하지 않는다. 하지만 SOI 기판을 이용한 1T-DRAM은 비용측면에서 대량생산화를 시키기는데 어려움이 있으며, 3차원 적층구조로의 적용이 어렵다. 하지만 다결정 실리콘을 이용한 기판은 공정의 대면적화가 가능하고 비용적 측면에서 유리한 장점을 가지고 있으며, 적층구조로의 적용 또한 용이하다. 본 연구에서는 ELA (eximer laser annealing) 방법을 이용하여 비정질 실리콘을 결정화시킨 기판에서 1T-DRAM을 제작하였다. 하지만 다결정 실리콘은 단결정 실리콘에 비해 저항이 크기 때문에, 메모리 소자로서 동작하기 위해서는 높은 바이어스 조건이 필요하다. 게이트 산화막이 얇은 경우, 게이트 산화막의 열화로 인하여 소자의 오작동이 일어나게 되고 게이트 산화막이 두꺼울 경우에는 전력소모가 커지게 된다. 그러므로 메모리 소자로서 동작 할 수 있는 최적화된 게이트 산화막 두께가 필요하다. 제작된 소자는 KrF-248 nm 레이저로 결정화된 ELA 기판위에 게이트 산화막을 10 nm, 20 nm, 30 nm 로 나누어서 증착하여, 전기적 특성 및 메모리 특성을 평가하였다.
-
최근 반도체 소자의 미세화에 따라, 단채널 효과에 의한 누설전류 및 소비전력증가 등이 문제가 되고 있다. DRAM의 경우, 캐패시터 영역의 축소문제가 소자집적화를 방해하는 요소로 작용하고 있다. 1T-DRAM은 기존의 DRAM과 달리 캐패시터 영역을 없애고 상부실리콘의 중성영역에 전하를 저장함으로써 소자집적화에 구조적인 이점을 갖는다. 또한 silicon-on-insulator (SOI) 기판을 이용할 경우, 뛰어난 전기적 절연 특성과 기생 정전용량의 감소, 소자의 저전력화를 실현할 수 있다. 본 연구에서는 silicon-germanium-on-insulator (SGOI) 기판을 이용한 1T-DRAM의 열처리온도에 따른 특성 변화를 평가하였다. 기존의 SOI 기판을 이용한 1T-DRAM과 달리, SGOI 기판을 사용할 경우, strained-Si 층과 relaxed-SiGe 층간의 격자상수 차에 의한 캐리어 이동도의 증가효과를 기대할 수 있다. 하지만 열처리 시, SiGe층의 Ge 확산으로 인해 상부실리콘 및 SiGe 층의 두께를 변화시켜, 소자의 특성에 영향을 줄 수 있다. 열처리는 급속 열처리 공정을 통해
$850^{\circ}C$ 와$1000^{\circ}C$ 로 나누어 30초 동안 N2/O2 분위기에서 진행하였다. 그리고 Programming/Erasing (P/E)에 따라 달라지는 전류의 차를 감지하여 제작된 1T-DRAM의 메모리 특성을 평가하였다. -
Nano-crystalline Si thin films were deposited on polymer and glass by inductively coupled plasma (ICP) - assisted RF magnetron sputtering at low temperature in an argon and hydrogen atmosphere. Internal ICP coil was installed to increase hydrogen atoms dissociated by the induced magnetic field near the inlet of the working gases. The microstructure of deposited films was investigated with XRD, Raman spectroscopy and TEM. The crystalline volume fraction of the deposited films on polymer was about 70% at magnetron RF power of 600W and ICP RF power of 500W. Crystalline volume fraction was decreased slightly with increasing magnetron RF power due to thermal damage by ion bombardment. The diffraction peak consists of two peaks at
$28.18^{\circ}$ and$47.10^{\circ}\;2{\theta}$ at magnetron RF power of 600W and ICP RF power of 500W, which correspond to the (111), (220) planes of crystalline Si, respectively. As magnetron power increase, (220) peak disappeared and a dominant diffraction plane was (111). In case of deposited films on glass, the diffraction peak consists of three peaks, which correspond to the (111), (220) and (311). As the substrate temperature increase, dominant diffraction plane was (220) and the thickness of incubation (amorphous) layer was decreased. -
본 연구에서는 Glass 기판 위에 우수한 광 투과도를 갖는 ZnO 기반의 Thin Film Transistor (TFT)를 제작하였으며, 이에 대한 전기적 및 광학적 특성을 분석하였다. 소자 구조의 제작은 Maskless Aligner를 이용한 Optical lithograph법을 이용하였다. 채널층은 ZnO로 하였고 Source/Drain 영역은 GaZnO로 하여 전체구조가 ZnO 기반의 homogeneity를 유지하게 하였다. 이때 Gate 절연막은 Bi1.5Zn1Nb1.5O7와 SiO2 두가지 종류로 하여 각각의 특성을 비교하였다. 본연구에서 TFT구조의 각 층은 모두 r. f. 마그네트론 스퍼터법으로 증착하였다. 제작된 TFT들은 채널층 및 절연막 형성 등에 관여된 세부적 실험변수의 변화에 관계없이 약 75% 이상의 우수한 광투과도 특성을 보였다. 전기적 특성 평가에서, 제작된 TFT들은 전반적으로 비교적 낮은 문턱전압과 높은 이동도를 보였다. 하지만, 트랜지스터의 전기적 전송 특성의 주요 인자들인 채널-이동도, 스위칭, 누설 및 이력 등은 ZnO 채널층 혹은 Bi1.5Zn1Nb1.5O7 절연막 형성 시 주입되는 O2 가스의 분압에 의존하는 것이 관측되었다. 이를 통하여 트랜지스터의 각 세부 영역의 구조 및 형성 조건이 트랜지스터의 전기적 특성에 미치는 영향과 상관관계에 대하여 논의한다.
-
기존의 박막트랜지스터에 비하여 대면적의 박막 형성이 용이하고 높은 이동도의 특성을 가지는 ZnO는 상온에서 높은 밴드갭 에너지(3.4eV)와 엑시톤 결합에너지(60meV)로 인해 가시광영역을 투과시킬 뿐만 아니라 가시광으로 인해 유도되는 광 캐리어가 생성되어 열화되는 현상이 없는 장점을 가지고 있다. 또한 다른 물질에 비해 높은 이동도(
$1{\sim}100\;cm2/V{\cdot}s$ )로 인해 기존의 실리콘 기반의 박막트랜지스터를 대체할 수 있는 물질로 최근 주목 받고 있다. 이러한 ZnO는 접합된 소스와 드레인 전극의 Work function 및 resistivity의 차이에 따라 전기적 특성에도 많은 변화가 생기게 된다. 본 연구에서는 박막트랜지스터의 전극에 이용되는 금속에 변화를 주어 이에 따른 전기적 특성에 대해 연구하였다. 이를 위해 먼저, P-type 실리콘 위에 습식 방법으로 SiO2를 300nm성장 시켰고, ZnO 박막을 Sputtering 방식으로 증착하여 트랜지스터를 제작하였다. 그리고 소자의 소스와 드레인 전극으로 사용되는 금속은 E-beam evaporator과 RF Magnetron Sputter를 이용하여 증착하였다. 또한 금속의 Work function을 확인하기 위해 Capacitor를 제작하여 이에 대한 Capacitance-Voltage 특성과 함께, 박막트랜지스터의 Current-Voltage 특성을 확인해 보았다. 이와 같이 소스와 드레인 전극의 최적화된 Material을 이용하여 전기적 특성이 향상된 박막트랜지스터 소자를 기대할 수 있다. -
1차원 나노 소재 중 ZnO 나노선은 우수한 전기적, 광학적 특성으로 최근 센서, 디스플레이등 다양한 정보전자 소자에 활용 가능성이 높아지고 있다. 현재 보고된 ZnO 나노선 소자는 주로 단일선이나 랜덤 네트워크 형태로 제작되어 소자의 공정성, 재현성 및 균일성 확보가 매우 중요한 이슈가 된다. 본 연구에서는 화학기상증착법으로 성장한 ZnO 나노선을 슬라이딩 트랜스퍼 공정을 통하여 원하는 기판에 정렬된 형태로 전이하여 전계방출소자 (field effect transistor) 어레이를 제작하고 그 특성을 분석하였다. 또한, p-형으로 도핑된 Si 기판을 패터닝하여 정렬된 ZnO 나노선과 pn-정션 소자를 제작하여 정류특성과 electroluminescence 특성을 분석, 설명하였다.
-
Both ZnO and GaN have excellent physical properties in optoelectronic devices such as blue light emitting diode (LED), blue laser diode (LD), and ultra-violet (UV) detector. The ZnO/GaN heterostructure, which has a potential to achieve the cost efficient LED technology, has been fabricated by using radio frequency (RF) sputtering, pyrolysis, metal organic chemical vapor deposition (MOCVD), direct current (DC) arc plasmatron, and pulsed laser deposition (PLD) methods. Among them, the PLD system has a benefit to control the composition ratio of the grown film from the mixture target. A 500-nm-thick ZnO film was grown by PLD technique on c-plane GaN/sapphire substrates. The post annealing process was executed at some varied temperature between from
$300^{\circ}C$ to$900^{\circ}C$ . The morphology and crystal structural properties obtained by using atomic force microscope (AFM) and x-ray diffraction (XRD) showed that the crystal quality of ZnO thin films can be improved as increasing the annealing temperature. We will discuss the post-treatment effect on film quality (uniformity and reliability) of ZnO/GaN heterostructures. -
Zinc oxide (ZnO) is a functional material with interesting optical and electrical properties, a wide band gap (more than 3.3 eV), a high transmittance in the visible light region, piezoelectric properties, and a high n-type conductivity. This material has been investigated for use in many applications, such as transparent electrodes, blue light-emitting diodes, and ultra-violet detector. ZnO films grown under low oxygen pressure by thin film deposition methods show low resistivity and large free electron concentration. Therefore, reducing the background carrier concentration in ZnO films is one of the major challenges ahead of realizing high-performance ZnO-based optoelectronic devices. In this study, we deposited ZnO thin films on sapphire substrates by pulsed laser deposition (PLD) with employing an oxygen plasma source to decrease the background free-electron concentration and enhance the crystalline quality. Then, the substrate temperature was varied between 200 'C to 900 'C The vacuum chamber was initially evacuated to a pressure of
$10^{-6}$ Torr, and then a pure$O_2$ gas was introduced into the chamber and the pressure during deposition was maintained at$10^{-2}$ Torr. Crystallinity and orientation of ZnO films were investigated by X-ray diffraction (XRD). The film surface was analyzed with atomic force microscope (AFM). And electrical properties were measured at room temperature by Hall measurement. -
금(Au) 또는 은(Ag) 금속 나노입자의 모양, 크기, 분포 상태를 조절하여 가시광선과 적외선, 자외선 영역에서 강한 표면 플라즈몬 효과을 이용할 수 있는데, 최근 이러한 금속 나노입자의 표면플라즈몬 효과를 이용하여 태양광 소자의 성능을 향상시키는 연구가 매우 활발하게 이루어지고 있다. 그 중, 높은 효율과 낮은 제작비용 그리고 간단한 공정과정의 장점을 갖고 있어서 크게 주목 받고 있는 염료감응태양전지에서도 금(Au) 또는 은(Ag) 금속 나노입자을 이용하기 위한 많은 연구가 진행되고 있다. 그 예로, Au가 코팅된
$TiO_2$ 기반의 염료감응태양전지구조를 제작하여, 입사된 빛이 표면플라즈몬 효과를 통해, Au에서 여기된 전자들이 Au/$TiO_2$ 사에의 schottky 장벽을 통과하여$TiO_2$ 의 전도대 전자들의 밀도가 증가하여, charge carrier generating rate을 높여 소자의 광변환 효율의 향상을 증명하였다. 이에 본 연구에서는,$TiO_2$ 보다 높은 전자 이동도(mobility)와 직선통로(direct path way)의 장점을 갖고 있는 ZnO nanorod에서의 charge carrier generating rate을 높일 수 있도록, 비교적 가격이 저렴한 Ag nanoparticle을 코팅하였다. ZnO nanorod 제작은 낮은 온도에서 간단하게 성장시킬 수 있는 hydrothermal 방법을 이용하였다. 기판위에 RF magnetron 스퍼터를 이용하여 AZO seed layer를 증착한 후, zinc nitrate$Zn(NO_3)_2{\cdot}6H_2O$ 과 hexamethylentetramines (HMT)으로 혼합된 용액을 사용해 ZnO nanorods를 성장시켰다. 이 후, Ag를 형성할 수 있도록 열증기증착법을 이용하여 코팅하였다. Ag의 증착시간에 따른 ZnO nanorods에서의 코팅된 구조와 형태를 관찰하기 위해 field emission scanning electron microscopy (FE-SEM)을 이용하여 측정하였으며, 결정성을 조사하기 위해 X-ray diffraction (XRD)을 이용하여 분석하였다. 또한 입사된 빛에 의해, 여기된 ZnO 전도대 전자들이 다시 재결합을 통해 방출되는 photoluminescence 양을 scanning PL 장비를 통해 측정하여 Ag가 코팅된 ZnO nanorod의 광특성을 분석하였다. -
ABO2 형태를 가진 delafossite 구조 산화물은 p-type 투명전도체 소재로 유명하다. Delafossite 구조가 p-type 투명전도체에 적합한 결정적인 이유는 밴드갭이 넓고 공유결합에 유리하기 때문이다. 투명전도체는 가시광선의 흡수가 없도록 band gap을 넓히는 것이 우선인데 이러한 band gap이 넓은 구조가 delafossite이다. 또한 delafossite 구조는 구조적으로 각각의 산화물 이온들이 유사 사면체 배위(pseudo-tetrahedral coordination)을 갖는다. 이러한 사면체 배위결합구조에서 산소이온은 비결합면이 없기 때문에 더욱더 공유결합성을 향상시킬 것으로 생각된다. 여기서 A는 +1가 cation, B은 +3가 cation으로 구성되어 있다. A자리에는 1가 원소인 팔라듐, 플래티늄, 은, 구리 등을 가질 수 있고. B자리에 3가 원소이면서도 크기가 알루미늄보다는 크고 란타늄보다는 작은 금속이 들어갈 수 있다. Delafossite 구조는 상온에서 2종류의 polytype (상온에서 Rhombohedaral 구조와 hexagonal 구조)이 존재하며 이들은 각각 3R(Rm) 및2H (P63/mmc)의 결정 구조를 가지고 있다. CuCrO2는 일반적으로 3R결정구조를 가지는 것으로 알려져 있다. delafossite 구조는 전기적 이방성을 띄고 있는데 c-축 방향으로의 전기적 특성이 a-축 방향으로의 전기적 특성보다 약 1000배 높은 물성을 띈다고 한다. 이는 c-축 방향의 원자 위치 때문인데 CuCrO2의 경우 Cu-O-Cr-O-Cu로서 3d-2p-3d-2p-3d 궤도를 가지기 때문인 것으로 알려져 있다.[ref] 반면 c-축으로 에피성장된 박막의 경우 +3가 이온이 위치한 layer에서 hole hopping에 의해 캐리어가 전도된다고 알려져 있기도 하다. 본 연구에서는 PLD를 이용하여 c-plane 사파이어 기판위에 성장된 delafossite구조인 CuCrO2박막의 특성을 알아보았다. p-type 특성을 위하여 CuCrO2에 Ni를 첨가하였으며 그에 따른 구조적 전기적 특성을 조사하였다. 성장온도와 도핑농도를 변화시켜 특성을 연구하였다. 결정구조적 특성과 전기적 특성을 분석하려 한다.
-
GaN 기반의 상부발광형 LED는 동작되는 동안 생기는 전기적 단락, 그리고 칩 위의 p-형 전극과 n-형 전극 사이에 생기는 누설전류 및 신뢰성 확보를 위하여 칩 표면에 passivation 층을 형성하게 된다. SiO2, Si3N4와 같은 passivation layers는 일반적으로 PECVD (Plasma Enhanced Chemical Vapor Deposition)공정을 이용한다, 하지만 이는 공정 특성상 plasma로 인한 damage가 유발되기 때문에 표면 누설 전류가 증가 한다. 이로 인해 forward voltage와 reverse leakage current의 특성이 저하된다. 본 실험에서는 원자층 단위의 박막 증착으로 인해 PECVD보다 단차 피복성이 매우 우수한 PEALD(Plasma Enhanced Atomic Layer Deposition)공정을 이용하여 Al2O3 passivation layer를 증착한 후, 표면 누설전류와 빛의 출력 특성에 대해서 조사해 보았다. PSS (patterned sapphire substrate) 위에 성장된 LED 에피구조를 사용하였고, TCP(Trancformer Copled Plasma)장비를 사용하여 에칭 공정을 진행하였다. 이때 투명전극을 증착하기 위해 e-beam evaporator를 사용하여 Ni/Au를 각각
$50\;{\AA}$ 씩 증착한 후 오믹 특성을 향상시키기 위하여$500^{\circ}C$ 에서 열처리를 해주었다. 그리고 Ti/Au($300/4000{\AA}$ ) 메탈을 사용하여 p-전극과 n-전극을 형성하였다. Passivation을 하지 않은 경우에는 reverse leakage current가 -5V 에서$-1.9{\times}10-8$ A 로 측정되었고, SiO2와 Si3N4을 passivation으로 이용한 경우에는 각각$8.7{\times}10-9$ 과$-2.2{\times}10-9$ 로 측정되었다. Fig. 1 에서 보면 알 수 있듯이 5 nm의 Al2O3 film을 passivation layer로 이용할 경우 passivation을 하지 않은 경우를 제외한 다른 passivation 경우보다 reverse leakage current가 약 2 order ($-3.46{\times}10-11$ A) 정도 낮게 측정되었다. 그 이유는 CVD 공정보다 짧은 ALD의 공정시간과 더 낮은 RF Power로 인해 plasma damage를 덜 입게 되어 나타난 것으로 생각된다. Fig. 2 에서는 Al2O3로 passivation을 한 소자의 forward voltage가 SiO2와 Si3N4로 passivation을 한 소자보다 각각 0.07 V와 0.25 V씩 낮아지는 것을 확인할 수 있었다. 또한 Fig. 3 에서는 Al2O3로 passivation을 한 소자의 output power가 SiO2와 Si3N4로 passivation을 한 소자보다 각각 2.7%와 24.6%씩 증가한 것을 볼 수 있다. Output power가 증가된 원인으로는 향상된 forward voltage 및 reverse에서의 leakage 특성과 공기보다 높은 Al2O3의 굴절률이 광출력 효율을 증가시켰기 때문인 것으로 판단된다. -
We investigated the RF sputtering conditions for the deposition of AZO (Al doped ZnO) transparent conducting film on PET using the roll to roll vacuum coater. AZO thin films, sputtered at the various RF powers and working pressures, were studied for their structural, electrical and optical properties.. From the X-Ray diffraction patterns, we calculated the lattice stress using the Bragg equation. The compressive stress tends to decrease with the increase in film thickness. AZO thin film with the thickness of 152nm (1400W, 0.4Pa) exhibit the resistivity of
$3.92*10-3{\Omega}/cm$ and the transmittance of 96.9% at 550nm. -
단위면적 당 메모리 집적도를 높이기 위해 플래시 기억소자의 크기를 줄일 때, 셀 사이의 거리의 감소에 의한 간섭효과가 매우 커져 소자 크기의 축소가 한계에 도달하고 있다. 이러한 문제점을 개선하기 위해 본 연구에서는 fringing field 효과를 이용한 SONOS 구조 게이트 위에 금속 공간층을 가지는 플래시 메모리 소자를 연구하였다. 소자에 소스와 드레인에 도핑을 하는 공정단계를 거치지 않아도 되는 fringing field 효과를 이용한 SONOS 구조를 가진 기억소자에서 트랩층 양 쪽에 절연막을 증착하고 게이트 외측으로부터 트랩층 양 쪽 절연막까지 금속을 증착시켜 금속 공간층을 형성하였다. 게이트에 전압을 인가할 때 트랩층 절연막 외측의 금속 공간층 영역에도 동시에 전압이 인가되므로 게이트가 스위칭 역할을 충분히 하게 하기 위해서 트랩층 양 쪽 절연막 두께를 블로킹 산화막 두께와 같게 하였다. 소자의 누설전류를 감소하기 위하여 채널 아래 부분에 boron으로 halo 도핑을 하였다. 제안한 기억소자가 fringing field 효과에 의해 동작하는 것을 확인하기 위하여 Sentaurus를 사용하여 제시한 SONOS 구조를 가진 기억소자의 전기적 특성을 조사하였다. 시뮬레이션을 통해 얻은 금속 공간층이 있을 때와 없을 때에 대한 각 상태에서 같은 조건으로 트랩층에 전하를 트랩 시켰을 때 포획된 전하량이 변하였다. 각 상태에서 제어게이트에 읽기 전압을 인가하여 전류-전압 특성 곡선을 얻었으며, 각 상태에서의 문턱전압의 변화를 통해 금속 공간층이 있을 때 간섭효과가 감소하였다.
-
실리콘 산화막 (
$SiO_2$ )의 성장 과정에서 발생하는$SiO_2$ 층에 포획된 전자-정공, Si-$SiO_2$ 계면 영역의 산화물 고정 전하와 Si-$SiO_2$ 계면의 표면 준위에 포획된 전하와 같은$SiO_2$ 의 결점에 의해 전계효과 트랜지스터 소자의 전기적 특성을 저하하여 신뢰성을 높이는데 한계점이 발생한다.$SiO_2$ 의 결점에 의한 전계효과 트랜지스터 소자의 전기적 특성 변화에 대한 연구는 활발히 진행되었으나, 전계효과 트랜지스터 소자에서 셀 사이즈가 감소함에 따라 전기적 특성에 대한 연구는 많이 진행되지 않았다. 본 연구에서는 산화나 산화 후 열처리 과정 동안에 생기는 Si-$SiO_2$ 계면에서의 산화물 고정 전하의 위치에 따른 전계효과 트랜지스터 소자의 전기적 특성 변화를 TCAD 시뮬레이션 툴인 Sentaurus를 사용하여 관찰하였다. Si-$SiO_2$ 계면 근처의 실리콘 산화물내에 위치시킨 양전하를 산화물 고정 전하로 가정하여 시뮬레이션 하였다. 또한 40 nm의 전계효과 트랜지스터 소자에서 산화물 고정 전하의 위치를 실리콘 산화 막의 가장자리부터 중심으로 10 nm씩 각각 차이를 두고 비교해 본 결과,$SiO_2$ 의 가장 자리보다$SiO_2$ 의 한 가운데에 산화물 고정 전하가 고정되었을 때 전류-전압 특성 곡선에서 문턱전압의 변화가 더 뚜렷함을 알 수 있었다. 산화물 고정 전하를 Si-$SiO_2$ 계면으로부터 1~5 nm 에 각각 위치시켜 계산한 결과 산화물 고정 전하에 의해 문턱 전압이 전류-전압 특성 곡선에서 낮은 전압쪽으로 이동하였고, 산화물 고정 전하가 Si-$SiO_2$ 계면에 가까울수록 문턱 전압의 변화가 커졌다. 이는 전계효과 트랜지스터 소자에서 Si-$SiO_2$ 계면의 산화물 고정 전하에 의해 실리콘의 전위가 영향을 받기 때문이며, 양의 계면전하는 반도체의 표면에서의 에너지 밴드를 아래로 휘게 만들어 문턱전압을 감소하였다. -
Floating gate를 이용한 플래시 메모리와 달리 질화막을 트랩 저장층으로 이용한 silicon-oxide-silicon nitride-oxide silicon (SONOS) 구조의 플래시 메모리 소자는 동작 전압이 낮고, 공정과정이 간단하며 비례 축소가 용이하여 고집적화하는데 유리하다. 그러나 SONOS 구조의 플래시 메모리소자는 비례 축소함에 따라 단 채널 효과와 펀치스루 현상이 커지는 문제점이 있다. 비례축소 할 때 발생하는 문제점을 해결하기 위해 플래시 메모리 소자를 FinFET과 같이 구조를 변화하는 연구는 활발히 진행되고 있으나, 플래시 메모리 소자를 제작하는 기판의 변화에 따른 메모리 소자의 전기적 특성 변화에 대한 연구는 많이 진행되지 않았다. 본 연구에서는 silicon-on insulator (SOI) 기판의 유무에 따른 멀티비트를 구현하기 위한 듀얼 게이트 가진 SONOS 구조를 가진 플래시 메모리 소자의 subthreshold 전압 영역에서의 전기적 특성 변화를 조사 하였다. 게이트 사이의 간격이 감소함에 따라 SOI 기판이 있을 때와 없을 때의 전류-전압 특성을 TCAD Simulation을 사용하여 계산하였다. 전류-전압 특성곡선에서 subthreshold swing을 계산하여 비교하므로 SONOS 구조의 플래시 메모리 소자에서 SOI 기판을 사용한 메모리 소자가 SOI 기판을 사용하지 않은 메모리 소자보다 단채널효과와 subthreshold swing이 감소하였다. 비례 축소에 따라 SOI 기판을 사용한 메모리 소자에서 단채널 효과와 subthreshold swing이 감소하는 비율이 증가하였다.
-
유기물/무기물 나노 복합재료는 고온과 저전력에서 동작해야하는 차세대 전자 소자와 광소자 제작에 대단히 유용한 소재이다. 간단하고 저렴한 제조 방법과 휘어짐이 가능한 특성을 이용하여 유기물/무기물 나노 복합재료를 사용한 비휘발성 메모리 소자의 제작과 메모리 특성에 대한 연구가 수행되었으나, SnO2 나노 입자가 삽입된 고분자 박막을 기반으로 제작한 저항 구조의 비휘발성 메모리 소자인 유기 쌍안정성 소자에 대한 연구는 상대적으로 미흡하다. 본 연구에서는 poly(methyl methacrylate) (PMMA) 박막 안에 분산된 SnO2 나노 입자를 사용하여 제작한 유기 쌍안정성 소자의 메모리 특성을 관찰하였다. 소자를 제작하기 위해 나노 입자의 전구체인 Tin 2-ethylhexanoate을 dibutyl ether에 용해시킨 후, 화학적 방법을 사용하여 용매 안에서 SnO2 나노 입자를 합성하였다. 합성한 SnO2 나노 입자와 PMMA를 클로로벤젠에 용해하여 고분자 용액을 제작하였다. 전극인 indium-tin-oxide가 증착된 유리 기판 위에 제작한 고분자 용액을 스핀 코팅하고, 열을 가해 용매를 제거하여 SnO2 나노 입자가 분산되어 있는 PMMA 나노복합체를 형성하였다. 그 위에 Al 전극을 증착하여 유기 쌍안정성 소자를 완성하였다. 제작된 소자에 전압을 인가하여 전류를 측정한 결과 유기 쌍안정성 소자에서는 동일 전압에서 높은 전류 (ON 상태)와 낮은 전류 (OFF 상태)가 흐르는 쌍안정성 특성을 나타냈다. 그러나 SnO2 나노 입자가 없는 PMMA 박막으로 형성된 소자에서는 전류-전압 측정에서 쌍안정성 특성이 나타나지 않았다. 따라서 PMMA 박막 안에 삽입된 SnO2 나노 입자가 유기 쌍안정성 소자의 메모리 효과를 나타내는 원인임을 알 수 있었다. 전류-시간 측정 결과는 소자의 ON 상태 및 OFF 상태 전류가 시간에 따른 큰 변화 없이 1000 사이클 이상 지속적으로 유지 하고 있음을 보여 줌으로써 유기 쌍안정성 소자를 장시간 사용할 수 있음을 확인시켜 주었다.
-
유기물과 무기물이 결합한 유기물/무기물 나노복합체는 차세대 전자 소자 제작에 있어 저전력 및 높은 생산성으로 인해 유용한 소재로 각광받고 있다. 유기물/무기물 나노복합체에 사용되는 물질 중에서 코어-쉘 구조의 나노 입자를 사용한 나노복합체는 나노 입자의 쉘에 의한 메모리 특성의 변화로 인해 차세대 메모리 소자에 응용하려는 연구가 활발히 진행되고 있다. 그러나 코어-쉘 나노 입자가 분산되어 삽입된 고분자 박막 구조를 사용한 비휘발성 메모리의 쉘에 의한 메모리 특성 변화에 대한 연구는 비교적 미미하다. 본 연구에서는 CdTe-CdSe 나노 입자가 Poly(9-vinylcarbazol) (PVK) 박막에 분산된 구조를 기억층으로 사용하는 비휘발성 메모리 소자의 제작과 CdSe 쉘 층에 의한 메모리 특성의 변화에 대한 관찰을 수행하였다. 코어-쉘 나노입자에서 쉘의 역할을 알기 위하여 CdTe-CdSe 나노 입자와 CdTe 나노 입자를 각각 PVK에 톨루엔을 사용하여 녹여 나노 입자가 분산된 용액들을 제작하였다. 두 용액을 p-Si 기판 위에 스핀 코팅으로 도포한 후에 열을 가해 나노복합체를 형성하고 Al을 게이트 전극으로 증착한다. 제작된 두 가지 Al/CdTe-CdSe나노 입자+PVK/p-Si 소자와 Al/CdTe나노 입자+PVK/p-Si 소자는 정전용량-전압 (C-V) 측정 결과 히스테리시스 특성이 관찰되었다. CdTe-CdSe 나노 입자를 포함한 소자의 C-V 곡선의 flatband voltage shift는 0.5 V이고, CdTe 나노입자를 포함한 소자의 C-V 곡선의 flatband voltage shift는 1.1 V이다. CdTe-CdSe 나노 입자가 포함된 소자와 CdTe 나노 입자가 포함된 소자의 flatband voltage shift의 차이가 나타나는 원인에 대하여 에너지 밴드 대역도를 사용하여 설명하였다. 본 연구결과는 코어-쉘 나노 입자를 사용하는 비휘발성 메모리 소자에서 쉘에 의한 메모리 특성 변화에 대한 정보를 제공할 것이다.
-
Silicides have been commonly used in the Si technology due to the compatibility with Si. Recently the silicide has been applied in solar cells [1] and nanoscale interconnects [2]. The modulation of Ni silicide phase is an important issue to satisfy the needs. The excellent electric-conductive nickel monosilicide (NiSi) nanowire has proven the low resistive nanoscale interconnects. Otherwise the Ni disilicide (NiSi2) provides a template to grow a crystalline Si film above it by the little lattice mismatch of 0.4% between Si and NiSi2. We present the formation of Ni silicide phases performed by the single deposition and the co-deposition methods. The co-deposition of Ni and Si provides a stable Ni silicide phase at a reduced processing temperature comparing to the single deposition method. It also discusses the Schottky contact formation between the Ni silicide and the grown crystalline Si film for the solar cell application.
-
펄스 직류
$BCl_3$ 플라즈마를 이용하여 GaAs와 AlGaAs의 건식식각을 연구하였다. 공정의 주요 변수는 펄스 직류 전압(350~550V), 펄스 직류 시간($0.4{\sim}1.2{\mu}sec$ .), 펄스 직류 주파수(100~250kHz)이었다. 식각 실험 후 샘플의 식각률, 식각 선택도, 표면 형상을 비교, 분석하였다. 또한, 광학 발광 분석기(Optical Emission Spectroscopy)를 이용하여 식각하는 동안 플라즈마 방전 특성을 분석하였다. 표면 단차 측정기(Alpha-step IQ, Tencor)로 식각 깊이를 측정해 식각률을 계산하였다. 표면 거칠기 또한 단차 측정기의 표면 거칠기 프로그램을 이용하여 분석하였다. 식각 벽면과 표면 상태는 주사전자현미경(Field-emission scanning electron microscopy)을 이용하여 관찰하였다. 분석 결과는 1) 펄스 직류의 전압이 증가하면 전극에 걸리는 파워가 올라가고 GaAs와 AlGaAs의 식각률도 증가하였다. 2) 76 mTorr 공정 압력,$0.7{\mu}sec$ . 펄스 직류 시간과 200 kHz 주파수 일 때 10 sccm$BCl_3$ 펄스 직류 플라즈마에서 GaAs와 AlGaAs 둘 다 약$0.4{\mu}m/min$ 이상의 식각 속도를 보여주었다. 3) 식각 선택도는 펄스 직류의 전압이 높아지면 증가하였고, 펄스 직류 주파수의 증가도 공정 파워와 GaAs와 AlGaAs의 식각률을 증가시켰다. 4) 그러나 펄스 직류 주파수가 150kHz 이하일 때에는 GaAs와 AlGaAs가 거의 식각되지 않았다. 5) 표면 거칠기는 펄스 직류 주파수가 증가하면 미세하게 좋아졌고 플라즈마는 펄스 직류 주파수가 100~250kHz 일 때 생성되었다. 6) 펄스 직류 시간의 증가는 공정 파워, 식각률, 식각 선택도 모두의 증가를 가져왔다. 7) 광학발광분석기(OES) 데이타는$BCl_3$ 플라즈마에서 넓은 범위(450~700nm)에서의 염소(Cl) 분자 피크를 나타내었다. 8) 전자 현미경 사진은 펄스 직류 전압이 400 V보다 550 V 일 때보다 더 이방성(Anisotropic)측면과 부드러운 표면을 나타냈지만, 조금의 홈(Trench)이 발견되었다. 결론적으로 펄스 직류$BCl_3$ 플라즈마는 GaAs와 AlGaAs의 건식식각에서 우수한 결과를 나타냈었다. -
본 실험에서는 축전결합형 고주파 플라즈마와
$BCl_3$ 와 He의 혼합가스를 이용하여 화합물 반도체인 GaAs와 AlGaAs의 건식식각에 대해 연구하였다. 공정변수로는 첫째, BCl3와 He의 혼합가스 비율을 0%에서 100%까지 변화시켰다. 둘째, 고주파 파워를 50 W에서 200W 까지 변화를 주었고, 셋째, 공정압력을 120 mTorr~300 mTorr 까지 변화시켰다. 식각 실험을 마친 시료는 표면 단차 측정기와, 주사전자현미경을 이용하여 식각률과 선택비, 표면거칠기, 표면형상에 대하여 분석을 진행하였다. 또한, 광학발광분석계 (OES)를 이용하여 건식식각 실험 중 혼합가스에 의해 발생하는 플라즈마의 발광특성에 대한 실시간 분석을 하였다. 결과에 따르면, 1) 혼합가스에서 He의 비율이 20%일 때 GaAs와 AlGaAs 시료의 식각율이$0.7\;{\mu}m/min$ 와$0.6\;{\mu}m/min$ 로 가장 높게 나타났다. 2) 감광제에 대한 시료의 선택비 또한 동일 조건에서 GaAs의 경우 1 : 12, AlGaAs의 경우 1:8로 가장 높게 나타났다. 3)고주파 파워의 변화에 따른 식각률의 경우 100 W에서 GaAs 의 경우$0.6\;{\mu}m/min$ , AlGaAs의 경우$0.5\;{\mu}m/min$ 이었고, 고주파 파워가 증가할수록 식각률은 감소하였다. 4) 감광제에 대한 시료의 식각 선택비의 경우 50 W에서 GaAs 시료가 1:14, AlGaAs 시료가 1:10으로 가장 높은 선택비를 보였고 고주파 전원이 증가할수록 선형적으로 감소하였다. 5) 표면거칠기는 50~100 W 구간에서는 1.0~1.5 nm 으로 큰 증가폭이 없다가 150 W 이상에서는 3.0~5.0 nm 으로 증가하였다. 반응기의 압력이 120 mTorr에서 300 mTorr로 변화할 때 식각률과 선택비는 비교적 선형적으로 감소하였으며, 표면거칠기 또한 증가하였다. 결론적으로$BCl_3/He$ 고주파 플라즈마에서 약 20%의 He을 포함하고 있을 때 가장 우수한 건식 식각 결과를 얻었다. -
III-V반도체 태양전지는 다양한 에너지 밴드갭을 만들 수 있으며 다중접합 태양전지의 경우 흡수 전류가 커져 효율이 증가한다. 태양전지의 효율의 증가는 태양광 발전시스템의 발전 단가를 낮추는 중요한 요인이다. 우리는 효율이 높은 III-V 태양전지를 제작하기 위해 일차적으로 Ge기판 위에 GaAs를 성장하고자 한다. Ge기판과 GaAs의 격자상수는 0.07%차이로 거의 일치하나 물질의 열팽창계수가 다르고 비극성인 Ge기판 위에 극성인 GaAs를 성장 시 위상불일치(Anti Phase Domain) 나타난다. 위상불일치 현상을 줄이기 위해 성장 시 온도와 V/III비율, 성장두께 등을 달리하여 성장한다. 표면의 상태가 좋아질수록 위상불일치 현상이 작으며 단일성장 보다 두 단계 과정으로 성장 했을 때 표면의 상태가 더 좋은 결과를 바탕으로[1], 20nm 이하로 얇게 seed층을 성장하고 그 위에 두꺼운 버퍼층을 성장하는 두 단계로 진행하였다. seed층의 성장온도는
$400{\sim}550^{\circ}C$ , V/III 비율을 3.5~30으로 다양하게 바꿔가면서 표면의 상태를 비교하였다. 이때 버퍼층의 성장 온도와 V/III 비율은$680^{\circ}C$ , 192으로 일정하게 유지하였다. 표면은 SEM과 AFM을 통해 분석하였으며 결정질의 상태는 XRD 장비(Panalytical사)로 분석하고 광학적 특성은 LTPL(Accent Optical Technologies사)로 측정하였다. 실험의 결과는 seed층의 온도가 낮고 V/III 비율이 낮으며 성장률이 높았을 때 표면상태가 좋은 반면 버퍼층은 온도가 높고 V/III 비율이 높으며 성장률이 낮을 때 표면상태가 좋았다. seed층을$450^{\circ}C$ 온도에서 V/III 비율이 3.5이고 성장률이 버퍼층에 비교하여 크게 하여 성장 했을 때 표면 거칠기가 3.75nm로 작아 표면의 상태가 좋음을 확인할 수 있었다. 두 단계 성장 시 표면의 상태는 seed층의 조건에 따라 결정됨을 알 수 있었다. 표면상태가 좋았을 때 결정상태 역시 좋았으며 성장률이 바뀜에 따라 반치폭이 42~45 arcsec의 값을 나타내었다. 광학적 특성은 10K에서 1.1512eV 밴드갭 에너지를 가지고 있어 양질의 GaAs가 성장됨을 알 수 있다. -
InSb 물질은 다른 III-V족 물질들과 비교해서 bandgap이 낮고 전자 이동도가 높아, 소자 구현 시 낮은 전압으로도 고속 동작 특성을 제어할 수 있다는 장점이 있다. 그러나 Si, GaAs 또는 InP 등 쉽게 구할 수 있는 기판과 격자 부정합이 커서 상기 기판에 성장시 많은 defect가 존재하는 단점이 있다. 그러므로 이를 상기 기판에 성장하는데 meta-morphic이라 불리는 성장 기술이 요구되는 어려움이 있다. 본 발표에서 Semi-insulating GaAs 기판위에 고품질의 InSb 박막을 성장하기 위해 grading buffer technique을 도입하며 이에 대한 여러 가지 비교실험과 함께 최적의 성장 방법과 기술에 대해 논의 한다. GaAs와 InSb 물질사이의 bandgap과 격자 부정합을 고려하여 AlSb 물질을 먼저 성장하면서 동시에 InxAl1-xSb로 변화를 주어 InSb 박막이 성장되도록 하였다. (
$x=0{\rightarrow}1$ ). 성장 온도 변화 및 In과 Al의 조성비에 변화를 주어 grading 기법으로 성장하였고 상기 grading buffer위에 InSb 박막을 0.65um 성장하였다.$10um{\times}10um$ AFM 측정결과 2.2nm 정도의 표면 거칠기를 가지며 상온에서의 전자 이동도는 약 46, 300 cm2/Vs 이고 sheet electron density는 9.47(e11) /cm2의 결과를 확인하였다. 실험결과 InSb 박막을 올리는데 있어 가장 고려할 사항인 GaAs 기판과 InSb 박막 사이에 존재하는 격자 부정합을 어떻게 해결하는가에 대해서, 기존의 여러가지 방법과 비교해서 grading buffer 기술이 유효하다는 것을 증명하였다. -
최근 반도체 나노막대의 구조적, 광학적 특성을 이용한 새로운 개념의 광학 및 전자 소자 개발을 위한 연구가 활발히 진행되고 있다. 기존의 반도체 나노막대는 gold를 촉매로 하여 성장한 것이 대부분이었지만, gold 촉매는 다른 물질에 빠르게 확산되기 때문에 반도체 특성에 좋지 않은 영향을 미친다. 이러한 이유로 aluminum이나 titanium과 같은 물질을 gold 촉매 대신 사용하거나 촉매를 사용하지 않는 성장 방법에 관한 이슈들이 주목받고 있다. 본 연구에서는 금속 촉매 물질을 사용하지 않고 반도체 나노막대 성장을 시도하였다. 금속 촉매 없이 반도체 나노막대를 성장하는 것은 반도체 특성에 악영향을 미치지 않을 뿐더러, 공정 과정이 용이하다는 장점 때문에 최근 많이 시도되고 있다. 본 실험에서는 Molecular Beam Epitaxy (MBE) 방법을 이용하여 (100) GaAs 기판 위에 GaAs 나노막대를 성장하였다. 금속 촉매 없이 반도체 나노막대를 성장하기 위해 에칭된
$SiO_2$ 층을 이용하였다. GaAs 기판 위에 형성된 35nm 두께의$SiO_2$ 를 20:1 BOE 용액에서 10초 간 에칭하면$SiO_2$ 상에 pinhole을 형성하는데 이것이 gallium과 반응하면 나노막대 성장을 유도하는 seed가 만들어져 촉매를 사용하지 않고도 나노막대 성장이 가능하다. GaAs 나노막대 성장을 위해 BOE 에칭 조건, gallium incubation time 유무, GaAs 나노막대 성장온도, galiium과 arsenic의 성분 비율, GaAs 양 등을 변화시켜 실험하였고 이렇게 성장된 나노막대가 SEM image 상에서 관찰되었다. -
적외선 영역에서의 밴드갭 에너지를 가지고 있는 III-V 족 화합물 반도체 물질인
$InAs_xSb_{1-x}$ 는 좋은 성장 안정성과 높은 전자, 홀 이동도를 가지며, 제작 비용이 적게 드는 등 적외선 광소자 제작에 많은 이점을 가지고 있기 때문에 그에 관한 연구가 최근 활발히 진행 되고 있다. 하지만 이러한$InAs_xSb_{1-x}$ 를 소자 제작에 이용하기 위해서는 임의의 As 함량에 따른 InAsSb의 물질의 광학적 특성 정보가 필요하다. 본 연구에서는 1.5~6.0 eV 에너지 구간에서$InAs_xSb_{1-x}$ ($0{\leq}x{\leq}1$ ) 화합물의 임의의 As 함량에 따른 유전함수를 분석하고 그 분석 변수들을 보고하고자 한다. 기성박막층착장치 (molecular beam epitaxy)를 이용하여 GaAs 기판 위에 성장 시킨$InAs_xSb_{1-x}$ (x = 0.000, 0.127, 0.337, 0.491, 0.726, 1.000) 박막의 순수한 유전함수$\varepsilon$ 을 화학적 에칭을 통해 산화막 층을 제거하여 타원편광분석법을 이용하여 얻었다. 측정된 유전율 함수는 Gaussian-broadened polynomial 들의 합으로서 반도체 물질의 유전함수를 정확히 기술하는 변수화 모델을 이용하여 재현하였다. 변수화 모델을 통해 얻어진 각각의 변수들을 As 조성비 x 에 대한 다항식으로 피팅하여 임의의 As 조성비에 대한 변수 값을 얻었다. 그 결과 임의의 조성비에 따른$InAs_xSb_{1-x}$ ($0{\leq}x{\leq}1$ ) 의 유전율 함수를 얻어낼 수 있었다. 우리는 이러한 결과가 물질의 실시간 성장 모니터링이나 다층구조 분석, 광소자의 제작 등에 유용한 정보를 제공할 것으로 확신한다. -
Boron Nitride (BN) doped GeSbTe films were grown by the ion beam sputtering deposition (IBSD). The in-situ sheet resistance data and the x-ray diffraction patterns showed the crystallization is suppressed due to the BN incorporation. The phase change speed in BN doped GeSbTe films were investigated using the static tester equipped with nanosecond pulsed laser. The phase change speed for BN doped GST films become faster than the corresponding values for an undoped GST film. The Johnson-Mehl-Avrami(JMA) plot and Avrami coefficient for laser crystallization showed that the change in growth mode during the laser crystallization is a most important factor for the phase change speed in the BN doped GST films. The JMA results and the atomic force microscopy (AFM) images indicate that the origin of the change in the crystalline growth mode is due to an increase in the number of initial nucleation sites which is produced by the incorporated BN. In addition, the retension properties for the laser writing/erasing are remarkably improved in BN doped GeSbTe films owing to the stability of the incorporated BN.
-
기존 실리콘 박막 태양 전지는 적외선에 대한 감응도와 흡수도가 낮아서 광흡수율을 증가시킬 경우 효율의 효과적인 개선이 기대되어진다. 이를 개선하기 위해서 밴드갭이 Si에 비해 상대적으로 낮은 Ge을 도입함으로써 Si와 Ge 화합물을 형성할 경우 결정상태와 수소 함유량에 따라 밴드갭 조절이 가능하다. 또한 Ge는 Si에 비해 빛에 대한 감응도가 우수하여 광흡수율을 증가시킬수 있다. 단 SiGe 박막의 Ge 량이 일정량이상 많아질 경우 박막 내 결함 등의 생성으로 광변환 효율이 오히려 감소하므로 Ge 량의 적정화가 필요하다. 본 실험에 사용된 SiGe:H Layer는
$SiH_4$ 가스와$GeH_4$ 가스를 혼합하여 증착하였고 증착두께는 150nm로 고정하였으며 증착장비는 PECVD를 이용하였다. 파워는 플라즈마의 방전특성을 알아본 후 최소파워를 이용하여 증착하였다. 이는 증착 시 플라즈마에 의한 박막 손상을 최소화하기 위함이다. Ellipsometry를 이용하여 박막의 두께와 optical bandgap을 측정하였다. 박막의 특성을 평가하기 위해서 STA 장비를 이용하여 dark conductivity, photo conductivity, activation energy 등을 측정하였고, MDC를 이용해 C-V 곡선을 측정하였고, 이를 terman method를 이용하여$D_{it}$ 를 계산하였다. -
pin-형 비정질 실리콘 태양전지에서 p-층은 창물질로서 전기 전도도가 크고, 빛 흡수가 작어야 한다. p층의 두께가 얇으면 i층에서 충분한 내부전위를 얻을 수 없어 개방전압이 작아진다. 반대로 p-층 두께가 두꺼워지면 p-층 자체에서 빛 흡수가 증가하고, 높은 불순물 농도(>
$10^{20}/cm^3$ )에 의한 표면재결합이 문제가 되어 변환효율이 감소한다. 밴드갭이 큰 물질로 창층을 만들면 짧은 파장의 입사광이 직접 i-층을 비추므로 단락전류와 곡선인자를 증가시킬 수 있다. 본 실험에서는 비정질 실리콘 증착과 박막 특성 분석을 위하여,$5cm{\times}5cm$ 크기의 eagle 2000 glass(유리)와 p형 실리콘 wafer가 사용되었다. 투과도, 흡수도, Raman, 암전도도 와 광전도도 특성 측정에 유리 기판에 증착된 박막을, 두께 측정, FTIR 측정에는 실리콘 기판에 증착된 박막이 각각 사용되었다. p형 비정질 실리콘 증착에는$SiH_4$ ,$H_2$ ,$B_2H_6$ 가스를 사용하였고, 플라즈마 형성에는 13.56MHz의 RF 소스가 사용하였다.p층은$SiH_4$ 가스와$H_2$ 가스비가 1:5인 조건에서$B_2H_6$ 을 도핑하여 형성하였다.$B_2H_6$ 가스량을 변화시키며 형성하였으며,$B_2H_6$ 가스량이 증가함에 따라 암전도도가 증가하였으나, 광학적 밴드갭이 감소하였다.$H_2/SiH_4$ 가스 비가 0.001일 때 밴드갭은 1.76으로 i층보다 높게 형성되었으며, 암전도도는$10^{-7}$ 이었다. -
We report a high crystalline nonpolar a-plane (11-20) GaN on r-plane (1-102) sapphire substrates with
$+0.15^{\circ}$ ,$-0.15^{\circ}$ ,$+0.2^{\circ}$ ,$-0.2^{\circ}$ and$+0.4^{\circ}$ misoriented by metalorganic chemical-vapor deposition (MOCVD). The multi-quantum wells (MQWs) active region is consists of 5 periods the nonpolar a-plane InGaN/GaN (a-InGaN/GaN) on a high quality a-plane GaN (a-GaN) template grown by using the multibuffer layer technique. The full widths at half maximum (FWHMs) of x-ray rocking curve (XRC) obtained from phiscan of the specimen that was grown up to nonpolar a-plane GaN layers with double crystal x-ray diffraction. The FWHM values of$+0.4^{\circ}$ misoriented sapphire substrate were decreased down to 426 arc sec for$0^{\circ}$ and 531 arc sec for$-90^{\circ}$ , respectively. Also, the samples were characterized by photoluminescence (PL). -
질화갈륨 기반의 III족-질화물 계열의 반도체 물질은 녹색-자외선 영역의 발광다이오드에 응용되어 왔으며 고효율, 고휘도 발광소자의 구현 및 성능 향상을 위해 많은 연구가 진행되었다. 일반적으로 널리 사용되어온 c축 방향으로 성장된 질화갈륨 기반 발광다이오드에서는 활성층의 에너지 밴드구조가 내부전기장에 의해 변형되어 전자와 정공의 재결합 확률이 저하된다. c축 방향으로 형성되는 내부전기장은 축방향으로의 자발적 분극화와 높은 압전 분극 현상에 기인한다. 이와 같은 분극 성장에서의 내부양자효율 저하 현상을 해결하기 위하여 내부 전기장이 존재하지 않는 a축과 m축과 같은 무분극 방향으로의 성장이 집중적으로 연구되고 있다. 현재 사파이어 기판위에서 무분극 성장된 박막은 높은 밀도의 결함이 발생하여 고품위의 발광다이오드 동작에 어려움을 겪고 있다. 최근 결함 밀도를 낮추고 높은 결정성을 갖는 무분극 질화갈륨 박막을 성장하기 위하여 2-단계 성장 방법, 나노구조층 삽입, 산화규소 마스크 패턴 등 다양한 성장 방법들이 연구되어 주목할 만한 연구 결과들이 보고되고 있다. 다양한 성장 방법들에 의해 성장된 박막들은 고유한 특성들을 보이는데, 특히 박막 성장방법에 따라 박막 내부에 형성되는 깊은 준위의 특성들은 발광다이오드의 소자 특성에도 큰 영향을 미치게 되므로 무분극 박막에서의 깊은 준위에 대한 연구가 필요하다. 본 연구에서는 금속-유기 화학기상증착 방법으로 r면의 사파이어기판 위에 a면의 질화갈륨을 성장시켰다. 고품질의 결정성을 구현하기 위해 저온 핵형성층, 3차원 성장층, 2차원 중간온도 성장층, 2차원 성장층의 4개 버퍼층을 사용하였으며, 질화규소 나노구조층을 삽입함으로써 고품 위의 a면 질화갈륨 박막을 구현하였다. 성장된 a면 질화갈륨 박막에 형성된 깊은 준위들은 접합용량과도분광법을 이용하여 분석되었으며 질화규소 삽입층의 유무에 따른 깊은 준위의 특성 차이에 대한 연구를 수행하였다.
-
GaN는 상온에서 3.4 eV의 넓은 밴드갭을 갖는 직접천이형 반도체로 우수한 전기적/광학적 특성 및 화학적 안정성으로 발광 다이오드 및 레이저 다이오드 등과 같은 광전소자 응용을 위한 소재로 많은 연구가 진행되어왔다. 특히, GaN 나노구조의 경우 낮은 결함밀도, 빠른 구동 및 고집적 특성 등을 가지기 때문에 효과적으로 소자의 광학적/전기적 특성을 향상시킬 수 있어 나노구조 성장을 위한 연구가 활발히 진행되고 있다. 최근에는 Metal organic vapor deposition (MOCVD), hot filament chemical vapor deposition (CVD), molecular beam epitaxy (MBE), hydride vapor phase epitaxy (HVPE) 등 다양한 방법을 통해 성장된 GaN 나노구조가 보고되고 있다. 하지만 고가 장비 사용 및 높은 공정 온도, 복잡한 공정과정이 요구되며 크기조절, 조성비, 도핑 등과 같은 해결되어야 할 문제가 여전히 남아있다. 본 연구에서는 나노구조를 형성하기 위하여 보다 간단한 방법인 전기화학증착법을 이용하여 GaN 나노구조를 ITO 및 FTO가 증착된 전도성 glass 기판 위에 성장하였고 성장 메커니즘 및 그 특성을 분석하였다. GaN 나노구조는 gallium nitrate와 ammonium nitrate가 혼합된 전해질 용액에 Pt mesh 구조 및 전도성 glass 기판을 1cm의 거리를 유지하도록 담가두고 일정한 전압을 인가하여 성장시켰다. Pt mesh 구조 및 전도성 glass 기판은 각각 상대전극 (counter electrode) 및 작업전극 (working electrode)으로 사용되었고 전해질 용액의 농도, 인가전압, 성장시간 등의 다양한 조건을 통하여 GaN 나노구조를 성장하고 분석하였다. 성장된 GaN 나노구조 및 형태는 field emission scanning electron microscopy (FE-SEM)를 이용하여 분석하였고, energy dispersive X-ray (EDX) 분석을 통하여 정량 및 정성적 분석을 수행하였다. 그리고 성장된 GaN 나노구조의 결정성을 조사하기 위해 X-ray diffraction (XRD)을 측정 및 분석하였다. 또한, photoluminescence (PL) 분석으로부터 GaN 나노구조의 광학적 특성을 분석하였다.
-
Recently light emitting diodes (LEDs) have been expected as the new generation light sources because of their advantages such as small size, long lifetime and energy-saving. GaN, as a wide band gap material, is widely used as a material of LEDs and GaN nanorods are the one of the most widely investigated nanostructure which has advantages for the light extraction of LEDs and increasing the active area by making the cylindrical core-shell structure. Lately GaN nanorods are fabricated by various techniques, such as selective area growth, vapor-liquid-solid (VLS) technique. But these techniques have some disadvantages. Selective area growth technique is too complicated and expensive to grow the rods. And in the case of VLS technique, GaN nanorods are not vertically aligned well and the metal catalyst may act as the impurity. So we just tried to grow the GaN nanorods on Si substrate without catalyst to get the vertically well aligned nanorods without impurity. First we deposited the AlN buffer layer on Si substrate which shows more vertical growth mode than sapphire substrate. After the buffer growth, we flew trimethylgallium (TMGa) as the III group source and ammonia as the V group source. And during the GaN growth, we kept the ammonia flow stable and periodically changed the flow rate of TMGa to change the growth mode of the nanorods. Finally, as the optimization, we changed the various growth conditions such as the growth temperature, the working pressure, V/III ratio and the doping level. And we are still in the process to reduce the diameter of the nanorods and to extend the length of the nanorods simultaneously. In this study, we focused on the shape changing of GaN nanorods with different growth conditions. So we confirmed the shape of the nanorods by scanning electron microscope (SEM) and carried out the Photoluminescence (PL) measurement and x-ray diffraction (XRD) to examine the crystal quality difference between samples. Detailed results will be discussed.
-
CdS thin films were deposited on glass substrates by R.F. magnetron sputtering method and some of the samples were treated by rapid thermal annealing (RTA) process. Effects of thermal annealing on structural and optical properties were investigated at different temperatures ranging from 100 to
$600^{\circ}C$ . The crystallographic structure of the films and the size of the crystallites in the films were studied by X-ray diffraction. The crystallite sizes were found to increase, and the X-ray diffraction patterns were seen to sharpen by annealing. Optical properties of the films were calculated using the envelope method and the photoluminescence measurements. The optical properties of the films were seen to be dependent on the film thicknesses. The energy gap of the films was found to decrease by annealing. The band edge sharpness of the optical absorption was seen to oscillate by thermal annealing. Annealing over$400^{\circ}C$ was seen to degrade the optical properties of the film. The best annealing temperature for the films was found to be$400^{\circ}C$ from the optical properties. It is observed that the CdS film annealed at$400^{\circ}C$ reveals the strongest UV emission intensity and narrowest full width at half maximum among the temperature ranges studied. The enhanced UV emission from the film annealed at$400^{\circ}C$ is attributed to the improved crystalline quality of CdS thin film due to the effective relaxation of residual compressive stress and achieving maximum grain size. The results show that heat treatments under optimal annealing condition can provide significant improvements in the properties of CdS thin films. -
The PR transitions in asymmetric dot-in-double-quantum-well (DdWELL) photodetector is identified by bias-dependent spectral behaviors. Discrete n-i-n infrared photodetectors were fabricated on a 30-period asymmetric InAs-QD/[InGaAs/GaAs]/AlGaAs DdWELL wafer that was prepared by MBE technique. A 2.0-monolayer (ML) InAs QD ensemble was embedded in upper combined well of InGaAs/GaAs and each stack is separated by a 50-nm AlGaAs barrier. Each pixel has circular aperture of 300 um in diameter, and the mesa cell (
$410{\times}410\;{\mu}m^2$ ) was defined by shallow etching. PR measurements were performed in the spectral range of$3{\sim}13\;{\mu}m$ (~ 100-400 meV) by using a Fourier-transform infrared (FTIR) spectrometer and a low-noise preamplifier. The asymmetric photodetector exhibits unique transition behaviors that near-/far-infrared (NIR/FIR) photoresponse (PR) bands are blue/red shifted by the electric field, contrasted to mid-infrared (MIR) with no dependence. In addition, the MIR-FIR dual-band spectra change into single-band feature by the polarity. A four-level energy band model is proposed for the transition scheme, and the field dependence of FIR bands numerically calculated by a simplified DdWELL structure is in good agreement with that of the PR spectra. The wavelength shift by the field strength and the spectral change by the polarity are discussed on the basis of four-level transition. -
본 연구에서는 태양전지의 활성영역에 삽입할 InAs 양자점에 AlxGax-1As 장벽층을 삽입하여 그 두께변화에 따른 광학적 특성 변화를 photoreflectance spectroscopy (PR)과 photoluminescence (PL)를 이용하여 연구하였다. 본 연구에 사용된 InAs/AlGaAs 양자점 구조는 GaAs (100) 기판 위에 GaAs buffer layer를 500 nm 성장 (
$Ts=580^{\circ}C$ ) 후 기판온도$470^{\circ}C$ 에서 InAs 양자점, GaAs cap 층과 AlxGax-1As 장벽층 순서로 5 층의 InAs/GaAs/AlxGax-1As 양자점 구조를 형성하였다. GaAs cap 층의 두께는 4 nm로 고정하고 AlGaAs 장벽층 두께를 0~6 nm 까지 변화시켰다. 각 양자점 층 사이에 AlxGax-1As 장벽층의 삽입 유무에 따라 PR 신호에서 Franz-Keldysh oscillation (FKO)의 주기 변화가 관측되었다. AlGaAs 두께가 증가 할수록 PL 신호의 세기가 증가함을 보였으며 PL 신호의 온도의존 특성이 변화됨을 관측할 수 있었다. AlGaAs 장벽층 대신 AlAs 장벽층을 삽입한 시료에서도 유사한 경향성을 관측하였으며, 이는 양자점에 구속된 운반자의 터널링 현상과 높은 장벽층에 의한 운반자의 구속 강도의 변화에 의한 것으로 사료된다. 특히 장벽층의 유무에 따른 FKO의 변화는 시료의 표면 전기장의 변화에 기인한 것으로 운반자의 구속효과뿐만 아니라 InAs 양자점 성장중 형성된 표면결함 밀도의 변화에 의한 것으로 추정하였다. -
단일접합
$n^+-p/p^+$ (p-emitter) 및$p^+-n/n^+$ (n-emitter) GaAs 태양전지 (Solar Cell)를 각각 제작하여, 그 소자특성을 비교 분석하였다. AM 1.5 (1 sun,$100\;mW/cm^2$ ) 표준광을 조사할 경우, p-emitter/n-emitter 소자의 개방회로전압 (Voc), 단락회로전류 (Jsc), 충전율 (FF), 효율 (Eff)은 각각 0.910/0.917 V,$15.9/16.1\;mA/cm^2$ , 78.7/78.9, 11.4/12.1%로서, n-emitter 소자가 다소 크지만 거의 비슷한 값을 가지고 있었다. 태양전지의 집광 특성을 분석하기 위하여 조사광의 출력에 따른 태양전지의 소자 특성을 측정하였다. 조사광 강도가 높아짐에 따라 p-emitter 소자의 특성은 점진적으로 증가하는 반면, n-emitter는 1.3 sun에서 약 1.4 배의 최대 효율 (17%)을 나타내고 조사광이 더 증가함에 따라 급격히 감소하는 특성을 보여 주었다. (그림 참고) 본 연구에서 사용한 2종류 소자의 층구조는 서로 반대되는 대칭구조로서, 모두 가까이에 위치하고 있는 표면전극 (surface finger) 방향으로 소수전하 (minority carrier)가 이동하고 다수전하 (majority carrier)는 기판 (두께$350\;{\mu}m$ )을 통한 먼 거리의 후면전극 (back electrode)으로 표류 (drift)되도록 설계되어 있다. 이때, n-emitter에서는 이동도 (mobility)와 확산길이 (diffusion length)가 높은 전자가 후면전극으로 이동하기 때문에 적정밀도의 전자-정공 쌍 (EHP)이 여기될 경우에는 Jsc와 Eff가 극대화되지만, 조사광 강도 또는 EHP가 더 높아질 경우에는 직렬저항의 증가와 함께 전류-전압 (I-V)의 이상인자 (ideality factor)가 커짐으로서 FF와 효율이 급격히 감소한 결과로 분석된다. 현재 전산모사를 통한 자세한 분석을 진행하고 있으며, 본 결과는 효율 극대화를 위한 최적 층구조 및 도핑 밀도 설계에 활용할 수 있을 것으로 판단된다. -
We investigated physical properties of CuIn1-xGaxSe2 thin films grown by co-evaporator under various Cu environments. To study the effect of the Cu environments on absorber layer properties, thin films were fabricated under various reaction periods for different Cu flux on 2 stage process. We find the structural and electrical characteristics were affected by the reaction period on 2 stage process. The correlation between Cu flux variation on 2 stage process and solar cell performance was studied. The structural and electrical properties for various Cu flux were discussed.
-
Dimethyl sulphoxide (DMSO) is one of the widely-used secondary dopants in order to enhance the conductivity of poly(3, 4-ethylenedioxy-thiophene):poly(styrene sulfonate) (PEDOT:PSS) film. In this work, we investigated the effect of DMSO doping in to PEDOT:PSS on the electrical performance of the bulk heterojunction photovoltaics consisting of poly(3-hexylthiophene-2, 5-diyl) and phenyl-C61-butyric acid methyl ester. Correlation between the power conversion efficiency and the mechanism of improving conductivity, surface morphology, and contact properties was examined. The PEDOT:PSS films, which contain different concentration of DMSO, have been prepared and annealed at different annealing temperatures. The mixture of DMSO and PEDOT:PSS was prepared with a ratio of 1%, 5%, 15%, 25%, 35%, 45%, 55% by volume of DMSO, respectively. The DMSO-contained PEDOT:PSS solutions were stirred for 1hr at
$40^{\circ}C$ , then spin-coated on the ultra-sonicated glass. The spin-coated films were baked for 10min at$65^{\circ}C$ ,$85^{\circ}C$ , and$120^{\circ}C$ in air. In order to investigate the electrical performance, P3HT:PCBM blended film was deposited with thickness of 150nm on DMSO-doped PEDOT:PSS layer. After depositing 100nm of Al, the device was post-annealed for 30min at$120^{\circ}C$ in vacuum. The fabricated cells, in this study, have been characterized by using several techniques such as UV-Visible spectrum, 4-point probe, J-V characteristics, and atomic force microscopy (AFM). The power conversion efficiency (AM 1.5G conditions) was increased from 0.91% to 2.35% by tuning DMSO doping ratio and annealing temperature. It is believed that the improved power conversion efficiency of the photovoltaics is attributed to the increased conductivity, leading to increasing short-circuit current in DMSO-doped PEDOT:PSS layer. -
반사 방지막은 LEDs, 태양전지, 센서 등의 광전소자의 효율을 향상시키는데 사용되고 있다. 일반적으로 사용되는 단층 또는 다층 박막의 반사방지막은 thermal expansion mismatch, adhesion, stability 등의 문제점을 가지고 있다. 따라서, 단층 또는 다층 박막의 반사방지막 대신에 파장이하의 주기를 갖는 구조(subwavelength structure, SWS)의 반사방지막 연구가 활발히 진행되고 있다. 입사되는 태양 스펙트럼의 파장보다 작은 주기를 갖는 SWS 구조는 Fresnel 반사율을 감소시켜 빛의 손실을 줄일 수 있다. 이러한 SWS 반사 방지막을 제작하기 위해서는 에칭 마스크가 필요하다. 에칭 마스크 제작을 위해서 사용되는 장비로는 홀로그램, 전자빔, 나노임프린트와 같은 리소그라피 방법이 있으나, 이들은 제작 비용이 고가이며 복잡한 기술을 필요로 한다. 따라서 본 실험에서는 리소그라피 방법보다 간단하고 저렴한 self-assembled Au 나노 입자 에칭 마스크를 이용한 실리콘 SWS 반사 방지막을 제작하여 구조적 및 광학적 특성을 연구하였다. Au박막은 열증발증착(thermal evaporator)법에 의해 실리콘 기판 위에 증착되었고, 급속 열처리(rapid thermal annealing, RTA)를 통해 Au 나노입자 에칭 마스크를 형성시켰다. 실리콘 SWS 반사방지막은 식각 가스
$SiCl_4$ 를 기반의 유도결합 플라즈마(inductively coupled plasma, ICP) 장비를 사용하여 제작되었다. Au 나노 입자의 마스크 패턴 및 에칭된 실리콘 SWS 프로파일은 scanning electron microscope를 사용하여 관찰하였으며, UV-Vis-NIR spectrophotometer를 사용하여 300-1100 nm 파장 영역에 따른 반사율을 측정하였다. ICP 에칭 조건을 변화시켜 가장 낮은 반사율을 갖는 최적화된 실리콘 SWS 반사방지막을 도출하였다. 최적화된 구조에 대해서, 실리콘 SWS 반사방지막은 벌크 실리콘 (>35%)보다 더 낮은 5% 이하의 반사율을 나타냈다. -
적외선 센서는 빛의 유무에 관계없이 물체 또는 인체에서 발산하는 적외선을 감지한다. 이러한 센서를 전자 및 디스플레이 시스템과 연동하면 열영상 시스템이 되는데, 이는 전방 감시, 플랜트 감시, 보안, 방범용으로 많이 사용되며, 특히 자동차 야간 운전자 보조용으로 사용되어 최첨단, 고부가가치를 지니고 있는 핵심부품이다. 비냉각형 적외선 센서인 마이크로볼로미터는 상온에서 작동하므로 극저온 Cooler가 불필요하며, 무게와 부피가 작아 각종 시스템에 부착가능하다. 특히 볼로미터형 적외선 센서는 용량이 적은 TE cooler로 상온으로 안정화를 시키며, 진공으로 유지되는 금속 또는 세라믹 패키지를 사용하게 된다. 본 연구에서는 마이크로 볼로미터용 진공패키지를 제작하여 패키지 조립 및 측정기술에 대해 조사하였다. 패키지는 금속재질인 kovar를 사용하여 제작되었고, 내부에 TE Cooler와 장수명 진공유지를 위한 getter, 그리고 온도센서 및 볼로미터 센서 칩을 장착하여 조립하였다. 패키지 Cap ass'y와 base envelop의 솔더링 공정은 약
$200^{\circ}C$ 에서 수행하였으며, evacuation system을 이용하여 5일 동안 패키지 bake-out 공정을 수행하였다. 이 후 getter를 활성화시키고, seal-off 공정으로 진공 기밀을 유지하였다. 진공 패키지의 기밀성은$6{\times}10^{-9}\;std.cm^3/sec$ 로 기밀성을 유지하였다. 볼로미터 센서의 반응도는$10^2\;V/W$ 이상을 나타내었으며, 탐지도는$2{\times}10^8\;cm-Hz^{1/2}/W$ 를 나타내었다. -
SiO2박막을 이온 감지막으로 이용한 pH농도센서를 제작하였다. 현재 많은 연구중인 pH센서, pH-ISFET(pH-Ion Sensitive Field Effect Transistor)는 용액과 기준전극간의 전기화학적 변위차를 이용하여 pH를 센싱한다. pH-ISFET는 기존 CMOS공정을 그대로 이용할 수 있고, 이온감지막의 변화만으로 다양한 센서를 제작할 수 있어 최근 많은 연구가 진행 중이다. 하지만 FET를 제작하기 위한 공정의 복잡성과 용액의 전위를 정해주고 FET에 바이어스를 인가해줄 기준전극이 반드시 필요하다는 제한성이 있다. 따라서 본 연구에서는 SOI 기판을 이용하여 간단한 구조의 pH센서를 제작하였다. 센서는 (100)결정면을 가지는 p-타입 SOI(Silicon On Insulator)기판을 사용하였으며 포토리소그래피 공정을 이용하여 back-gated MOSFET구조로 제작하였다. 이온감지막으로 사용할 SiO2박막은 RF 스퍼터링을 이용하여
$100{\AA}$ 증착하였다. 바이어스는 기존 pH-ISFET와는 다르게 기준전극 대신 기판을 backgate로 사용하여 FET에 바이어스를 인가해 주었다. pH 용액 주입을 위해 PDMS재질의 챔버를 제작하고 실리콘글루를 이용하여 센서에 부착하였다. pH12부터 pH4까지 단계적으로 누적시키며 챔버에 주입하였고, pH에 따른 드레인전류의 변화를 관찰하였다. pH용액을 챔버에 주입시, pH농도에 따라 제작된 센서의 문턱전압이 오른쪽으로 이동하는 결과를 관찰할 수 있었다. 결과적으로, 구조가 간단한 pseudo MOSFET을 이용하여 pH센서의 적용가능성을 확인하였으며 SiO2박막 역시 본 pH센서의 이온감지막의 역할과 센서의 안정성을 향상시킬 수 있다는 점을 확인하였다. -
Low-temperature grown (LTG) InGaAs epilayers were grown by MBE technique for studying a correlation between terahertz (THz) emission and the intrinsic defects. The 1.2-um-thick Be-compensated LTG-InGaAs epilayers were prepared on SI-InP:Fe substrate at
$200-250^{\circ}C$ , and subsequently in-situ annealed under As environment at$550^{\circ}C$ for 5-30 minutes. The carrier concentration/mobility and the crystalline structure were analyzed by the Hall effect and the x-ray diffraction (XRD), respectively, and the carrier lifetime were determined by the fs time-resolved pump-probe spectroscopy. THz generation from LTG-InGaAs was carried out by a Ti-sapphire laser (800 nm) of a pulse width of 190 fs at a repetition of 76 MHz. Figure shows the spectral amplitude of generated waves in the THz region. As the growth temperature of epilayer increases, the amplitude is enhanced. However, two samples grown at$200^{\circ}C$ , as-grown and annealed, show almost no difference in the spectral amplitude. This suggests that the growth temperature is critical in the formation of defect states involved in THz emission. We are now investigating the correlations between the XRD band attributed to defects, the Hall parameter, and the spectral amplitude of generated THz wave. -
최근 광전자 분야에서는 미래 에너지 자원에 대한 관심과 함께 GaN 기반 발광다이오드 및 태양전지 연구가 활발히 진행되고 있다. GaN는 높은 전자 이동도와 높은 포화 속도 등의 광전자 소자에 유리한 특성을 가지고 있으나, 고 인듐 함유량과 막질의 우수한 특성을 동시에 구현하는 것은 매우 어렵다. 이를 극복하기 위한 방법으로써 선택 영역 박막 성장법(Selective Area Growth)은 마스크 패터닝을 통해 제한된 영역에서만 박막을 성장하는 방법으로써 GaN의 막질을 향상 시킬 수 있는 방법으로 주목받고 있다. 본 논문에서는 대면적 기판에서 GaN의 막질 향상뿐만 아니라 고인듐 InGaN 박막 성장을 위하여 서브마이크로미터 주기와 크기를 갖는 홀 패턴을 포토리소그라피 공정 최적화를 통해 구현할 수 있는 방법에 대해 논의한다. 그림. 1은 사파이어 기판 위에 선택 영역 박막 성장법을 이용하여 성장한 n-GaN/활성층/p-GaN의 구조를 나타낸 그림이다. 이를 통하여 서브마이크로미터 스케일의 반극성 InGaN면 위에 높은 인듐 함유량을 가지면서도 우수한 특성을 갖는 박막을 얻을 수 있다. 본 실험을 위하여 사파이어 기판 위에 SiO2를 증착한 후 포토레지스트(AZ5206)을 도포하고 포토리소그라피 공정을 진행하여 2um 크기 및 간격을 갖는 패턴을 형성했다. 그림. 2는 AZ5206에 UV를 조사(5초)하고 현상(23초)한 패턴을 윗면(그림. 2(a))과
$45^{\circ}$ 기울인 면(그림. 2(b)) 에서 본 SEM(Scanning Electron Microscope) 사진이다. 이를 통해 약 2.2um의 홀 패턴이 선명하게 형성 됨을 볼 수 있다. 그 후 수백나노 직경의 홀을 만들기 위해서 리플로우 공정을 수행한다. 그림. 3은 리플로우 온도에 따른 패턴의 홀 모양을 AFM(Atomic Force Microscope)을 이용하여 측정한 표면의 사진이다. 이를 통해 2차원 평면에서 리플로우 온도 및 시간에 따른 변화를 볼 수 있다. 그림.3의 (a)는 리플로우 공정을 진행하기 전 패턴이고, (b)는$150^{\circ}C$ 에서 2분, (c)는$160^{\circ}C$ 에서 2분 (d)는$170^{\circ}C$ 에서 2분 동안 리플로우 공정을 진행한 패턴이다.$150^{\circ}C$ 와$160^{\circ}C$ 에서는 직경에 큰 변화가 없었고,$160^{\circ}C$ 에서는 시료별 현상 시간 오차에 따라 홀의 크기가 커지는 경향이 나타났다. 그러나$170^{\circ}C$ 에서 2분간 리플로우 한 시료 (그림. 3(d))의 경우는 홀의 직경이 ~970nm 정도로 줄어든 것을 볼 수 있다. 홀의 크기를 보다 명확히 표현하기 위해 그림.3에 대응시켜 단면을 스캔한 그래프가 그림.4에 나타나 있다. 그림.4의 (a) 및 (b)의 경우 포토레지스트의 높이 및 간격이 일정하므로, 리플로우에 의한 영향은 거의 없었다. 그림. 4(c)의 경우 포토레지스트의 높이가 그림.4(a)에 비해 ~25nm 정도 낮은 것으로 볼 때, 과도 현상 및 약간의 리플로우가 나타났을 가능성이 크다. 그림. 4(d)에서는 ~970nm의 홀 크기가 나타나서 본 연구에서 목표로 하는 나노 홀 크기에 가장 가까워짐을 확인할 수 있었다. 따라서,$170^{\circ}C$ 이상의 온도와 2분 이상의 리플로우 시간 조건에서 선택 영역 성장을 위한 나노 홀 마스크의 크기를 제어할 수 있음을 확인하였다. -
As the trench width in the interconnect technology decreases down to nano-scale below 50 nm, superconformal gap-filling process of Cu becomes very critical for Cu interconnect. Obtaining superconfomral gap-filling of Cu in the nano-scale trench or via hole using MOCVD is essential to control nucleation and growth of Cu. Therefore, nucleation of Cu must be suppressed near the entrance surface of the trench while Cu layer nucleates and grows at the bottom of the trench. In this study, suppression of Cu nucleation was achieved by treating the Ru barrier metal surface with capacitively coupled hydrogen plasma. Effect of hydrogen plasma pretreatment on Cu nucleation was investigated during MOCVD on atomic-layer deposited (ALD)-Ru barrier surface. It was found that the nucleation and growth of Cu was affected by hydrogen plasma treatment condition. In particular, as the plasma pretreatment time and electrode power increased, Cu nucleation was inhibited. Experimental data suggests that hydrogen atoms from the plasma was implanted onto the Ru surface, which resulted in suppression of Cu nucleation owing to prevention of adsorption of Cu precursor molecules. Due to the hydrogen plasma treatment of the trench on Ru barrier surface, the suppression of Cu nucleation near the entrance of the trenches was achieved and then led to the superconformal gap filling of the nano-scale trenches. In the case for without hydrogen plasma treatments, however, over-grown Cu covered the whole entrance of nano-scale trenches. Detailed mechanism of nucleation suppression and resulting in nano-scale superconformal gap-filling of Cu will be discussed in detail.
-
전계효과 트랜지스터를 이용한 바이오센서는 하나의 칩 위에 많은 센서 소자를 집적할 수 있으므로, 같은 종류의 센서를 다수 배열함으로써 다차원화할 수 있고, 다른 종류의 센서를 여러개 배열함으로써 다기능화할 수 있다. 또한 지능회로와 함께 집적하여 지능화하거나, 관련회로 및 장치들을 함께 집적함으로써 시스템화할 수 있기 때문에 최첨단 센서로 각광을 받고 있다. 그러나, 전계효과 트랜지스터를 이용한 바이오센서는 게이트 영역에 생체 분자를 고정시키는 것이 어렵고, 고정되더라도 생체 분자의 양이 미량이어서 재현성이 떨어지며, 생체 분자가 발생시키는 시그널이 적어 전류 세기 변화에 대한 검출감도가 저하되는 문제점이 있다. 본 연구에서는 반도체 리소그래피 공정을 이용하여 생체 분자를 물리 화학적 처리 없이 게이트 영역에 집중적으로 고정시킬 수 있는 기술에 대해 연구하였다. 산화막이 증착된 기판 위에 포토레지스트를 도포한 뒤 리소그래피공정을 이용하여 패터닝 하였으며 기판 위에 human embryonic kidney(HEK)-293 세포를 배양하였다. 연구결과, 친수성인 포토레지스트보다 소수성인 산화막 영역에 다수의 세포가 선택적으로 집중 배양됨을 확인하였다. 따라서 본 연구결과를 바이오센서에 적용할 경우 센서의 검출감도를 향상시킬 수 있을 것으로 기대된다.
-
We have demonstrated new functionalities of Ag-doped chalcogenide glasses based on their capabilities as solid electrolytes. The influence of silver on the properties of the newly formed materials is regarded in terms of diffusion kinetics, and Ag saturation is related to the composition of the hosting material. Silver saturated in chalcogenide glass has been used in the formation of solid electrolyte, which is the active medium in the programmable metallization cell (PMC) device. In this paper, we investigated the optical properties of Ag-doped chalcogenide thin film by He-Ne laser beam exposure, which is concerned with the Ag-doping effect of PMCs before or after annealing. Chalcogenide bulk glass was fabricated by a conventional melt quenching technique. Amorphous chalcogenide and Ag thin films were prepared by e-beam evaporation at a deposition rate of about
$4\;{\AA}/sec$ . As a result of resistance change with laser beam exposure, the resistance abruptly dropped from the initial value of$1.4\;M{\Omega}$ to the saturated value of$400\;{\Omega}$ . -
핵융합로에서는 디버터의 열부하에 대한 안전성을 고려하기 위해 열전도도 및 열 저항성이 높은 텅스텐이 대면 물질로 고려되고 있으며, 경제적인 측면과 실용성 측면에서 텅스텐블록을 직접 제작하여 사용하는 것보다 텅스텐코팅이 효과적이라는 의견이 지배적이다. 또한 ASDEX Upgrade 에서는 탄소블럭에 텅스텐을 코팅하여 챔버 외벽 및 디버터 영역까지 구성하여 캠페인을 진행하였고, 재료적인 측면에서 안정성을 확인 하였다. 따라서 본 연구에서는 디버터 및 챔버외벽 등에 대한 대면물질을 구성하기 위해 상압 열플라즈마 제트를 이용하여 고온에서의 용융 및 냉각을 통해 모재에 텅스텐 피막을 적층하는 과정을 수행하고 있다. 기존의 연구를 통해 일부 공정 변수에 대해서는 이미 적정한 범위의 공정조건을 확보하였고, 기공도와 산화도 및 부착력 등의 물성치에 대한 추가적인 향상을 위해 주요 공정 변수에 집중하여 최적의 조건을 탐색하는 과정이 진행 중이다. 이를 위해 출력증가실험의 일환으로서 기존 36kW급 플라즈마 토치 전력을 한 단계 끌어 올려 48kW급 전력까지 단계적으로 상승시킴으로써 이에 따른 물성치 변화를 검증하고 있다. 현재 44kW 급까지 실험이 수행되었으며, 이를 통해 공극률 감소 및 미세구조 변화에 대한 결과를 얻었다. 실제로 토치의 출력을 증가시킴으로서 텅스텐 피막의 물성치가 변화하는 메커니즘은 플라즈마 제트의 중심부 온도 및 축방향 속도에 의해 결정된다. 중심부 온도가 상승하게 될수록 코팅을 위해 분사되는 분말의 용융률은 증가하지만 분말 외벽에 산화텅스텐이 형성될 가능성은 증가하게 되며, 플라즈마 제트의 모재를 향상 축방향 속도가 증가할수록 용융 된 분말이 모재에 증착 시 형성하는 형태가 원형에 가깝게 되므로 기공이 감소하는 효과가 발생한다. 특히 용융된 분말의 증착 형태는 모재의 온도 및 분말의 입사속도에 결정적이 영향을 받게 되며, 결국 모재와 분말사이의 습윤성에 의한 분말 분산속도가 분말의 입사속도에 버금갈 경우 분말은 모재 위에서 효과적으로 원형으로 전이하며 적층하게 된다. 이러한 전이 현상은 앞에서 언급한 모재의 온도 등에 의해 결정적으로 영향을 받게 되며, 모재의 온도가 전이온도 이하일 경우 폭파형태에서 원형으로 분말의 증착 형태가 전이하게 된다. 이외에 추가적으로 진행하고 있는 연구는 코팅 전처리에 해당하는 분말 효과이며, 특히 탄화텅스텐 분말을 통한 재료적 auto-shroud 효과와 미세분말을 이용한 분말 표면열속의 증가에 따른 용융률 증가효과를 연구에 포함할 계획이다. 이러한 연구는 열적, 그리고 재료적 해석을 바탕으로 해석적 접근을 통해 이루어진다.
-
Flexible display의 발전에 따라 점차 고온 공정에서 plastic 기판에 영향을 주지 않는 저온 공정으로 변화해 가고 있다. 이러한 발전에 따라 공정온도에 따른 SiNx의 특성 분석을 위해 우선 150C~300C에서 SiNx의 박막을 증착하였다. gas ratio (SiH4:NH3=4:60)와 Power (50W), 공정시간(25min)을 고정하고 온도만을 가변하여 박막의 특성을 분석하였다. 이후에 150C로 온도를 고정 후 gas ratio를 가변하고 Power (40W)와 온도(150C)는 고정 후 실험을 진행하여, 150C에서 최적화된 gas ratio를 알아내도록 하였다. 위의 실험은 p-type 실리콘 웨이퍼 위에 SiNx 박막 증착 후 굴절률과 증착률을 측정하였고, Al 전극을 증착하여 MIS구조를 구현하여, gate voltage에 따른 capacitance를 측정하였다. 이번 논문에서는 SiNx의 Substrate temperature와 gas ratio의 변화에 따른 다양한 특성을 확인하고 이를 체계적으로 분석하였다.
-
Organic-inorganic hybrid materials have attracted because of its combined properties, such as flexibility and high electrical performance. In addition, the hybrid materials are expected to have synergic effect which are not shown in just one component. Here, we fabricated organic-inorganic hybrid thin film. Organic-inorganic hybrid thin film have been deposited from diethyl zinc and 1, 2, 4-trihydroxybenzene (THB) by molecular layer deposition (MLD). UV-VIS, Using Infrared spectrum and X-ray photoelectron spectroscopy confirm that Zinc and THB hybrid film (ZnTHB) consist of Zn-O and THB - oxide units and the micro structure and composition of hybrid film. hat the sequential surface reactions of diethyl zinc and ethylene glycol are sufficiently self-limiting and saturating to enable well-controlled MLD growth. Transmission electron microscopy image shows lamination growth of ZnTHB film according to cycle.
-
AIZTO (Al-In-Sn-ZnO) thin film was deposited on glass substrate at room temperature by facing target sputtering (FTS) system. The FTS system was designed to array two targets facing each other. Two different kinds of targets were installed on FTS system. We used the ITO (In2O3 90wt%, SnO2 10wt%) target and the AZO (ZnO 98wt%, Al2O3 2wt%). AIZTO films were deposited in each of the applied power of the targets. The electrical and structural properties of the as-deposited AIZTO thin films were then examined by hall-effect measurement, and by using atomic force microscope (AFM), X-ray diffractometer (XRD), and energy dispersive x-ray spectroscopy (EDX). The optical property was measured by an UV-VIS spectrometer.
-
유리 기판 상에 시스템 온 패널과 같은 차세대 디스플레이 구현과 평판형 디스플레이의 문제점 해결을 위하여 비휘발성 메모리 소자 등의 전자 소자 집적화와 빠른 구동 속도를 가진 박막트랜지스터가 요구된다. 본 논문에서는 비휘발성 메모리 소자에서 MONOnS 각 layer층의 두께 따른 특성에 대한 연구를 진행하였다. 실험은 ONO 구조를 12.5nm/35nm/2.7nm, 12.5nm/20nm/2.3nm, 8.5nm/10nm/2.3nm, 6.5nm/10nm/1.9nm 의 두께로 증착하였다.
${\Delta}VFB$ , Retention time, capacitance을 측정하여 oxide/Nitride/Oxynitride 층의 두께 변화를 통해 최적화된 tunneling layer와 charge storage layer, 그리고 blocking layer의 두께를 알 수 있다. -
Cu-Pc(copper(II)-phthalocyanine)는 박막의 형성과정에서 열처리 방식과 온도에 따라 박막의 구조가 변하며, 구조로는 열적으로 준 안정적인
$\alpha$ -phase와 열적으로 안정적인$\beta$ -phase가 있다. 본 연구에서는 Cu-Pc 박막의 열적으로 안정적인$\beta$ -phase 구조에 대해 온도 조건 변화에 따른 표면 결정 성장의 특성을 연구하고자 한다. 진공증착 방법 중 하나인 thermal evaporation deposition을 이용하여 glass 기판위에 전열 처리 및 후열 처리에 대해 온도 조건 변화에 따른$\beta$ -phase type의 표면 결정 특성을 연구하였다. Cu-Pc 박막의 성장두께는 50nm 일정한 두께로 fluxmeter 및 thickness monitor를 이용하여 제어하였다. 50nm의 두께에 따른 기판온도를$100^{\circ}C$ ,$200^{\circ}C$ ,$300^{\circ}C$ 로 전열 처리한 후 각각 전열 처리한 기판온도에 대해 1hour, 2hour, 3hour 후열 처리하여 온도 조건에 따른 박막을 성장한 후,$\beta$ -phase type에 대한 결정 구조 및 표면 특성 변화를 분석하였다. 제작된 Cu-Pc의 박막은$\beta$ -phase type으로, 열처리에 따른$\beta$ -phase transition 현상을 연구하였다. XRD(X-ray diffraction)를 통하여 박막에 대한 결정 구조 분석 및 FE-SEM(field emission scanning electron microscopy)을 이용하여 Cu-Pc 박막의 구조적 결정성과 방향성 등, 표면 상태와 형상구조에 대해 표면의 특성을 분석하며, 광 흡수도(UV-visible absorption spectra)을 이용하여 온도 조건에 따른 투과/흡수 현상을 비교분석하였다. -
Recently, nonvolatile memories (NVM) of various types have been researched to improve the electrical performance such as program/erase voltages, speed and retention times. Also, the charge trap memory is a strong candidate to realize the ultra dense 20-nm scale NVM. Furthermore, the high charge efficiency and the thermal stability of SiC nanocrystals NVM with single
$SiO_2$ tunnel barrier have been reported. [1-2] In this study, the SiC charge trap NVM was fabricated and electrical properties were characterized. The 100-nm thick Poly-Si layer was deposited to confined source/drain region by using low-pressure chemical vapor deposition (LP-CVD). After etching and lithography process for fabricate the gate region, the$Si_3N_4/SiO_2/Si_3N_4$ (NON) and$SiO_2/Si_3N_4/SiO_2$ (ONO) barrier engineered tunnel layer were deposited by using LP-CVD. The equivalent oxide thickness of NON and ONO tunnel layer are 5.2 nm and 5.6 nm, respectively. By using ultra-high vacuum magnetron sputtering with base pressure 3x10-10 Torr, the 2-nm SiC and 20-nm$SiO_2$ were successively deposited on ONO and NON tunnel layers. Finally, after deposited 200-nm thick Al layer, the source, drain and gate areas were defined by using reactive-ion etching and photolithography. The lengths of squire gate are$2\;{\mu}m$ ,$5\;{\mu}m$ and$10\;{\mu}m$ . The electrical properties of devices were measured by using a HP 4156A precision semiconductor parameter analyzer, E4980A LCR capacitor meter and an Agilent 81104A pulse pattern generator system. The electrical characteristics such as the memory effect, program/erase speeds, operation voltages, and retention time of SiC charge trap memory device with barrier engineered tunnel layer will be discussed. -
투명전극부터 디스플레이 산업에 이르기까지 광범위하게 응용되어지고 있고 개발되어지고 있는 투명전도산화물(TCO)은 ZnO, In2O3, SnO2 등을 기본으로 하는 n-type 재료가 대부분이다. 그러나 투명전도 산화물을 이용한 light emitting diode(LED), 투명한 태양전지, p-형 TFT와 같은 투명전자소자의 개발을 위해서는 p-type 소재가 필수적이다. p-type TCO 소재는 비교적 연구 개발 실적이 매우 부진한 실정이었다. 1997년 넓은 밴드갭을 가지는 ABO2(delafossite) 산화물이 p-type으로서 안정적이라는 것을 보고함에 따라 이에 대한 연구가 활발히 진행되고 있다. 현재 ABO2 형태를 가진 Delafossite구조 산화물이 가장 유망한 p-type 투명전도체 소재로 거론되고 있다. Delafossite 구조가 p-type 투명전도체에 적합한 결정구조인 이유는 밴드갭이 넓고 공유결합에 유리하기 때문이다. Delafossite구조는 상온에서 2종류의 polytype(상온에서 Rhombohedaral구조와 hexagonal 구조)이 존재하며 이들은 각각 3R 및 2H의 결정 구조를 가지고 있다. ABO2의 delafossite구조에서 Cu+의 배열은 c-축을 따라 Cu-O-Cr-O-Cu의 연속적인 층 구조로서 2차원연결로 보여 진다. 보고된 Cu- base delafossite구조를 가지는 재료들은 CuAlO2, CuGaO2, CuInO2 등 여러가지가 있다. 본 연구에서는 PLD를 이용하여 c-plane 사파이어 기판위에 성장된 delafossite구조인 CuCrO2박막의 특성을 알아보았다. p-type 특성을 위하여 CuCrO2에 Zn를 첨가하였으며 그에 따른 구조적 전기적 특성을 조사하였다. 성장온도와 산소분압을
$500{\sim}700^{\circ}C$ , 0~10mTorr로 변화시켜 특성을 연구하였다. 성장온도$700^{\circ}C$ , 산소분압 10mTorr에서 c-plane 사파이어 기판위에 c-축 배향의 에피성장된 CuCrO2:Zn 박막을 얻을 수 있었다. Mg를 도핑함에 따른 p-type 특성보다 현저히 떨어지는 것을 확인하였다. 또한 동일한 조건임에도 특정한 이차상의 존재를 통해 도핑된 Zn의 위치를 추측할 수 있었다. 온도와 분압에 따른 결정성과 표면상태를 SEM을 통해서 확인하였다. -
디스플레이 산업의 발달로 화상 영상폰, 디지털 카메라, MP4, PMP, 네비게이션, LCD TV등의 가전 제품의 수요증가에 따라 이에 장착되는 LCD 패널의 생산력 향상과 원가 절감을 위한 검사 기술이 요구되고 있다. LCD 검사를 위한 Probe unit은 미세전기기계시스템(MEMS) 공정을 이용하여 제작된다. LCD 검사용 Probe unit는 LCD 가장자리 부분에 전기적 신호(영상신호, 등 기신호, 색상신호)가 인가되도록 하는 수 십 내지 수 백개의 접속 단자가 고밀도로 배치되는데, 이러한 LCD는 제품에 장착되기 전에 시험신호를 인가하여 화면의 불량여부를 검사하기 위한 점등용 부품으로 50 um 이하의 Pin간 거리를 유지하면서 정확한 Pin Alignment를 요구하는 초정밀 부품이다. 본 연구에서는 반도체용 Si wafer에 마스크 공정 및 slit etching 공정을 적용하여 목표인 30 um pitch의 Probe unit을 개발하기 위해 Deep Si Etching(DRIE) 장비를 이용하여 식각 공정에 따른 특성을 평가하였다. 마스크 공정은 500 um 두께의 양면 연마된 반도체용 Si wafer를 이용하였으며, thick PR을 사용하여 마스킹하여 식각공정을 수행하였다. Si 깊은 식각은
$SF_6$ 가스와 Passivation용으로$C_4F_8$ 가스를 교대로 사용하여 수직방향으로 깊은 식각이 이루어지는 원리이다. SEM 측정 결과 30 um pitch의 공정 목표에 도달하였으며, 식각공정 결과 식각율 6.2 um/min, profile angle$89.1^{\circ}$ 로 측정되었다. 또한 상부 에칭공정과 이면 에칭공정에서 폭과 wall의 간격이 동일하였으며, 완전히 관통된 양면식각이 이루어졌음을 확인하였다. 또한 실제 사용되는 probe unit의 조립에 적합한 slit 공정을 위한 에칭특성을 조사하였다. -
ZnO TCO 박막은 후반사막과 전면전극의 물질으로써, 태양전지의 효율을 증가시킬수 있는 중요한 역할을 하고 있다. 특히 Boron 을 도핑한 BZO 박막은 가시광대 영역에서 높은 투명도를 보여준다. Soda Lime glass 위에 MOCVD를 이용하여 증착한 BZO 박막에 대해서, 플라즈마 처리에 의한 광학적 변화를 알아 보았다. 산소 또는 수소 분위기에서 플라즈마 처리를 하였고, 그에 따른 공정 조건 중 RF 전력, 압력, 시간을 제어했다. 이에 따라 ZnO 박막의 광학적 특성의 분석을 통해서 플라즈마 처리에 따른 흡수도와 밴드갭의 변화를 볼 수 있었다.
-
Periodically polarity inverted (PPI) ZnO structures on (0001) Al2O3 substrates are demonstrated by plasmas assisted molecular beam epitaxy. The patterning and re-growth methods are used to realize the PPI ZnO by employing the polarity controlling method. For the in-situ polarity controlling of ZnO films, Cr-compound buffer layers are used.[1, 2] The region with the CrN intermediate layer and the region with the Cr2O3 and Al2O3 substrate were used to grow the Zn- and O-polar ZnO films, respectively. The growth behaviors with anisotropic properties of PPI ZnO heterostructures are investigated. The periodical polarity inversion is evaluated by contrast images of piezo-response microscopy. Structural and optical interface properties of PPI ZnO are investigated by the transmission electron microcopy (TEM) and micro photoluminescence (
$\mu$ -PL). The inversion domain boundaries (IDBs) between the Zn and the O-polar ZnO regions were clearly observed by TEM. Moreover, the investigation of spatially resolved local photoluminescence characteristics of PPI ZnO revealed stronger excitonic emission at the interfacial region with the IDBs compared to the Zn-polar or the O-polar ZnO region. The possible mechanisms will be discussed with the consideration of the atomic configuration, carrier life time, and geometrical effects. The successful realization of PPI structures with nanometer scale period indicates the possibility for the application to the photonic band-gap structures or waveguide fabrication. The details of application and results will be discussed. -
현대 사회가 고도의 정보화 사회로 변화하는 가운데 능동행렬 액정 표시 소자(AMLCD : Active Matrix Liquid Crystal Display)는 정보 디스플레이 분야에서 없어서는 안될 중요한 위치를 차지하게 됐다. AMOLED는 자체발광형이므로 LCD에 비해 시야각, contrast, 시인성이 우수하며, 화소를 낮은 전류 밀도로 구동시킨다는 장점이 있다. OLED 소자는 각 화소를 구동할 수 있는 박막 트랜지스타가 필요하며, OLED 소자와 결합된 TFT의 연구도 진행되고 있다. 더욱이 모바일 소자에서 낮은 구동 전압과 비용의 절감을 위해 System On Panels (SOP)에 대한 연구가 또한 진행되고 있다. LCD 패널위에 콘트롤러와 메모리와 같은 소자를 직접화시킴으로써 액정 표시 장치를 소형화시킬 수 있으며 신뢰성을 향상시킬 수가 있다. 본 연구에서는 SOP를 위한 ELA 방법을 통하여 결정화한 poly-Si TFT memory를 제작하여 전기적 특성을 조사하였다.
-
Photonic band gap (PBG) materials have been of great interest due to their potential applications in science and technology. Their applications can be further extended when PBG becomes tunable against various chemical and electrical stimuli. In recent, it was found that tunable photonic band gap materials can be achieved by incorporating stimuli-responsive smart gels into PBG materials. For example, the characteristic volume phase transition of gels in response to the various external stimuli including temperature, pH, ionic strength, solvent compositions and electric field were recently combined with the unique optical properties of photonic crystals to form unprecedented highly responsive optical components. Since these responsive photonic crystals are capable of reversibly converting chemical or electrical energy into characteristic optical signals, they have been considered as a good platform for label-free chemical or biological detection, actuators or optical switches as well as a model system for investigating gel swelling behavior. Herein, we report block copolymer photonic gels self-assembled from polystyrene-b-poly (2-vinyl pyridine) (PS-b-P2VP) block copolymers. In this talk, we are going to demonstrate that selective swelling of lamellar structure can be effectively utilized for fabricating PBG materials with extremely large tunability. Optical properties and their applications will be discussed.
-
We introduce a novel and efficient strategy for producing free-standing functional films via photo-crosslinking and electrostatic layer-by-layer (LbL) assembly, which can allow the buildup of hydrophilic multilayers onto hydrophobic surfaces. Hydrophobic multilayers were deposited on ionic substrates by a photo-crosslinking LbL process using photo-crosslinkable polymers. The photo-crosslinked surface was converted to an anionic surface by excess UV light irradiation. This treatment allowed also the stable adhesion between metal electrode or cationic polyelectrolyte and hydrophobic multilayers. After dissolving the ionic substrates in water, the formed free-standing films exhibited unique functionalities of inserted components within hydrophobic and/or hydrophilic multilayers.
-
실제 옷처럼 입는 컴퓨터를 구현하거나 복잡하고 움직임이 많은 사람의 장기 등 생체에 이식 가능한 정보 전자 소자를 개발하려는 시도가 많이 이루어지고 있다. 현재는 기존의 반도체 공정과 실리콘 소재를 기반으로 연구 결과가 보고되고 있는데, 이는 소자 제작에 있어서 높은 공정 온도 등으로 인해 응용성이 제한되는 상황이다. 우리는 metal oxide 나노선과 단일벽 탄소나노튜브 (SWCNT)를 성장하여 각각 슬라이딩 전이법과 thermal tape 전이법을 이용하여 원하는 기판에 전이하고 소자를 제작하였다. metal oxide 나노선은 슬라이딩 전이를 통해 정렬된 상태로 패턴을 제작하였으며, SWCNT는 density 제어와 채널 크기 조정을 통해 반도체성 채널을 유도하여 소자 특성을 확보하였다. 또한 각 나노선의 전계효과소자와 SWCNT로 구성된 PMOS inverter를 유연한 고분자 필름기판위에 구현하고, 이를 스트레칭이 가능한 스테이지를 이용해 strain 대비 전기특성 변화를 분석하였다. 유연성이 좋은 나노선/나노튜브로 제작된 해당 소자는 전체 소자가 스트레칭이 가능할 수 있게 연결구조를 디자인하여 수십% 의 stain에도 각각의 전기특성이 유지되었다. 이처럼 스트레칭이 가능한 1차원 나노소재 소자는 그 유연성을 바탕으로 입는 옷처럼 구겨지거나 늘여지게 되는 다양한 스트레칭 상황에도 특성이 보장되어 미래 정보전자소자로 많은 응용이 가능할 것으로 예상된다.
-
최근, nanorod나 nanowire와 같은 1차원의 나노구조가 나노디바이스로 각광을 받고 있다. [1] 특히 InN는 3족 질화물 반도체 중 가장 작은 밴드갭 에너지와 뛰어난 수송 특성을 가지고 있어 나노디바이스로의 응용에 적합한 물질이다. [2] 그러나 InN는 큰 평형증기압을 가지므로 쉽게 인듐과 질소로 분해되는 특성이 있어 나노구조로의 성장이 쉽지 않음이 알려져 있다. [3] 최근 연구결과에 따르면, InN 나노구조는 금속 catalyst를 사용한 방법이나, 기판 위 패턴을 이용하여 성장하는 방법, 염소를 사용한 방법이 널리 쓰이고 있다. [4,5,6] 그러나 이 방법들은 의도치 않은 불순물의 원인이 되거나 다른 추가적인 과정을 필요로 한다는 문제점도 일부 가지고 있다. 본 연구에서는 catalyst-free 유기 금속 화학 증착법 (MOCVD)를 이용하여
$Al_2O_3$ (0001)면 위에 InN nanostructure를 성장하였다. InN nanostructure 성장 시 트리메틸인듐(TMIn)과 암모니아($NH_3$ ) 를 전구체로 사용하였으며, 캐리어 가스로는 질소를 사용하였다. 또한 모든 샘플의 성장시간은 60분으로 고정하였으나, 성장 시 온도의 의존성을 보기 위해$680-710^{\circ}C$ 의 온도범위에서 성장을 진행하였다. 그 결과 InN는 본 실험에서 적용된 성장온도범위 내에서 온도가 증가함에 따라 초기에는 columnar구조로 성장된 박막의 형태에서 wall이 배열된 형태로 변화하며 결국$710^{\circ}C$ 의 온도에서 nanorod로 성장하게 된다. 성장된 InN의 나노구조는 X-선 회절 측정법, 주사 전자 현미경 그리고 투과 전자 현미경을 이용하여 각각의 구조적 특성을 분석하였다. X-선 회절 측정법과 주사 전자 현미경을 통한 분석결과에서는 이들 nanorods가 대부분 c 방향으로 수직하게 정렬되어 있음을 확인 할 수 있었다. 또한,$690^{\circ}C$ 에서 60분간 성장된 InN의 wall 구조의 두께는 200 nm, 길이는$2-2.5\;{\mu}m$ 로 관찰되었으며,$710^{\circ}C$ 에서 60분간 성장된 InN nanorod의 지름은 150 nm, 길이는$3\;{\mu}m$ 정도로 관찰되었다. 이를 통하여 볼 때 성장 온도가 InN의 나노구조 형성 시 표면의 모폴로지변화에 중요한 변수로 작용함을 알 수 있다. 본 발표에서는 이러한 표면 형상 및 구조 변화가 성장온도에 따른 관계성을 가짐을 InN의 분해와 성장의 경쟁적인 관계에 의해 논의할 것이다. -
Ion beam sputtering(IBS)을 이용한 pattern 형성은 대상 물질의 제한이 적고 물리적 변수의 조절에 의해 쉽게 nano 구조의 형태와 크기를 조절할 수 있다는 점에서 관심을 받아오고 있다. 하지만 IBS를 이용한 pattern 형성이 어려운 물질들도 있어 다양한 기판에서의 nano pattern 형성에 관련된 많은 연구가 보고되고 있다. 본 연구발표에서는 유용한 반도체인 Si 표면에서의 IBS를 이용한 nano 구조 형성이 가능함과 그 과정에 대해 말하고자 한다. Ru을 100nm 두께로 증착시킨 Si(100)을 sputter 했을 때, Ru 표면에 잘 order된 nano pattern이 형성되었다. Sputter 시간이 증가하면서 pattern은 유지된 채 Ru이 깎여 나가다가 pattern의 가장 낮은 부분부터 Si기판이 드러나게 된다. 이 때 노출된 Si은 sputtering에 의해 깎여나가고 아직 Ru이 덮여있는 부분의 Si은 그대로 유지되어, Ru이 모두 sputter 되면서 보여지는 Si의 pattern은 Ru의 그것과 동일한 형태를 띄게 된다. 그 결과, Ru의 pattern이 Si으로 transfer되었음을 AFM과 SAM을 통해 확인할 수 있었다. 또한 IBS를 이용해 pattern 형성이 힘든 metallic glass에도 같은 방식으로 Ru을 쌓아 sputter 해봄으로써 pattern transfer를 확인해 볼 계획이다. 이러한 pattern transfer는 sputtering을 통한 pattern 형성이 어려웠던 다른 물질들에 그 가능성이 있음을 보여주고 있어 sputtering의 응용 폭이 넓어질 것을 기대한다.
-
The continuous change in the electronic band structure of metal-adsorbed bilayer graphene was calculated as a function of metal coverage using first-principles calculations. Instead of modifying the unit cell size as a function of metal coverage, the distance between the metal atoms and bilayer graphene in the same
$2{\times}2$ unit unit cell was controlled to change the total charges transferred from the metal atoms to bilayer graphene. The validity of the theoretical method was confirmed by reproducing the continuous change in the electronic band structure of K-adsorbed epitaxial bilayer graphene, as shown by Ohta et al. [Science 313, 951 (2006)]. In addition, the changes in the electronic band structures of undoped, n-type, and p-type bilayer graphene were studied schematically as a function of metal coverage using the theoretical method. -
Type conversion of single walled carbon nanotube field effect transistor using stable n-type dopants단일벽 탄소 나노튜브(SWCNT)는 그 뛰어난 전기적, 물리적 특성 때문에 반도체 공정에 있어서 중요한 p-type 채널 물질로 꼽히고 있다. 본 연구에서는 SWCNT를 성장하여 이를 이용한 전계효과 트랜지스터를 제작하고 또한, 부분적인 폴리머의 코팅으로 타입을 변화하는 연구를 보이고자 한다. Ferritin용액을 DI-water에 2000배 희석하여 SiO2 기판 위에 뿌린 뒤 Methanol을 이용하여 기판 표면에 촉매가 붙어있게 한다. 이 기판을
$900^{\circ}C$ 로 가열하여 유기물질을 제거한 뒤 화학 기상 증착(Chemical Vapor Deposition)방법으로 SWCNT를 성장하게 된다. 이렇게 성장된 SWCNT는 촉매의 농도에 비례하는 밀도를 가지게 되며 이 위에 전극을 증착하고 back-gate를 설치하여 FET를 제작한다. 메탈릭한 SWCNT는 breakdown 공정을 통하여 제거한 뒤, 전자 농도가 높은 NADH를 전체적으로 코팅을 한다. NADH는 기존의 다른 폴리머(polyethyleneimine: PEI)에 비교하여 코팅 후 전자 제공 효과가 크며 그 성질의 재현성이 높고 공기 중에서 안정성을 유지하는 능력이 있다. 이러한 NADH의 코팅으로 n-type으로의 SWCNT FET를 제작하였으며 type conversion 현상을 이용하면 국부적인 NADH의 코팅으로 homojunction-diode의 제작 등 다양한 소자의 제작에 적용될 것으로 예상한다. -
$SnO_2$ 나노선은 n-type의 전기적 특성과 우수한 광 특성을 보이며, 전자소자, 광소자 뿐 아니라 다양한 종류의 가스 센서 등에 응용되고 있다. 그러나$SnO_2$ 나노선은 공기중에서 전기적으로 불안정한 특성을 보이며, 도핑을 하지 않은 나노선 소자에서는 전자의 모빌러티가 높지 않다는 단점을 갖고 있다. 이를 개선하고자 본 연구에서는 화학기상증착법 (Chemical Vapor Deposition)으로 Sb을 도핑한$SnO_2$ 나노선을 성장하여 전계방출효과 트랜지스터 (field effect transistor: FET)를 제작하여 전기적 특성과 UV 반응성의 변화를 측정하였다. Sb 도핑 양을 늘려감에 따라 전기적 특성이 반도체 특성에서 점점 금속 특성으로 변하는 것과 게이트 전압의 영향을 적게 받는 것을 확인하였다. 또한 도핑을 해준$SnO_2$ 나노선의 경우 UV 반응과 회복 시간이 기존에 비하여 크게 감소하여 UV 센서에 더욱 적합해진 것을 확인하였다. 또한, 슬라이딩 트랜스퍼 공정을 이용하여 나노선을 원하는 기판에 정렬된 상태로 전이할 때 도핑한 나노선은 표면특성의 변화로 정렬도가 크게 감소하는 것을 확인하였고, 기판에 윤활제를 사용하여 정렬도를 높일 수 있었다. -
4족 반도체 원소 양자점들은 원소가 가지고 있는 반도체적 성질과, 양자점에서 나타나는 quantum confinements 적인 특성 때문에 전자재료나 광학적 분야, 특히 태양전지 분야에서 그 쓰임이 대두되고 있다. 이러한 4족 반도체 원소의 양자점들을 만들기 위한 여러 방법들이 시도되고 있는데, 그 중에서 특히 절연체 박막에 4족 반도체 원소의 양자점들을 만드는 방법에는 이온주입, PVD, 그리고 CVD 를 통한 multi-layer 증착후 열처리 과정을 반드시 포함하는 Stranski- krastanov 방법이 주로 사용되고 있다. 본 실험에서는 고체원소 이온주입이라는 방법을 통해 절연체 박막의 증착과 이온주입이 한 진공용기 내에서 연속공정으로 이루어 지면서, 별도의 열처리 과정 없이 결정화된 게르마늄 양자점을 만들어 보았다. 이는 (X-ray diffraction) XRD와 Raman spectroscopy로 결정화된 게르마늄을 확인할 수 있었으며, (X-ray photoelectron spectroscopy)XPS 데이터로도 순수한 게르마늄이 표면에서 깊이 방향으로 약
$1,000\;{\AA}$ 만큼 게르마늄 양자점들이 만들어 짐을 알 수 있었다. 마지막으로 (High resolution transmittance electron microscopy) HRTEM으로 그 양자점의 크기와 분포도 그리고 결정성을 알아 보았다. -
Using ab initio density functional theory, we investigate the dimerization and one-dimensional (1-D) polymerization of metal-encapsulated gold nanoclusters, M@
$Au_{12}$ (M=W, Mo) and their structural and electronic properties. M@$Au_{12}$ clusters with a magic number 13 can form icosahedral and cuboctahedral structures. We consider various dimer configurations with different compounds and symmetries to find the most stable dimer structure in each case. Au atoms in the one cluster, which participate directly in dimerization, tend to form triangular bonds together with counterpart Au atoms in the other. It is found that both M@$Au_{12}$ and M@$Au_{12}$ clusters are stabilized by about 3 eV due to dimerization. We also calculate and compare the electronic and magnetic properties of different dimerized clusters. Based on our investigation on dimerization, we further study on 1-D polymerization of M@$Au_{12}$ with different compounds and symmetries. We will also discuss their formation energies as well as their electronic and magnetic properties. -
The structural and electronic properties of
$XAu_{16^-}$ (X = S or O) have been studied by the scalar relativistic all-electron density functional calculations, in which a particular attention is paid to the stability of various$XAu_{16^-}$ structures. We find that an X-encapsulated golden cage ($XAu_{16^-}$ ) represents an ionic character whereas in the other structures, adsorption characters are represented by covalent bonding. Especially, in S@$Au_{16^-}$ , electrons are donated from the S atom to$Au_{16^-}$ . The most stable$XAu_{16^-}$ structures exhibit a small HOMO-LUMO energy gap, indicating that they could be chemically reactive. We also calculated the activation energy barriers of the transition between the most stable exohedral and endohedral adsorption configurations as well as their reaction energies. Our results demonstrate that the activation barrier in the$OAu_{16^-}$ is lower than in$SAu_{16^-}$ . This is associated with the smaller atomic radius of oxygen than that of sulfur. -
Recently, extensive research on hetero-junction arrays has been reported owing to its unique band gaps dissimilar to that of homo-junctions. These hetero-junction devices can be used in laser, solar cells, and various sensors. We report on the facile method to fabricate SWCNTs/SnO2 nanowires hetero-junction arrays on flexible polyimide substrate. Each SWCNT field effect transistor (FET) and SnO2 nanowire FET exhibits the purely p- and n-type charactersistics with ohmic contact properties. Such formed pn-junctions showed rectification behaviors reproducibly with a rectification ratio of
${\sim}3{\times}103$ at 1 V and ideality factors about 12. The pn-junctions also showed a good gate modulation behavior. -
최근 들어 나노선을 이용한 pn 접합 소자 연구 결과가 매우 활발하게 보고되고 있다. 그러나, 서로 다른 두 종류의 나노선으로 pn 접합 어레이 구조의 소자를 제작할 때, 나노선을 원하는 위치에 정렬하는 기술상의 어려움이 큰 걸림돌이 된다. 본 연구에서는 p-CNT와 n-
$SnO_2$ 나노선을 이용한 pn 접합 어레이 구조를 제작할 수 있는 독창적인 공정기술을 제안한다. 먼저$SiO_2$ 가 300 nm 성장된 Si 기판을 선택적으로 패터닝하여 BOE (6:1) 용액으로$SiO_2$ 층을 80 nm 정도 선택적으로 에칭한 후, 선택적으로 에칭된 표면에 슬라이딩 장비를 이용하여 화학기상증착법(chemical vapor deposition: CVD)으로 성장된 n-$SnO_2$ 나노선을 전이시킨다. 그 다음 thermal tape를 이용하여 CVD 법으로 성장된 랜덤 네트워크 형태의 CNT를$SnO_2$ 나노선이 전이된 기판 위에 전이 시킨다. 이때 성장된 CNT 필름 중 금속성 나노선을 통한 전하 이동을 감소시키기 위해, 촉매로 사용되는 페리틴의 농도를 낮춰서 전체적인 CNT의 농도를 줄이는 방법을 이용하였다. 따라서, 성장된 CNT 필름은 별도의 후처리 없이 p-형의 반도체성을 보였다. 제작된 pn-소자는 정류비가 ~103 인 정류특성을 보였으며, 254 nm 파장의 UV lamp를 조사하여 광전류가 발생하는 것을 확인하였다. 연구결과는 이종의 나노선 접합에 의한 다이오드 응용과 UV 센서응용 가능성을 보여준다. -
나노선의 합성을 위해 필요한 씨드는 기상증착에 경우 값비싼 공정 비용이 요구되지만 액상의 경우 저렴하고 공정이 단순하며 단시간에 공정이 용의하고 대면적이 가능하다는 장점을 가지고 있다. 우리는 zinc acetate, ethylene glycol monoethylethe(C3H8O2), Monoethanolamine을 일정한 비율로 혼합하여 ZnO 나노와이어 합성에 필요한 액상씨드를 만든 후 이것을 기판위에 증착하기 위해 수차례에 걸쳐 스핀코팅을 하였다. 스핀코팅후 퍼니스와 핫플레이트를 이용하여 Soft bake, Hard bake 공정을 통해 각각 열처리 한후 XRD 를 통한 결정성과 방향성 그리고 AFM을 통한 표면거칠기를 관찰하였고 또한 수열합성법을 통하여 제작한 씨드를 기반으로 하는 ZnO 나노와이어를 합성하여 각각의 열처리 조건에 따른 나노와이어의 특성변화를 관찰하였고 향후 나노기반 소자의 적용가능성을 확인하였다.
-
Large-area micropatterned array of Co/Ni bilayer anti-dots was fabricated using photolithography and wet etching process. The surface morphology as well as the surface topography was checked by scanning electron microscopy and atomic force microscopy, whereas the magnetic properties were studied by magneto-optical Kerr effect (MOKE) and magnetic force microscopy (MFM). Systematic studies of the magnetic-reversal mechanism, the in-plane anisotropy and the switching field properties were carried out. To get a comprehensive knowledge about the domain configuration, we also employed OOMMF simulations. It was found from the MOKE measurements that a combined effect of configurational and the magneto-crystalline anisotropy simultaneously works in such micropatterned bilayer structures. In addition, the inclusion of holes in the uniform magnetic film drastically affected the switching field. The MFM images show well-defined domain structures which are periodic in nature. The micromagnetic simulations indicate that the magnetization reversal of such a structure proceeds by formation and annihilation of domain walls, which were equally manifested by the field-dependent MFM images. The observed changes in the magnetic properties are strongly related to both the patterning that hinders the domain-wall motion and to the magneto-anisotropic bilayered structure.
-
In this report, we present a very effective growing method of graphene using plasma enhanced chemical vapor deposition(PECVD). The graphene is successfully grown on copper substrate. Low temperature growing is obtained with methane and hydrogen plasma. The graphene layers are analyzed by Raman spectroscopy and atomic force microscope. We also provide a transfer technique of graphene layer onto silicon substrate to build up various kinds of application devices.
-
Electrically tunable photonic band gap (PBG) materials based on crystalline structures have been developed for active components of display. Despite considerable advances, the intrinsic drawbacks of the crystalline PBG materials such as the strong angle dependent hue and difficulty of fabricating defect-free structures in large area have yet to be addressed for their practical applications. Here we report quasi-amorphous colloidal structures exhibiting angle-independent photonic colors in response to the electric stimuli. Moderately polydisperse colloidal Fe3O4@SiO2 nanoparticles dispersed in organic solvents exclusively form quasi-amorphous photonic materials at sufficiently high concentrations (> 30 wt%), and which reversibly reflect incident light in visible region (
$\lambda$ peak = 490~655 nm) in response to the relatively low bias voltage (0~4 V). We show the angle-independent tunable photonic colors with the fast response time (50~170 ms) due to the isotropic nature of quasi-amorphous structures. Conventional vacuum injection technique is applicable for fabricating flexible full color photonic display pixels with various pre-defined shapes. -
Smart gels have recently associated with photonic crystals to form photonic gels. Since these photonic gels are capable of reversibly converting the volume change of gels induced in response to external chemical or electric stimuli into characteristic optical signals, they have been considered not only as a good platform for label-free chemical or biological detection, actuators or optical switches but also as a good model system to investigate gel swelling behaviour. Recently, we reported block copolymer photonic gels self-assembled from polystyrene-b-poly(2-vinyl pyridine) (PS-b-P2VP) block copolymers, and demonstrated that selective swelling of lamellar structure allows extremely large tunability of the photonic stop band from UV region to IR region (
$\lambda$ peak=350~1,600 nm). Herein we report block copolymer photonic gels which exhibit strong tunable optical hysteresis and their applications. As nonlinear responses in swelling of hydrogels were often observed, photonic gels exhibit optical hysteresis with change of external pH. We demonstrate such optical hysteresis can be precisely programmed by controlling ion-pairing affinity. We anticipate that photonic gels with carefully tunned optical hysteresis are applicable to optical memory devices. -
Semiconductor quantum dots are of great interest for both fundamental research and industrial applications due to their unique size dependant properties. The most promising application of colloidal semiconductor nanocrystals (quantum dots or QDs) is probably as emitters in biomedical labeling, LEDs, lasers etc. As compared to II-VI quantum dots, III-V have attracted greater interest owing to their less ionic lattice, larger exciton diameters and reduced toxicity. Among the III-V semiconductor quantum dots, Indium Phosphide (InP) is a popular material due to its bulk band gap of 1.35 (eV) which is responsible for the photoluminescence emission wavelength ranging from blue to near infrared with change in size of QDs. Nevertheless, in recent years, the exact type of collective properties that arise when semiconductor quantum dots (QDs) are assembled into two- or three-dimensional arrays has drawn much interest. The term "uantum dot solids" is used to indicate three-dimensional assemblies of semiconductor QDs. The optoelectronic properties of the quantum dot solids are known to depend on the electronic structure of the individual quantum dot building blocks and on their electronic interactions. This paper reports an efficient and rapid method to produce highly luminescent and monodisperse quantum dots solution and solid through fabrication of InP thin films. By varying the molar concentration of Indium to Ligand, QDs of different size were prepared. The absorption and emission behaviors were also studied. Similar measurements were also performed on InP quantum dot solid by fabricating InP thin films. The optical properties of the thin films are measured at different curing temperatures which show a blue shift with increase in temperature. The dielectric properties of the thin films were also investigated by Capacitance-voltage(C-V) measurements in a metal-insulator-semiconductor (MIS) device.
-
Very low refractive index (<1.4) materials have been proved to be the key factor improving the performance of various optical components, such as reflectors, filters, photonic crystals, LEDs, and solar cell. Highly porous SiO2 are logically designed for ultralow refractive index materials because of the direct relation between porosity and index of refraction. Among them, ordered macroporous SiO2 is of potential material since their theoretically low refractive index ~1.10. However, in the conventional synthesis of ordered macroporous SiO2, the time required for the crystallization of organic nanoparticles, such as polystyrene (PS), from colloidal solution into well ordered template is typical long (several days for 1 cm substrate) due to the low interaction between particles and particle - substrate. In this study, polystyrene - polyacrylic acid (PS-AA) nanoparticles synthesized by miniemulsion polymerization method have hydrophilic polyacrylic acid tails on the surface of particles which increase the interaction between particle and with substrate giving rise to the formation of PS-AA film by simply spin - coating method. Less ordered with controlled thickness films of PS-AA on silicon wafer were successfully fabricated by changing the spinning speed or concentration of colloidal solution, as confirmed by FE-SEM. Based on these template films, a series of macroporous SiO2 films whose thicknesses varied from 300nm to ~1000nm were fabricated either by conventional sol - gel infiltration or gas phase deposition followed by thermal removal of organic template. Formations of SiO2 films consist of interconnected air balls with size ~100 nm were confirmed by FE-SEM and TEM. These highly porous SiO2 show very low refractive indices (<1.18) over a wide range of wavelength (from 200 to 1000nm) as shown by SE measurement. Refraction indices of SiO2 films at 633nm reported here are of ~1.10 which, to our best knowledge, are among the lowest values having been announced.
-
We report a new method of fabrication of polydiacetylene nanowire using liquid bridge-mediated nanotransfer molding (LB-nTM), a direct patterning method for the formation of two- or three-dimensional structures with feature sizes between tens of nanometers and tens of micron over large areas with various materials from a molder to a substrate via a liquid bridge between them. First, we fabricate assembled diacetylene monomer nanowire on the substrate then make it polymerize using 254nm UV-light irradiation. The Polydiacetylene nanowires have been investigated by UV-visible absorption spectroscopy, atomic force microscopy (AFM), and scanning electron microscopy (SEM).
-
The liquid metal ion sources(LMIS) in FIB system have many advantages of high current density, high brightness and low ion energy spread. Most FIB systems use LMIS because the ion beam spot size of LMIS is smaller than other ion sources. LMIS is basically emitted by an extractor but the new electrode called the suppressor is able to control the emission current. We investigated characteristics LMIS with a suppressor, the function of the suppressor in LMIS, the change of the electric field by the suppressor and the advantages of using the suppressor. The characteristics of the threshold voltage and current-voltage (I-V) were observed under the varying extracting voltage with floated suppressor voltage, and under the varying suppressor voltages with fixed extractor voltage. We also simulated LMIS with the suppressor through CST(Computer Simulation Technology). The emission current increases as the suppressor voltage decreases because the suppressor voltage which restrains the electric field goes down, The threshold voltage increases as the suppressor voltage increases. We can explain characteristics and functions of LMIS with a suppressor using the electric field.
-
We report a fabrication of 6, 13-bis(triisopropylsilylethynyl) (TIPS) pentacene nanowires that made on Si substrates by liquid bridge-nanotransfer molding (LB-nTM) with polyurethane acrylate (PUA) mold. LB-nTM is based on the direct transfer of various materials from a stamp to a substrate via a liquid bridge between them. In liquid bridge-transfer process, the liquid layer serves as an adhesion layer to provide good conformal contact and form covalent bonding between the TIPS-pentacene nanowire and the Si substrate. The patterned TIPS-Pentacene nanowires have been investigated by Atomic force microscopy (AFM), Transmission Electron Microscopy (TEM), Scanning Electron Microscopy (SEM) and electrical properties.
-
Zinc oxide (ZnO) is a direct band gap semiconductor with 3.37 eV, which has in a hexagonal wurtzite structure. ZnO is a good candidate for a photocatalyst because it has physical and chemical stability, high oxidative properties, and absorbs of ultraviolet light. During ZnO is irradiated by UV light, redox (reduction and oxidation) reactions will occur on the ZnO surface, generating the radicals O2- and OH. These two powerful oxidizing agents have been proven to be effective in decomposition of harmful organic materials, converting them into CO2 and H2O. Therefore, we assume that oxygen on the surface of ZnO is a very important factor in the photocatalytic activities of ZnO nanoparticles. Recently, ZnO nanoparticles are studied in various application fields by many researchers. Photocatalyst research is progressing much in various application fields. But the ZnO nanoparticles have disadvantage that is unstable in water in comparison titanium dioxide (TiO2). The Zn(OH)2 was formed at the ZnO surface and ZnO become inactive as a photocatalyst when ZnO is present in the solution. Therefore, we prepared synthesized ZnO nanoaprticles that were immersed in the water and dried in the oven. After that, we measured photocatalytic activities of prepared samples and find the cause of their phtocatalytic activity changes. The characterization of ZnO nanoparticles were analyzed by Scanning Electron Microscopy (SEM), X-ray diffraction (XRD) and BET test. Also we defined the photocatalytic activity of ZnO nanoparticles using UV-VIS Spectroscopy. And we explained changing of photocatalytic activity after the water treatment using X-ray Photoelectron Spectroscopy (XPS).
-
The lack of homogeneously sized single-walled carbon nanotubes (SWNTs) hinders their many applications because properties of SWNTs, in particular electrical conduction, are highly dependent on the diameter and chirality. Therefore, the preferential growth of SWNTs with predetermined diameters is an ultimate objective for applications of SWNTs-based nanoelectronics. It has been previously emphasized that a catalyst size is the one crucial factor to determine the CNTs diameter in chemical vapor deposition (CVD) process, giving rise to several attempts to obtain size-controllable catalyst by diverse methods, such as solid supported catalyst, metal-containing molecular nanoclusters, and nanostructured catalytic layer. In this work, diameter-controlled CNTs were synthesized using a nanostructured catalytic layer consisting of Fe/Al2O3/Si substrate. The CNTs diameter was controlled by structural modification of Al2O3 supporting layer, because Al2O3 supporting layer can affect agglomeration phenomenon induced by heat-driven surface diffusion of Fe catalytic nanoparticles at growth temperature.
-
We report a new direct patterning method, called liquid bridge-mediated nanotransfer molding (LB-nTM), for the formation of two- or three-dimensional structures with feature sizes between tens of nanometers and tens of micron over large areas. LB-nTM is based on the direct transfer of various materials from a mold to a substrate via a liquid bridge between them. This procedure can be adopted for automated direct printing machines that generate patterns of functional materials with a wide range of feature sizes on diverse substrates. Arrays of TIPS-PEN TFTs were fabricated on 4" polyethersulfone (PES) substrates by LB-nTM using PDMS molds. An inverted staggered structure was employed in the TFT device fabrication. A 150 nm-thick indium-tin oxide (ITO) gate electrode and a 200 nm-thick SiO2dielectric layer were formed on a PES substrate by sputter deposition. An array of TIPS-PEN patterns (thickness: 60 nm) as active channel layers was fabricated on the substrate by LB-nTM. The nominal channel length of the TIPS-PEN TFT was 10 mm, while the channel width was 135 mm. Finally, the source and drain electrodes of 200 nm-thick Ag were defined on the substrate by LB-nTM. The TIPS-PEN TFTs can endure strenuous bending and are also transparent in the visible range, and therefore potentially useful for flexible and invisible electronics.
-
Photonic Force Microscope (PFM) is a scanning force microscope using an optical trap with several piconewton. In PFM, we can have topological information from the bead position trapped in optical trap. Typically the resolutions of lateral and vertical position are 40 nm and 50 nm respectively. To improve the vertical resolution below 10 nm, we use resonance energy transfer which has 5nm resolution in distance. Here we show preliminary results, including performances of scanning bead and fluorescence imaging system.
-
나노섬유는 지름이 수십에서 수백 나노미터(1나노미터=10억분의1m)에 불과한 초극세 물질로 비표면적이 매우 크고[1], 제작이 간편하여, 사용되어지는 고분자의 종류에 따라 에너지 환경 의료 관련 분야에서 전극소재 필터재 피복재 인공 피부등 다양한 분야에서의 활용이 가능하여 전 세계적으로 연구개발이 활발하게 이루어지고 있다. 그러나 그 자체만으로 응용하기에는 그 기계적 전기적 특성의 한계 때문에 응용의 다양성에 제약을 받고 있다. 그러나 그 자체만으로 응용하기에는 그 기계적 열적 전기적 특성을 가진 탄소나노튜브를 첨가한 복합나노섬유에 의해 그 응용영역의 한계를 넓혀가고 있다.[2] 본 연구에서는 전도성 고분자인 polymethyl methacrylate (PMMA)에 multi-walled carbon nanotubes (MWCNTs)를 첨가한 복합나노섬유를 전기방사법(electrospinning method)을 통해서 제조하였다. [2~3] CNTs 첨가농도에 따른 제조된 복합나노섬유의 형상변화와 섬유내의 CNTs 배열상태를 각각 주사전자 현미경(scanning electron microscope: SEM)과 투과전자현미경(transmission electron microscope: TEM)을 이용하여 관찰하였다. 또한, 복합나노섬유의 광학 특성 변화를 CNTs 첨가농도에 따라서 FT-IR과 Raman spectroscopy등을 이용하여 조사하였으며, 나노섬유의 tensile strength의 측정을 통해 CNTs 함량에 따른 기계적 특성 변화를 분석하였다.
-
무기물을 포함한 유기물 나노 복합체는 저전력으로 동작하는 차세대 전자 소자와 광전 소자의 응용에 대단히 유용한 소재이다. 간단하고 저렴한 제조 공정과 물질 특성의 장점을 이용한 유기물/무기물 나노 복합재료를 사용한 비휘발성 메모리 소자의 제작과 전기적 특성은 연구되었으나 실험치와 이론치의 비교에 대한 연구는 소자의 효율과 신뢰성을 증진하기 위하여 대단히 필요하다. 다양한 종류의 비휘발성 메모리 중에서 무기물을 포함한 유기물 나노복합체를 사용하여 만들어진 유기 쌍안정성 소자는 간단하게 고집적화가 가능하며 광소자와 결합할 수 있기 때문에 차세대 비휘발성 메모리 소자로서 각광을 받고 있다. 본 연구에서는 ZnO 나노입자를 포함한 PMMA 박막 구조를 기억층으로 사용하여 메모리 특성을 향상시킨 유기 쌍안정성 소자를 제작하고 그에 대한 전기적 특성을 측정과 전하 전송 메커니즘을 규명하여 이론적으로 고찰하였다. 유기 쌍안정성 소자 제작을 위해 Indium-tin-oxide가 증착된 유리 기판위에 ZnO 나노입자와 PMMA를 용매에 혼합하여 스핀코팅 방법으로 ZnO 나노 입자가 분산되어 있는 PMMA 나노 복합체를 형성하였다. 나노 복합체 박막위에 Al 전극을 열증착으로 형성하여 유기 쌍안정성 소자를 제작하여 전류-전압 측정을 하였다. 제작한 유기 쌍안정성 소자의 전하 전송 메커니즘 규명을 위해 space charge limited current 메커니즘을 이용하여 소자에 대한 시뮬레이션을 수행하였고 이를 제작한 소자에서 측정한 전류-전압 특성과 비교하였다. 이 결과는 유기 쌍안정성 소자를 제작할 때 소자의 성능 최적화에 이론적인 기초지식을 제공할 것이다.
-
Electron-beam lithography (EBL) process is a versatile tool for a fabrication of nanostructures, nano-gap electrodes or molecular arrays and its application to nano-device. However, it is not appropriate for the fabrication of sub-5 nm features and high-aspect-ratio nanostructures due to the limitation of EBL resolution. In this study, the precision assembly and alignment of DNA molecule was demonstrated using sub-5 nm nanostructures formed by a combination of conventional electron-beam lithography (EBL) and plasma ashing processes. The ma-N2401 (EBL-negative tone resist) nanostructures were patterned by EBL process at a dose of
$200\;{\mu}C/cm2$ with 25 kV and then were ashed by a chemical dry etcher at microwave (${\mu}W$ ) power of 50 W. We confirmed that this method was useful for sub-5 nm patterning of high-aspect-ratio nanostructures. In addition, we also utilized the surface-patterning technique to create the molecular pattern comprised 3-(aminopropyl) triethoxysilane (APS) as adhesion layer and octadecyltrichlorosilane (OTS) as passivation layer. DNA-templated gold nanoparticle chain was attached only on the sub-5 nm APS region defined by the amine groups, but not on surface of the OTS region. We were able to obtain DNA molecules aligned selectively on a SiO2/Si substrate using atomic force microscopy (AFM). -
Among the semiconducting materials, ZnO has considerably attracted attention over the past few years due to the high activities in removing organic contaminants created from industry. In this work, ZnO nanoparticles were synthesized by spray pyrolysis method using the zinc acetate dihydrate as starting material at various synthesis temperatures. The structures of the synthesized ZnO were characterized by X-ray Diffraction (XRD), Scanning Electron Microscopy (SEM), Brunauer, Emmett & Teller (BET), Fourier Transformation Infrared (FT-IR), and UV-vis spectroscopy. The Miller indices of XRD patterns indicate that the synthesized ZnO nanoparticles showed a hexagonal wurtzite structure. With increasing synthesis temperature, the mean diameter of ZnO nanoparticles increased, and their crystallinity was improved. Also, the photocatalytic activity of ZnO was studied by the photocatalytic degradation of methyleneblue (MB) under UV irradiation (365 nm) at room temperature. The results show that the photocatalytic efficiency of ZnO nanoparticles was enhanced by increasing synthesis temperature.
-
Carbon nanotubes (CNTs) have attracted considerable attention as possible routes to device miniaturization due to their excellent mechanical, thermal, and electronic properties. These properties show great potential for devices such as field emission displays, CNT based transistors, and bio-sensors. The metals such as nickel, cobalt, gold, iron, platinum, and palladium are used as the catalysts for the CNT growth. In this study, diamond-like carbon (DLC) was used for CNT growth as a nonmetallic catalyst layer. DLC films were deposited by a radio frequency (RF) plasma-enhanced chemical vapor deposition (RF-PECVD) method with a mixture of methane and hydrogen gases. CNTs were synthesized by a hot filament plasma-enhanced chemical vapor deposition (HF-PECVD) method with ammonia (NH3) as a pretreatment gas and acetylene (C2H2) as a carbon source gas. The grown CNTs and the pretreated DLC filmswere observed using field emission scanning electron microscopy (FE-SEM) measurement, and the structure of the grown CNTs was analyzed by high resolution transmission scanning electron microscopy (HR-TEM). Also, using energy dispersive spectroscopy (EDS) measurement, we confirmed that only the carbon component remained on the substrate.
-
Over the last decade, dye-sensitized solar cell (DSSC) has attracted much attention due to the high solar-to-electricity conversion efficiency up to 10% as well as low cost compared with p-n junction photovoltaic devices. DSSC is composed of mesoporous TiO2 nanoparticle electrodes coated with photo-sensitized dye, the redox electrolyte and the metal counter electrode. The performances of DSSC are dependent on constituent materials and interface as well as device structure. Replacing the heavy glass substrate with plastic materials is crucial to enlarge DSSC applications for the competition with inorganic based thin film photovoltaic devices. One of the biggest problems with plastic substrates is their low-temperature tolerance, which makes sintering of the photoelectrode films impossible. Therefore, the most important step toward the low-temperature DSSC fabrication is how to enhance interparticle connection at the temperature lower than
$150^{\circ}C$ . In this talk, the key issues for high efficiency plastic solar cells will be discussed, and several strategies for the improvement of interconnection of nanoparticles and bendability will also be proposed. -
Nanoparticles of the compound semiconductor, Cu(In, Ga)Se2 (CIGS), were synthesized in solution under ambient pressure below
$100^{\circ}C$ and characterized by powder X-ray diffraction (XRD), scanning electron microscopy (SEM), optical absorption spectroscopy and energy-dispersive X-ray (EDX) analyses. These materials have chalcopyrite crystal structures and the particle sizes less than 100 nm. Synthetic conditions were studied for the crystallized CIGS nanoparticles formation to prevent from side products of Cu2Se, Cu2-xSe, and CuSe etc. The single phase CIGS nanoparticles were applied to coating of thin films photovoltaic cells. The electro deposition of CIGS thin films is also a good non-vacuum technology and under investigation. In aqueous solutions, the different chemical compositions of CIGS thin films were obtained, depending on pH, concentration of starting materials and deposition potentials. The surface morphology of the prepared CIGS thin films depends on the complexing ligands to the solutions during the electrochemical deposition. -
ICRF 시스템을 이용한 방전세정인 ICWC(Ion Cyclotron Wall Cleaning)는 ITER와 DEMO 같은 초전도 자석을 이용하는 토카막에서 토카막 shot 중간에 자장을 낮추지 않고 바로 방전 세정을 할 수 있는 방법이다. 토카막에서 방전세정은 탄소나 산소 화합물과 같은 불순물을 제거하여 방사에 의한 플라즈마 냉각을 막고 토카막 초기 start-up시 진공 챔버 벽면으로부터 의도하지 않은 연료주입을 제거하는 역할을 한다. 본 연구에서는 ICWC 방전 세정 최적화를 위해 플라즈마의 불순물 제거 특성을 수소 유량의 크기와 ICRF 펄스의 duty ratio를 바꿔가면서 관찰하였다. ICRF 전력은 44.2 MHz에서 20-50 kW 가 입사되었으며 자장은 3 T에서 고정되었다. 운전압력은
$10^{-4}$ mbar 정도이다. 헬륨의 유량을 400 sccm으로 고정한 후 수소의 유량을 40 sccm에서 160 sccm까지 증가시켜가면서 제거율을 관측하였다. 그 결과 수소 유량의 증가에 따라 제거율이 증가하는 불순물과 오히려 감소하는 불순물이 있음이 관측되었다. 제거율이 증가되는 불순물 group은 charge-to-mass ratio가 26, 28, 40, 44이고 감소하는 불순물 group은 18, 20, 32 이다. 펄스의 duty ratio를 1/9(on/off) 초에서 5/5(on/off) 초로 증가시킴에 따라 제거율이 증가하는 불순물과 감소하는 불순물이 또한 나타났는데 수소 유량 실험과 그 group에 차이가 없었다. 이러한 실험결과는 수소 유량의 증가나 펄스 길이의 증가에 따라 가스의 종류에 관계없이 모두 증가하거나 감소할 것이라는 예측과는 다른 결과로서 이것에 대한 명료한 해석이 필요하다. 왜냐하면 위와 같은 운전조건에서 효율적인 불순물 제거를 위해서는 불순물 제거 운전 방법이 불순물의 종류에 따라 모두 달라져야 하기 때문이다. 본 연구에서는 이러한 특성을 불순물의 dissociation 에너지 관점에서 해석을 시도하였다. -
Precise control of the position and density of doping elements at the nanoscale is becoming a central issue for realizing state-of-the-art silicon-based optoelectronic devices. As dimensions are scaled down to take benefits from the quantum confinement effect, however, the presence of interfaces and the nature of materials adjacent to silicon turn out to be important and govern the physical properties. Utilization of visible light is a promising method to overcome the efficiency limit of the crystalline Si solar cells. Si quantum dots (QDs) have been proposed as an emission source of visible light, which is based on the quantum confinement effect. Light emission in the visible wavelength has been reported by controlling the size and density of Si QDs embedded within various types of insulating matrix. For the realization of all-Si QD solar cells with homojunctions, it is prerequisite not only to optimize the impurity doping for both p- and n-type Si QDs, but also to construct p-n homojunctions between them. In this study, XPS and SIMS were used for the development of p-type and n-type Si quantum dot solar cells. The stoichiometry of SiOx layers were controlled by in-situ XPS analysis and the concentration of B and P by SIMS for the activated doping in Si nano structures. Especially, it has been experimentally evidenced that boron atoms in silicon nanostructures confined in SiO2 matrix can segregate into the Si/
$SiO_2$ interfaces and the Si bulk forming a distinct bimodal spatial distribution. By performing quantitative analysis and theoretical modelling, it has been found that boron incorporated into the four-fold Si crystal lattice can have electrical activity. Based on these findings, p-type Si quantum dot solar cell with the energy-conversion efficiency of 10.2% was realized from a [B-doped$SiO_{1.2}$ (2 nm)/$SiO_2(2\;nm)]^{25}$ superlattice film with a B doping level of$4.0{\times}10^{20}\;atoms/cm^2$ . -
Cu(In, Ga)Se2 (CIGS) 박막 태양전지는 Soda lime glass/Mo/CIGS/CdS/ZnO/ITO/Al 의 구조를 가지고 있다. CIGS 화합물은 direct bandgap 구조를 하고 있으며, 광흡수율이 다른 어떤 물질들 보다 뛰어나 박막으로도 충분히 태양광을 흡수할 수 있다. 또한 Ga의 도핑 농도에 따른 밴드갭 조절도 가능하다. 이러한 성질들로 인해 현재 박막태양전지로서 20.1%의 최고효율을 가지고 있다.[1] CIGS 박막 태양전지에서 p-CIGS layer와 스퍼터링으로 증착되는 n-ZnO layer사이의 buffer 층으로 chemical bath deposition (CBD)-CdS 박막을 주로 사용한다. CBD-CdS 박막은 n-ZnO 스퍼터로 증착 시킬 때, CIGS 층의 손상을 최소화하고, 이 두 층 사이에서의 격자상수와 밴드갭의 차이를 줄여주어 CIGS 박막태양전지의 효율을 증가 시키는 역할을 한다. 하지만, Cd (카드뮴)의 심각한 독성과 낮은 밴드갭(2.4eV)으로 인해 CIGS 층에서의 광흡수율을 줄여, CdS를 대체할 새로운 buffer 층의 필요성이 대두되었다.[2] 그 대안으로 ZnS, Zn(O, S, OH), (Zn, Mg)O, In2S3 같은 물질이 연구되고 있다. 현재 CBD-ZnS를 buffer 층으로 사용한 CIGS 박막태양전지의 효율은 최고 18.6%로 CBD-CdS의 최고효율보다는 약 1.5% 낮지만, ZnS가 높은 밴드갭(3.7~3.8eV)과 Cd-free 물질이라는 점에서 CdS를 대체할 물질로 각광받고 있다. 본 연구에서는 기존의 CdS 박막을 제조하는 방법과 같은 방법인 CBD를 이용하여 ZnS 박막을 제조하였다. ZnS 박막을 제조하기 위해서는 Zinc sulfate, Thiourea, 암모니아가 사용된다. 암모니아의 mol 농도에 따른 CBD-ZnS/CIGS 박막태양전지의 효율 변화를 관찰하기 위해 암모니아의 mol 농도는 1 mol, 2 mol, 3 mol, 4 mol, 5 mol, 6 mol, 그 이상의 과량을 사용하여 실험하였다. 실험 결과, 암모니아농도 5 mol에서 효율 13.82%를 확인할 수 있었다. 최고효율을 보인 조건인 암모니아 농도가 5 mol 일 때, Voc는 0.602V, Jsc는 33.109mA/cm2, FF는 69.4%를 나타내었다.
-
Thiourea가 염료감응 태양전지의 I-/I3- redox 전해질 내에서 additive로 사용될 때의 효과를 알아보았다. I-/I3- 가 존재하는 전해질에 thiourea를 첨가하게 되면, 전류는 40% 증가하고 전압은 9% 내려간다. 전류 증가로 인해 전체 효율은 23%의 증가분을 보인다. thiourea가 녹아있는 acetonitrile 용액은 pH가 10로 Bronsted base인데, I-/I3- 가 존재하는 전해질 용액에 thiourea를 넣으면, pH=3의 변화를 보인다. 이것은 thiourea와 iodine 사이의 반응에 의해 수소이온 농도가 증가했기 때문이다. 또한 UV-Vis 분광분석 결과 I3- 농도가 감소한 것을 확인하였으며, 이는 iodine이 thiourea 와 반응에 참여하여 소모되었기 때문에 상대적으로 I3- 농도가 감소한 것으로 해석할 수 있다. I3- 농도 감소로 인해 recombination 이 감소하여 voltage가 증가할 것으로 기대되었으나, I2와 thiourea의 반응으로 인해 생성된 proton 농도로 인해 TiO2 의 전도띠 에너지가 변화가 더 우세하게 일어나 결과적으로는 voltage가 감소한 것이다. 증가된 photocurrent 의 경우 역시, proton 농도 증가 및, iodide 농도 증가로 설명할 수 있었다.
-
2010년경 2.5G APD 시장은 3, 000억원 규모로 증가하는데 이는 FTTH 망의 확산에 힘입은 바 크다. 이와 같이 중요한 APD 소자는 현재 광통신 부품시장을 석권해 가고 있는 대만, 중국 업체들은 제조기술을 갖고 있지 않고 주로 미국-일본 기술에 의존하고 있기 때문에 Niche market으로 중요한 부품이라 할 수 있다. APD의 증폭은 높은 전기장에 의해 얻어지는데, 이 때문에 메사형 구조로는 신뢰성을 확보하기 어렵게 되고 따라서 평면형(Planar) 구조로 설계-제작하게 된다. APD 소자는 증폭층의 너비에 의해 APD의 이득-대역폭이 정해지므로 증폭층 폭을 정확하게 조절하는 것은 매우 중요하다. 증폭층의 폭은 에피 성장과 같은 높은 정밀성을 갖는 장비에 의해 조절하는 것이 아니라, Planar 구조의 특성상 Zn-확산에 의해 조절하게 된다. 대부분의 경우 Zn-확산은 Zn 또는
$Zn_3P_2$ 를 증착하여 drive-in 시키는 방법을 사용하는데, 이 경우 Zn가 interstitial site를 치고 들어감으로 인해 캐리어 농도가$2{\times}10^{17}\;cm^{-3}$ 정도로 낮게 형성된다. 따라서 높은 인가 바이어스에서 p-side로 공핍층이 전개되기 때문에 증폭층의 폭을 조절하기가 매우 어렵다. 이 현상은 APD 제작에 있어서 수율과 관련이 깊다. 따라서 APD의 증폭층 폭을 tight하게 조절하기 위해서는 p-type 캐리어 농도를 높일 수 있는 gas-phase 확산 방식의 개발이 필요하다. 이 방식에는 Ampoule과 같은 closed tube 방식과 확산로와 같이 Gas를 지속적으로 흘려주면서 확산시키는 open-tube 방식이 있다. Ampoule 방식은 캐리어 농도 측면에서는 가장 좋은 방식이나, Ampoule의 size 및 온도 균일성 등으로 인해 생산성에 문제가 있다. 따라서 open-tube 방식의 확산기술개발은 매우 중요하다 할 수 있다. 본 연구에는 rapid thermal annealing (RTA) 방법에 의한$Zn_3P_2$ 고체의 확산 방식과 DEZn MO source에 의한 Gas 확산 방식을 바탕으로 InP로의 확산된 Zn원자와 doping의 분포를 비교하였다. 실험결과, Gas 확산방식의 경우 Zn원자가 더욱 더 깊게 확산이 되었으며, 확산된 원자의 대부분이 도펀트로 작용함을 확인할 수 있었다. -
Generally, the high energy lithium ion batteries depend intimately on the high capacity of electrode materials. For anode materials, the capacity of commercial graphite is unlike to increase much further due to its lower theoretical capacity of 372 mAhg-1. To improve upon graphite-based negative electrode materials for Li-ion rechargeable batteries, alternative anode materials with higher capacity are needed. Therefore, some metal anodes with high theoretic capacity, such as Si, Sn, Ge, Al, and Sb have been studied extensively. This work focuses on ternary Si-M1-M2 composite system, where M1 is Ge that alloys with Li, which has good cyclability and high specific capacity and M2 is Mo that does not alloy with Li. The Si shows the highest gravimetric capacity (up to 4000mAhg-1 for Li21Si5). Although Si is the most promising of the next generation anodes, it undergoes a large volume change during lithium insertion and extraction. It results in pulverization of the Si and loss of electrical contact between the Si and the current collector during the lithiation and delithiation. Thus, its capacity fades rapidly during cycling. Si thin film is more resistant to fracture than bulk Si because the film is firmly attached to the substrate. Thus, Si film could achieve good cycleability as well as high capacity. To improve the cycle performance of Si, Suzuki et al. prepared two components active (Si)-active(Sn, like Ge) elements film by vacuum deposition, where Sn particles dispersed homogeneously in the Si matrix. This film showed excellent rate capability than pure Si thin film. In this work, second element, Ge shows also high capacity (about 2500mAhg-1 for Li21Ge5) and has good cyclability although it undergoes a large volume change likewise Si. But only Ge does not use the anode due to its costs. Therefore, the electrode should be consisted of moderately Ge contents. Third element, Mo is an element that does not alloys with Li such as Co, Cr, Fe, Mn, Ni, V, Zr. In our previous research work, we have fabricated Si-Mo (active-inactive elements) composite negative electrodes by using RF/DC magnetron sputtering method. The electrodes showed excellent cycle characteristics. The Mo-silicide (inert matrix) dispersed homogeneously in the Si matrix and prevents the active material from aggregating. However, the thicker film than
$3\;{\mu}m$ with high Mo contents showed poor cycling performance, which was attributed to the internal stress related to thickness. In order to deal with the large volume expansion of Si anode, great efforts were paid on material design. One of the effective ways is to find suitably three-elements (Si-Ge-Mo) contents. In this study, the Si based composites of 45~65 Si at.% and 23~43 Ge at.%, and 12~32 Mo at.% are evaluated the electrochemical characteristics and cycle performances as an anode. Results from six different compositions of Si-Ge-Mo are presented compared to only the Si and Ge negative electrodes. -
Kim, Sun-Mi;Qadir, Kamran;Jin, Sook-Young;Jung, Kyeong-Min;Reddy, A. Satyanarayana;Joo, Sang-Hoon;Park, Jeong-Young 304
The study on the catalytic oxidation of carbon monoxide (CO) to carbon dioxide ($CO_2$ ) using the noble metals has long been the interest subject and the recent progress in nanoscience provides the opportunity to develop new model systems of catalysts in this field. Of the noble metal catalysts, we selected ruthenium (Ru) as metal catalyst due to its unusual catalytic behavior. The size of colloid Ru NPs was controlled by the concentration of Ru precursor and the final reduction temperatures. For catalytic activity of CO oxidation, it was found that the trend is dependent on the size of Ru NPs. In order to explain this trend, the surface oxide layer surrounding the metal core has been suggested as the catalytically active species through several studies. In this poster, we show the influence of surface oxide on Ru NPs on the catalytic activity of CO oxidation using chemical treatments including oxidation, reduction and UV-Ozone surface treatment. The changes occurring to UV-Ozone surface treatment will be characterized with XPS and SEM. The catalytic activity before and after the chemical modification were measured. We discuss the trend of catalytic activity in light of the formation of core-shell type oxide on nanoparticles surfaces. -
It is known that a pulse of electrons of high kinetic energy (1-3 eV) in metals can be generated with the deposition of external energy to the surface such as in the absorption of light or in exothermic chemical processes. These energetic electrons are not in thermal equilibrium with the metal atoms and are called "hot electrons" The concept of photon energy conversion to hot electron flow was suggested by Eric McFarland and Tang who directly measured the photocurrent on gold thin film of metal-semiconductor (
$TiO_2$ ) Schottky diodes [1]. In order to utilize this scheme, we have fabricated metal-semiconductor Schottky diodes that are made of Pt or Au as a metallic layer, Si or$TiO_2$ as a semiconducting substrate. The Pt/$TiO_2$ and Pt/Si Schottky diodes are made by PECVD (Plasma Enhanced Chemical Vapor Deposition) for$SiO_2$ , magnetron sputtering process for$TiO_2$ , e-beam evaporation for metallic layers. Metal shadow mask is made for device alignment in device fabrication process. We measured photocurrent on Pt/n-Si diodes under AM1.5G. The incident photon to current conversion efficiency (IPCE) at different wavelengths was measured on the diodes. We also show that the steady-state flow of hot electrons generated from photon absorption can be directly probed with $Pt/TiO_2$ Schottky diodes [2]. We will discuss possible approaches to improve the efficiency of photon energy conversion. -
A high density (>
$10^{11}\;cm^{-3}$ ) and low electron temperature (< 2 eV) plasma is produced by using a conventional HF (13.56 MHz) plasma enhanced chemical vapor deposition (PECVD) with an additional ultra high frequency (UHF, 314 MHz) plasma source utilizing two parallel antenna assembly. It is applied for the high rate synthesis of high quality nanocrystalline silicon (nc-Si) films. A high deposition rate of 1.8 nm/s is achieved with a high crystallinity (< 70%), a low spin density (<$3{\times}10^{16}\;cm^{-3}$ ) and a high light soaking stability (< 1.5). Optical emission spectroscopy measurements reveal emission intensity of$Si^*$ and$SiH^*$ , intensity ratio of$H{\alpha}/Si^*$ and$H{\alpha}/SiH^*$ which are closely related to film deposition rate and film crystallinity, respectively. A high flux of precursor and atomic hydrogen which are produced by an additional high excitation frequency is effective for the fast deposition of highly crystallized nc-Si films without additional defects. -
ICRF 또는 NB 시스템에 의해 가열된 고에너지 이온들을 측정하는 것은 핵융합 플라즈마에서 중요한 과제 중의 하나이다. 특히 ICRF를 이용한 D(H) 플라즈마의 H minority의 가열은 H의 분율에 따라 가열의 정도가 달라지고 이 결과는 이온의 고에너지 측정을 통해서 확인할 수 있으므로 정확한 고속 이온의 측정은 매우 중요하다. 본 연구에서는 고속 이온을 전하 교환된 중성입자로부터 측정하는 중성입자 검출기를 개발하였다. Si 광다이오드인 AXUV3ELA를 기반으로 50-300 keV 범위의 고에너지 H 및 D 이온을 측정할 수 있는 소형의 중성입자 검출기(Compact Neutral Particle Analyzer : CNPA)가 설계 제작되었다. 검출된 신호는 Pre-amp와 shaping amplifier를 통해 증폭되고 shaping 되며 마지막으로 다중채널 분석기(Multi Channel Analyzer : MCA)를 통해서 계수된다. 본 발표에서는 NPA의 구체적인 설계 특성과 함께 Am-241 gamma ray 선원을 이용한 NPA의 시험 및 보정결과를 보고할 예정이다.
-
산업의 기반이 되는 화석연료의 고갈과 화석연료의 사용으로 야기되는 환경오염 문제로 인하여 새로운 에너지원의 개발이 요구되고 있다. 이러한 시대적 요구에 부흥하고자 신재생 에너지원에 관한 많은 연구가 진행되고 있으며, 그중에 태양전지가 가장 주목받고 있다. 그러나 태양전지는 기존 전력 생산 방법에 비해 경제성이 낮아 이를 극복하기 위한 다양한 연구가 진행되고 있다. 특히 결정질 태양전지에 관한 연구가 가장 활발한데 경제성과 변환효율을 향상시키기 위해 태양전지의 전면에 선택적 doping 형성법이 사용되고 있는데, 선택적 doping 구조의 태양전지는 기존의 태양전지보다 변환효율이 높으면서 양산에서 사용 가능한 구조이기 때문에 경제적 측면에서 더 유리한 구조라 할 수 있다. 하지만 선택적 doping 형성을 위한 실험적인 분석 방법에는 많은 시간과 노력이 필요하며 많은 시행착오를 겪어야 한다. 따라서 이러한 시간과 노력을 줄이고 실험을 하기 이전에 결과를 예측하여 실험의 방향을 제시하고자 TCAD simulation을 이용하여 결정질 태양전지의 전면에 형성한 선택적 doping 농도에 따른 pn 접합 형성 구조와 doping profile에 따른 전기적, 광학적 특성을 예측하고 효과적인 특성을 가질 수 있는 구조를 제시하고자 한다. 선택적 doping의 효과를 확인하기 위해 SR로 각 파장별 양자효율의 변화와 전기적 특성을 분석하여 selective emitter 태양전지에 적합한 pn 접합 형성구조를 제시하고자 한다.
-
Yoo, Ha-Jin;Son, Chan-Hee;Choi, Joon-Ho;Kang, Jung-Wook;Cho, Won-Tae;Park, Sang-Gi;Lee, Yong-Hyun;Choi, Eun-Ha;Cho, Guang-Sup;Kwon, Gi-Chung 309
We have investigated the effect of$H_2$ plasma treatment on the BZO (ZnO:B, Boron doped ZnO) thin films. The BZO thin films are prepared by LP-MOCVD (Low Pressure Metal Organic Chemical Vapor Deposition) technique and the samples of BZO thin film are performed with$H_2$ plasma treatment by plasma treatment system with 13.56 MHz as RIE (Reactive Ion Etching) type. After exposing$H_2$ plasma treatment, measurement of transmittance, reflectance and haze spectra in 300~1100 nm, electrical properties as resistivity, mobility and carrier concentration and work function was analysed. Regarding the results of the$H_2$ plasma treatment on the BZO thin films are application to the TCO for solar cells, such as the a-Si thin films solar cell. -
태양전지의 양자효율이란 입사되는 광자 수에 대한 태양전지에 의해 수집되는 캐리어 수의 비를 의미한다. 본 연구에서는 결정질, 다결정질, 비정질 실리콘 태양전지 양자효율 측정 정확도 향상에 대하여 연구하였다. 태양전지 양자효율 측정에 어떠한 변수들이 영향을 미치고 정확한 측정을 하기위해서는 측정을 어떻게 하여야 하는가에 대한 실험을 하였다. 태양전지 특성분석은 실제 사용 환경에 맞도록 표준측정조건(standard test condition: STC)에서 측정한 데이터를 사용하여야 한다. 이 표준측정조건은 AM1.5G 스펙트럼,
$100\;mW/cm^2$ 의 강도 및 온도$25^{\circ}C$ 에서의 측정을 말한다. 조건에 맞지 않는 측정을 할 경우 어떠한 변화가 있는지와 어떻게 측정을 하는 것이 정확한 측정인가에 대한 연구를 진행하였다. 바이어스 광의 강도와 쵸핑 주파수에 따라 측정을 진행하였고, 태양전지의 분광반응도(spectral response: SR)를 측정하여 이를 이용하여 양자효율을 계산하였고, 양자효율 결과를 토대로 분석을 진행하였다. -
The fabricated photovoltaic cells based on PIN heterojunctions, in this study, have a structure of ITO/poly(3, 4-ethylenedioxythiophene)-poly(styrenesulfonate)(PEDOT:PSS)/donor/donor:C60(10nm)/C60(35nm)/2, 9-dimethyl-4, 7-diphenyl-1, 10-phenanthroline(8nm)/Al(100nm). The thicknesses of an active layer(donor:C60), an electron transport layer(C60), and hole/exciton blocking layer(BCP) were fixed in the organic photovoltaic cells. We investigated the performance characteristics of the PIN organic photovoltaic cells with copper phthalocyanine(CuPc), tetracene and pentacene as a hole transport layer. Discussion on the photovoltaic cells with CuPc, tetracene and pentacene as a hole transport layer is focussed on the dependency of the power conversion efficiency on the deposition rate and thickness of hole transport layer. The device performance characteristics are elucidated from open-circuit-voltage(Voc), short-circuit-current(Jsc), fill factor(FF), and power conversion efficiency(
$\eta$ ). As the deposition rate of donor is reduced, the power conversion efficiency is enhanced by increased short-circuit-current(Jsc). The CuPc-based PIN photovoltaic cell has the limited dependency of power conversion efficiency on the thickness of hole transport layer because of relatively short exciton diffusion length. The photovoltaic cell using tetracene as a hole transport layer, which has relatively long diffusion length, has low efficiency. The maximum power conversion efficiencies of CuPc, tetracene, and pentacene-based photovoltaic cells with optimized deposition rate and thickness of hole transport layer have been achieved to 1.63%, 1.33% and 2.15%, respectively. The photovoltaic cell using pentacene as a hole transport layer showed the highest efficiency because of dramatically enhanced Jsc due to long diffusion length and strong thickness dependence. -
The performance of polymer photovoltaic cells based on blends of poly(3-hexylyhiophene) (P3HT) and phenyl-C61-butyric acid methyl ester (PCBM) is strongly influenced by blend composition and thickness. Polymer photovoltaic cells based on bulk-heterojunction have been fabricated with a structure of ITO/poly(3, 4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS)-pentacene/poly (3-hexylthiophene) (P3HT):phenyl-C61-butyric acid methyl ester (PCBM)/Al. We have prepared PEDOT:PSS by dissolving pentacene in N-methylpyrrolidine (NMP) and mixing with PEDOT:PSS. Pentacene was added a maximum concentration of approximately 5.5mg to the PEDOT:PSS solution and sonicated for 10 min. Active layer (P3HT:PCBM) (1:1) was strongly influenced by PEDOT:PSS-pentacene. We have investigated the performance of photovoltaic device with different concentration of P3HT:PCBM (1:1) 2.0wt%, 2.2wt%, 2.4wt% and 2.6wt%, respectively. The photocurrent and power conversion efficiency (PCE) showed a maximum between 2.0wt% and 2.2wt% concentration of P3HT:PCBM. This implied that both morphology and electron transport properties of the layer influenced the performance of the present photovoltaic cells. As the concentration of P3HT:PCBM blends as an active layer was increased, the power conversion efficiency was decreased. P3HT:PCBM layer and PEDOT:PSS-pentacene layer were characterized by work function, UV-visible absorption, atomic force microscopy (AFM), X-ray diffraction (XRD) and scanning electron microscope (SEM).
-
For the optimal design of a tokamak-type reactor, self-consistent determination of a radial build of reactor systems is important and the radial build has to be determined by considering the plasma physics and engineering constraints which inter-relate various reactor systems. In a low aspect ratio (LAR) tokamak reactor with a superconducting toroidal field (TF) coil, the shield should provide sufficient protection for the superconducting TF coil and the shield plays a key role in determining the size of a reactor. To determine the radial build of a reactor, neutronic effects such as tritium breeding in the blanket, nuclear heating, and radiation damage to toroidal field (TF) coil has to be included in the systems analysis. In this work, the outboard blanket only is considered where tritium self-sufficiency is possible by using an inboard neutron reflector instead of breeding blanket. The reflecting shield should provide not only protection for the superconducting TF coil but also improved neutron economy for the tritium breeding in outboard blanket. Tungsten carbide, metal hydride such as titanium hydride and zirconium hydride can be used for improved shielding performance and thus smaller shield thickness. With the use of advanced technology in the shield, conceptual design of a compact superconducting LAR reactor with aspect ratio of less than 2 will be presented as a viable power plant.
-
양성자 빔을 이용하여 두경부 암 치료를 South Africa의 iTHEMBA에서 시행하고 있다. 200 MeV의 양성자 빔라인으로부터 진공에서 대기로 인출하여 노즐을 통과하여 종양세포에 조사된다. 치료계획에 적합하게 빔에너지와 모양을 변환하고, 빔을 모니터링하는 기계적 장치들이 노즐에 구성된다. 빔라인에는 이온챔버, Steering Magnet, Multi-wire 이온챔버, Range trimmer plates, lead scattering plate, Double-wedge energy degrader, Multi-layer Faraday cup, Range modulator, Range monitor, occluding ring, Shielding collimators, Quadrant and monitor ionization chamber, Treatment collimator, 그리고 Wellhofer dosimetry tank로 구성되어 있다. 총길이는 6.6m이며 노즐 끝에서 환자의 isocenter 까지는 30cm 정도 아래에 위치한다. 상기의 배치를 갖는 시스템의 양성자 scattering system의 성능을 MCNPX v2.5.0 Monte Carlo simulation을 실시하였다. 또한 정확한 선량을 실시간으로 측정하는 방법인 투과형 검출기를 개발하여 치료와 빔 특성을 동시에 수행하는 기술개발연구가 보고되고 있다. 본 연구에서는 Multileaf Faraday Cup (MLPC) 검출기 설계구조와 데이터 측정방법에 관한 연구를 수행하고자 한다. 빔의 전송 방향으로 3개층의
$4{\times}4$ 배열의 구조로 48 channel의 전류값을 측정하여 입자빔의 분포를 실시간으로 관측하고, 측정된 전류는 ADC를 거쳐 치료계획에 의해 선택된 영역의 SOBP를 유지하도록 range modulation propeller를 조절하는 feed-back system을 갖춘 방사선치료빔 실시간 측정장치 개발에 관한 결과를 보고하고자 한다. -
Thin films spin-coated from solvent solutions are characterized by solution parameters and spin-coating process. In this study, performance characteristics of polymer solar cells were investigated with changing solution parameters such as solvent and additives. The phase-separation between polymer and fullerene is needed to make the percolation pathway for better transportation of hole and electron in polymer solar cells. For this reason, cooperative effects of solvent mixtures adding additives with distinct solubility have been studied recently. In this study, chlorobezene, 1, 2-dichlorbenzene, and chloroform were used as solvent. 1, 8-diiodoctaned and 1, 8-octanedithiol were used as additives and were added into poly(3-hexylthiophene-2, 5-diyl)/[6, 6]-phenyl C61 butyric acid methyl ester (P3HT/PCBM) blends. Pre-patterned ITO glass was cleaned using ultrasonication in mixed solvent with ethyl alcohol, isopropyl alcohol and acetone. PEDOT:PSS was spin-coated on to the ITO substrate at 3000rpm and was baked at
$120^{\circ}C$ for 10min on the hotplate. The prepared solution was spin-coated at 1000rpm and the spin-coated thin film was dried in the Petri dishes. Al electrode was deposited on the thin film by thermal evaporation. The devices were annealed at$120^{\circ}C$ for 30min. By adding 2.5 volume percent of additives into the chlorobenzene from that bulk heterojunction films consisting of P3HT/PCBM, the power efficiency (AM 1.5G conditions) was increased from 2.16% to 2.69% and 3.12% respectively. We have investigated the effect of additives in P3HT/PCBM blends and the film characteristics and the film characteristics including J-V characteristics, absorption, photoluminescence, X-ray diffraction, and atomic force microscopy to mainly depict the morphology control by doping additives. -
ZnO나노와이어는 높은 투과도, 화학 및 열적 안정성을 가지며, 유기태양전지에 적용하였을 때 Active Layer의 표면적 증가, 전자의 수집 및 전달에 용이한 장점가지고 있어 하이브리드 유기 태양전지에 적용되고 있다. ZnO나노와이어와 P3HT/PCBM을 사용한 하이브리드 유기태양전지는 Active Layer의 열처리 온도를 변화시켜 ITO/AZO/ZnO wire/PCBM:P3HT/PEDOT:PSS/Ag구조로 제작되었다. ZnO나노와이어는 AZO를 Seed로 사용하고 Znc nitrate hydrate와 hexamethylenetetramine을 혼합하여 수열합성법으로 성장 후, P3HT:PCBM, PEDOT:PSS을 Spin Coating법으로 형성하였다. UV-vis와 Solar simulator를 통하여 Active Layer의 열처리 온도에 따른 태양전지의 특성을 분석하였다.
-
전기로를 이용하여 셀렌화한
$CuInSe_2$ (CIS)박막에 대해 연구한 결과를 발표하고자 한다. 화석연료의 과도한 사용으로 지구온난화의 환경문제가 대두되면서 영구적이고 무상의 태양에너지 이용에 대한 필요성이 점차 높아지고 있다. 빛에너지를 전기에너지로 변화시키기 위한 태양전지는 재료에 따라 다양하게 개발되고 있으며 그 중 가장 주목을 받고 있는 것 중의 하나가$CuInSe_2$ 을 흡수층으로 하는 CIS 박막 태양전지이다. CIS 박막은 태양전지의 흡수층으로 사용되는데 직접천이형 밴드구조를 가지고 있고, 약$10^5\;cm^{-1}$ 의 높은 광흡수계수를 가지고 있어 태양전지의 흡수층으로 적합한 물질로 각광받고 있다. 에너지 밴드갭이 1eV로 실리콘과 유사한 밴드갬을 가지고 있으나 이는 Ga, Al을 In 대신 치환함으로 조절할 할 수 있다. 무엇보다도 유리와 같은 저가의 기판위에 스퍼터와 같은 장치로 대면적 CIS 태양전지를 만들수 있다는 것이 산업적인면에서의 장점으로 알려져 있다. 본 연구에서는$50mm{\times}50mm$ 넓이의 sodalime 유리판을 기판으로 하여 CIS 박막을 제조하고 연구하였다. 스퍼터를 이용하여 유리기판 위에 Mo (Molybdenum) 을 증착하고 그 위에 Cu-In막을 증착하였다. Cu-In/Mo/유리기판 시료는 전기로에 도입되어 셀렌화 처리 하였다. 전기로는$10^{-1}$ Torr 정도의 진공을 수분간 유지하여 반응할 수 있는 공기(산소)를 제거하였다. 진공 혹은 5N의 고순도 질소를 흘려주며 열을 가하여 셀렌화를 하였다. 전기로에는 1g의 셀레늄(Se)이 Cu-In/Mo/유리기판 시료와 함께 도입되었다. Se이 Cu-In 막과 높은 반응성을 갖도록 Se과 Cu-In 시료는 그라파이드 상자에 함께 넣었고, 그라파이트 상자는 전기로에 넣어 셀렌화하였다. 셀렌화 온도는$400^{\circ}C{\sim}500^{\circ}C$ 까지 변화시켜 가며 CIS 박막을 제조하였으며 그 물성도 조사하였다. 물성 조사는 사진, 현미경, SEM, EDX, XRD, Hall effects를 이용하였다. 셀렌화 온도가$450^{\circ}C$ 이상에서는 CIS 박막의 흡착성이 낮아 CIS 박막이 Mo 표면에서 떨어짐을 알 수 있었다. 셀렌화 후 박막에 함유된 Se은 48%~49% 정도있었다. 제조된 CIS 박막시료를 SEM으로 확인한 결과 생성된 CIS/Mo 사이에 계면층이 생겼있음 알 수 있었다. 이러한 계면층은$MoSe^2$ 층으로 사료되고, 셀렌화 온도가 높으면 계면층의 두께도 증가되는 경향을 보였다. 셀렌화 온도가 높아질수록 많은 양의 산소가 CIS 박막에 들어가는 것도 알 수 있었다. 학술회의에서 보다 깊은 조사결과를 발표하고자 한다. -
칼코젠계 태양전지의 광흡수층으로 사용되는 CuInSe2은 직접천이형 반도체로 광흡수계수가
$1{\times}105cm-1$ 로 매우 높고, 전기광학적 안정성이 우수하여 실리콘 결정질 태양전지를 대체할 고효율 태양전지로 각광받고 있다. 광흡수층의 밴드갭 에너지가 증가하면 태양전지의 개방전압(Voc)이 증가하여 광변환 효율을 향상시킬 수 있으므로, CuInSe2에서 In의 일부를 Ga으로 치환하여 에너지 밴드갭의 변화를 주는 연구가 많이 진행되고 있다. 그러나 화합물내의 Ga 조성비가 증가하면 단락전류(Jsc), 충진률(fill factor)이 낮아져 태양전지 효율을 저하시키게 되므로 CIGS 박막의 적절한 화합물 조성비를 갖도록 최적조건을 확립하는 것이 매우 중요하다. 본 실험에서는 광흡수층 형성을 위해 Sputtering법으로 금속 전구체를 증착하고, 고온에서 셀렌화 열처리를 수행하는 Sequential process(2단계 증착법)를 이용하였다. soda-lime glass 기판에 Back contact으로 Mo를 증착하고, 1단계로 CuIn0.7Ga0.3 조성비의 타겟을 이용하여 Sputtering법으로$0.5{\sim}2{\mu}m$ 두께의 CIG 전구체를 증착하였다. 2단계로 CIG 전구체의 셀렌화열처리를 통하여 CIGS 화합물 구조의 박막을 형성시켰다. 이때 형성된 CIGS 화합물 박막의 두께는 동일하게 함으로써, 열처리온도에 의한 박막의 구조변화를 비교하였다. 증착된 CIGS 박막은 고온 엑스선회절분석을 통해 증착 두께와 온도 변화에 따른 CIGS 층의 구조 변화를 확인하고, 동일한 증착조건으로 Buffer layer, Window layer, Grid 전극을 형성하여 태양전지셀 특성을 평가함으로써 CIGS 태양전지 광흡수층의 결정구조에 따른 광변환 효율을 비교하였다. -
최근 결정질 태양전지에 관한 연구 중, 후면 공정에 대한 연구가 중요시 되고 있으며 local back contact 구조는 후면 공정에 관한 연구 중 가장 많은 연구가 이루어지고 있는 분야이다. 특히 local back contact이 형성하는 BSF의 폭, 깊이, 간격은 태양전지의 전기적 특성 및 광학적 특성을 결정짓는 결정적인 요인중 하나이다. 하지만 local back contact 형성을 위한 실험에는 많은 시간과 노력이 필요하며, 많은 시행착오를 겪어야 한다. 따라서 2차원 modeling 함수를 통한 TCAD simulation으로 실험하기 이전에 local back contact구조의 태양전지를 만들고 SR로 각 파장별 양자효율의 변화와 전기적 특성을 분석하여 최적화된 local back contact 구조를 제시할 것이다.
-
일반적으로 결정질 silicon solar cell의 에미터 층의 면저항이 높아짐에 따라 표면에서의 재결합이 줄어들고, Voc가 상승한다. 그러나 에미터 층의 면저항이 높을 경우 전면에서의 전극 저항과 에미터에 흐르는 캐리어에 의한 저항 손실이 커져 태양전지의 효율을 저하시키는데, 이를 해결하기 위한 방법으로 selective emitter에 대한 연구가 진행되고 있지만 이는 공정 과정이 다소 복잡하다는 단점이 있다. 본 연구에서는 투명 전도막 재료 중의 하나인 ITO를 결정질 실리콘 태양전지에 적용하여 위의 문제점을 해결하였다. 낮은 농도로 도핑한 에미터 층 위에 ITO를 증착시켜 cell을 제작하였으며, 완성된 high Rs cell의 결과를 기존의 low Rs cell의 특성과 비교, 분석하였다.
-
Recently, anti-reflective films (AR) are one of the most studied parts of a solar cell since these films improve the efficiency of photovoltaic devices. Also, anti-reflection films on the textured silicon solar cells reduce the amount of reflection of the incident light, which improves the device performance due to light trapping of incident light into the cell. Therefore, we preformed two step processes to get textured Si (100) substrate in this experiment. Pyramid size of textured silicon had approximately
$2{\sim}9\;{\mu}m$ . A well-textured silicon surface can lower the reflectance to 10%. For more reduced reflection, TiO2 anti-reflection films on the textured silicon were deposited at$600^{\circ}C$ using titanium tetra-isopropoxide (TTIP) as a precursor by metal-organic chemical vapor deposition (MOCVD), and the deposited TiO2 layers were then treated by annealing for 2 h in air at 600 and$1000^{\circ}C$ , respectively. In this process, the treated samples by annealing showed anatase and rutile phases, respectively. The thickness of TiO2 films was about$75{\pm}5\;nm$ . The reflectance at specific wavelength can be reduced to 3% in optimum layer. -
핵융합 플라즈마에서 연료 주입과 불순물 제거는 매우 중요한 과제로서 이를 해결하기 위한 방법으로 Glow Discharge Cleaning, ICRF Wall Conditioning과 같은 방법들을 이용한다. 최근 중국의 EAST 토카막 등에서 이러한 방법보다 보다 간소하고 효과적인 방법의 일환으로 수십에서 수백 kHZ의 HF 대역의 교류전원을 이용하여 플라즈마를 발생하고 이를 토카막 벽면의 Wall Conditioning에 적용하는 방법을 시도했다. 본 연구는 이러한 HF 플라즈마를 KSTAR 토카막 Wall Conditioning에 걱용하기 위한 예비 실험으로 선형 플라즈마 발생장치에 30kHZ 2kW급의 HF 파워를 이용하여 플라즈마를 발생하였다. 운전 압력에 따라 전압과 전류 특성을 파악하고 Langmuir probe를 이용하여 플라즈마 밀도와 온도들의 parameter를 측정하였다. 본 발표에서는 구체적인 플라즈마 발생장치를 소개하고 플라즈마 방전 특성과 parameter들을 보고할 예정이다.
-
핵융합의 고체형 증식(Helium Cooled Solid Breeder : HCSB) 블랑켓(Blanket Module)은 삼중수소 증식을 위해서 Li4SiO4, Li2TiO3, Li2O 및 Li2ZrO3 등의 페블이 고려되고 있다. 이러한 페블을 제조하기 위해서는 먼저 각각의 분말 제조가 선행되어야 한다. 한국의 Test Blanket Module(TBM)은 Li4SiO4 페블을 개발을 개발하여 사용할 예정이고 옵션으로 Li2TiO3 페블을 개발하는 것으로 되어 있다. Li4SiO4 페블을 개발하기 위해서 먼저 분말합성이 필수적이다. Li4SiO4 분말을 합성에 하기 위해서는 Lithium 금속염과 실리카 졸을 용매 및 폴리머 캐리어로서의 두 가지 기능을 하는 에틸렌글리콜에 첨가한 후 가열하여 완전히 용해시킨 후 혼합 용액을 건조시켜 겔형의 전구체를 제조한다. 이를 하소한 후 결정화시켜 Silicate 분말을 얻는데 이때의 건조, 하소 및 결정화 온도의 조건에 따른 분말의 크기 및 특성이 각각 다르다. 즉, 바인더 물질의 비율과 합성온도에 따라 특성이 약간씩 다른 분말을 얻을 수 있었다. 이렇게 얻어진 Silicate 분말은 지르코니아 볼을 이용하여 약 24 시간 동안 볼 밀링 과정을 통해 입도가 작은 미세한 Silicate 분말로 만들었다. 합성된 분말은 여러 가지 시험 및 분석을 통해서 검증되었으며, 불순물 등은 관찰되지 않았다.
-
최근 결정질 실리콘 태양전지 분야에서는 태양전지의 Voc와 Isc의 증가를 통한 효율 향상을 목적으로 후면 passivation에 대한 연구가 활발하게 진행되고 있다. Local-Back Contact은 최적화된 후면 passivation 박막을 이용한 태양전지 제조방법이다. 고효율 태양전지 개발을 위해 최적의 laser 가공 조건이 확립되어야 한다. 본 연구에서는 고효율의 LBC 태양전지 개발을 위해 ONO 구조의 후면 passivation 박막에 laser ablation 조건을 가변하여 LBC 태양전지를 제작하고 그 특성을 분석하였다. 본 연구에 사용된 laser는 355nm 파장을 갖는 UV laser를 사용하였다. laser 파워는 5W, 주파수는 30kHz로 하였을 때 폭 20um, 깊이 5um의 홀을 형성시킬 수 있었다. 후면 접촉 면적의 영향을 확인하기 위하여 laser ablation 간격을 300um, 500um, 700um으로 가변하여 공정을 진행하였다. 태양전지 제조 결과 spacing 300um일 경우 효율이 높게 측정되었으며, laser ablation의 데미지를 줄이기 위한 FGA 처리시 웨이퍼 표면의 데미지를 줄여 carrier lifetime 향상에 기여하는 것을 확인할 수 있었다. 본 연구의 결과를 이용하여 향후 후면 passivation 극대화 및 접촉면적 가변을 통한 고효율 LBC 태양전지 개발이 가능할 것으로 판단된다.