Proceedings of the Korean Vacuum Society Conference (한국진공학회:학술대회논문집)
- Semi Annual
Domain
- Physics > Optics
2013.02a
-
Atomic force microscopy (AFM) [1] can now not only image individual atoms but also construct atom letters using atom manipulation method [2]. Therefore, the AFM is the second generation atomic tool following the well-known scanning tunneling microscopy (STM). The AFM, however, has the advantages that it can image even insulating surfaces with atomic resolution and also measure the atomic force itself between the tip-apex outermost atom and the sample surface atom. Noting these advantages, we have been developing a novel bottom-up nanostructuring system, as shown in Fig. 1, based on the AFM. It can identify chemical species of individual atoms [3] and then manipulate selected atom species to the designed site one-by-one [2] to assemble complex nanostructures consisted of many atom species at room temperature (RT). In this invited talk, we will introduce our results toward atom-by-atom assembly of composite nanomaterials based on the AFM at RT. To identify chemical species, we developed the site-specific force spectroscopy at RT by compensating the thermal drift using the atom tracking. By converting the precise site-specific frequency shift curves, we obtained short-range force curves of selected Sn and Si atoms as shown in Fig. 2(a) and 2(b) [4]. Then using the atom-by-atom force spectroscopy at RT, we succeeded in chemical identification of intermixed three atom species in Pb/Sn/Si(111)-(
${\surd}3$ '${\surd}3$ ) surface as shown in Fig. 2(c) [3]. To create composite nanostructures, we found the lateral atom interchange phenomenon at RT, which enables us to exchange embedded heterogeneous atoms [2]. By combining this phenomenon with the modified vector scan, we constructed the atom letters "Sn" consisted of substitutional Sn adatoms embedded in Ge adatoms at RT as shown in Fig. 3(a)~(f) [2]. Besides, we found another kind of atom interchange phenomenon at RT that is the vertical atom interchange phenomenon, which directly interchanges the surface selected Sn atoms with the tip apex Si atoms [5]. This method is an advanced interchangeable single atom pen at RT. Then using this method, we created the atom letters "Si" consisted of substituted Si adatoms embedded in Sn adatoms at RT as shown in Fig. 4(a)~(f) [5]. In addition to the above results, we will introduce the simultaneous evaluation of the force and current at the atomic scale using the combined AFM/STM at RT. -
Scanning Tunneling Microscopy는 개인용컴퓨터가 보급되고, 저잡음 아날로그 칩들을 구할 수 있으며, 압전세라믹 기술이 발달하기 시작한 1981년 스위스 IBM Zurich 연구소에서 H. Rohrer와 G. Binnig 박사에 의하여 발명되었다. 이 발명 7~8년 이전 미국 표준연구원의 R. Young 박사도 비슷한 시도를 하였지만, 이 때는 제어할 수 있는 컴퓨터가 없었고, 조절 회로의 잡음 레벨도 컸으며, 역학적 진동도 커서 목적을 달성할 수 없었다. STM의 발명 후 32년이 지난 지금, 조절용 컴퓨터의 발전은 물론, 조절용 역되먹임 회로 또한 digital signal processor나 FPGA를 사용하는 형태로 변화하여 전기적 잡음도 현저히 감소하였다 [1,2]. 동시에 측정 에너지 해상도를 개선하기 위하여 세계적으로 여러 그룹이 장치를 1 K 이하에서 작동할 수 있게 제작하였고, 0.3 K에서 작동하는 상업용 제품도 등장하였다. 이 결과 에너지 해상도는 30 meV 에서 2~3
${\mu}eV$ 감소하였고, 온도변화에 따른 측정 위치의 변화도 피할 수 있게 되었다. 터널링 검침의 화학적 성분을 흡착과 같은 방법으로 조절하여, 공간 해상도는 물론 에너지 해상도도 더욱 줄일 수 있게 되었고, 스핀에 민감한 터널링 제어도 가능하게 되었다. 이제는 금속, 반도체, 초전도체는 물론 분자, 거대분자, 나노 크기의 양자점등도 측정이 가능하게 되었다. 분자진동 측정이 가능하며, 분자의 성분 분석이 가능하게 되었고, 스핀의 전도와 관련된 제반 문제들을 연구할 수 있게 되었다. 지금부터 10년 동안에는 포논의 측정과 전자와 포논 exciton 등이 관여된 다체계 현상, 이들의 동역학적 현상이 측정 가능하게 되었다. 핵자기 공명도 시도되고 있으며 화학적 구명 및 원자들 사이의 결합도 측정 가능하게 될 것이다. 이제 STM은 초고 진공에서 작동하는 Atomic Force Microscopy와 함께 지금까지 고체물리학 실험 장치가 만들어 내지 못하던 새로운 결과를 도출해 낼 것으로 기대한다. -
Quantum-structures with nanoparticles have been attractive for various electronic and photonic devices [1,2]. In recent, nonvolatile memories such as nano-floating gate memory (NFGM) and resistance random access memory (ReRAM) have been studied using silicides, metals, and metal oxides nanoparticles [3,4]. In this study, we fabricated nonvolatile memories with silicides (WSi2, Ti2Si, V2Si) and metal-oxide (Cu2O, Fe2O3, ZnO, SnO2, In2O3 and etc.) nanoparticles embedded in polyimide matrix, and photovoltaic device also with SiC nanoparticles. The capacitance-voltageand current-voltage data showed a threshold voltage shift as a function of write/erase voltage, which implies the carrier charging and discharging into the metal-oxide nanoparticles. We have investigated also the electrical properties of ReRAM consisted with the nanoparticles embedded in ZnO, SiO2, polyimide layer on the monolayered graphene. We will discuss what the current bistability of the nanoparticle ReRAM with monolayered graphene, which occurred as a result of fully functional operation of the nonvolatile memory device. A photovoltaic device structure with nanoparticles was fabricated and its optical properties were also studied by photoluminescence and UV-Vis absorption measurements. We will discuss a feasibility of nanoparticles to application of nonvolatile memories and photovoltaic devices.
-
To date, various nanobiotechnologicalapproaches for biosensors and drug development have been explosively studied. Despite of successful demonstrations, the new technologies hardly enjoyed routine applications in practical nanobiomedicine. Here, researchers trained at the interface of basic sciences and engineering are expected to play critical roles. In this tutorial, I will introduce recent studies which harness graphene derivatives for developing bioanalytical platforms to quantitatively analyze various enzyme activities and biomarkers. The systems rely on attractive interaction between graphene oxide and nucleic acids or phospholipids. Recently, one of the graphene-based bioassay system was applied to anti-viral drug screening and potent hit compounds were identified to treat hepatitis C. This study clearly shows that a new nanobio-technology can be routinely implemented in drug discovery, providing many advantages over conventional methods.
-
FcBP consisting of 13 amino acids specifically binds to Immunoglobulin G Fc domain. Initially, we utilized this peptide for preparation of antibody chip as a PEG composite for enhanced solubility. After then, the peptide conjugate was immobilized on agarose resin, resulting in highly efficient affinity column for antibody purification. The efficiency was comparable to commercial Protein A column. Recently, this peptide was conjugated with cell penetratingpeptide (CPP) on a backbone of GFP, affording antibody transducer, which carries antibody into live cells by simple mixing of antibody and the transducer in cell culture media. Antibody transduction into cells was monitored by live cell imaging. More recently, the FcBP was fused to ferritin cage, which consists of 24 ferritin protein molecules. The FcBP-ferritin cage showed greatly increased binding affinity to human IgG. Its binding was analyzed by QCM and SPR analysis. Finally, it was selectively delivered by Herceptin to SKBR3, a breast cancer cell, over MCF10A, non-tumorigenic cells.
-
Label-free, sensitive and selective detection methods with high spatial resolution are critically required for future applications in chemical sensor, biological sensor, and nanospectroscopic imaging. Here I describe the development of Plasmon Resonance Energy Transfer (PRET)-based molecular imaging in living cells as the first demonstration of intracellular imaging with PRET-based nanospectroscopy. In-vivo PRET imaging relied on the overlap between plasmon resonance frequency of gold nanoplasmonic probe (GNP) and absorption peak frequencies of conjugated molecules, which leads to create 'quantized quenching dips' in Rayleigh scattering spectrum of GNP. The position of these dips exactly matched with the absorption peaks of target molecules. As another innovative application of PRET, I present a highly selective and sensitive detection of metal ions by creating conjugated metal-ligand complexes on a single GNP. In addition to conferring high spatial resolution due to the small size of the metal ion probes (50 nm in diameter), this method is 100 to 1,000 folds more sensitive than organic reporter-based methods. Moreover, this technique achieves high selectivity due to the selective formation of Cu2+complexes and selective resonant quenching of GNP by the conjugated complexes. Since many metal ion ligand complexes generate new absorption peak due to the d-d transition in the metal ligand complex when a specific metal ion is inserted into the complex, we can match with the scattering frequency of nanoplasmonic metal ligand systems and the new absorption peak.
-
자연은 인류가 삶은 유지하는 터전이다. 자연을 사전에서 찾아보면 "1. 사람의 힘이 더해지지 아니하고 세상에 스스로 존재하거나 우주에 저절로 이루어지는 모든 존재나 상태, 2. 사람의 힘이 더해지지 아니하고 저절로 생겨난 산, 강, 바다, 식물, 동물 따위의 존재. 또는 그것들이 이루는 지리적 지질적 환경"의 의미를 갖는다고 풀이되어 있다. 이러한 자연에는 인간이 배울 많은 지혜로움이 존재한다. 최근 이러한 자연의 지혜로움을 배워 공학적으로 응용하려는 시도가 이루어지고 있다. 특히 나노/마이크로 구조를 가지면 고유의 고효율/고기능 특성을 구현하는 자연의 표면을 배우고자 하는 연구가 활발하다. 연잎의 자기세정, 나방 눈의 반사방지, 몰포나비 날개의 구조색, 게코발바닥의 건식접착, 나미브사막 딱정벌레의 안개포집 등이 대표적인 나노/마이크로 구조를 기반으로 하는 기능성 자연표면의 대표적인 사례들이다. 본 발표에서는 이러한 자연의 나노구조를 기반으로 하는 기능성 표면과 이들이 어떻게 응용될 수 있는지 소개하고자 한다.
-
Sample concentration and purification processes are essential in the bio-analytical and pharmaceutical fields because most bio samples or media are extremely sophisticated. To concentrate and purify specific substances, passive membrane type filters have been utilized, which is driven by size or charge differences between target and others. The traditional and representative method to identify nucleic acid sequences in the complex biosample is gel electrophoresis, which has been worked by size and net charge of molecules. The adsorption phenomena have been also utilized to concentrate and purify biomolecules. This adsorption of biomolecule can be controlled under specific salts and surfaces as well as surface area. To utilize the differences of physical properties of molecules or bio-targets such as virus, bacteria, and cells, the nanotechnologies can be introduced in target concentration, purification, and isolation processes. In here, I'd like to briefly survey typical examples of nanobiotechnologies which are introduced in sample treatment. Also I specifically demonstrate two different simple techniques to concentrate and detect bacteria from the samples using multifunctional silica nanotube (SNT).
-
최근 각광을 받고있는 나노바이오 질량이미징 분석법의 측정원리를 이해하고, 세포/생체조직/생체샘플/바이오칩의 측정결과를 바탕으로 핵심측정 능력을 파악하여 각자의 연구에 적용 가능성을 탐색할 수 있는 역량을 배양하고자 한다.
-
산업이 고도화, 다원화, 세계화되고 있는 현대사회는 다기능성, 고물성, 극한 내구성을 가지며 환경 친화적이면서 에너지 효율을 극대화시킬 수 있는 다기능 소재의 개발을 요구하고 있다. 이러한 시점에서 다양한 물성을 동시에 발현이 가능한 코팅 소재는 향후 미래에 중요한 원천 소재로서 주목되고 있다. 특히, 환경에 의해 쉽게 물성 및 구조의 변화가 쉬운 종래의 코팅소재와는 달리, 다양한 외부환경에서도 미세 구조 및 물성을 안정적으로 유지할 수 있는 신개념의 코팅 소재의 개발이 절실히 요구되고 있다. 이를 위해서는 코팅소재의 다 성분화가 필수적이다. 최근의 코팅 기술은 2가지 이상의 물성, 특히 서로 상반되는 물성을 동시에 구현할 수 있는 소재의 개발을 요구하고 있다. 이러한 물성의 구현을 위하여 더 많은 성분으로 구성되며 더욱 복잡한 조직으로 구성된 코팅층에 대한 개발이 필요하다. 본 연구에서 목표로 하는 신 개념의 원천소재기술은 4성분계 이상의 원료 물질을 단일 타겟으로 제조하여, 단순한 코팅공정으로서 단일 코팅층 내에 다양한 성분상이 10 nm 미만 크기의 나노 결정립/나노 비정질로 구성된 나노 복합 구조로 형성되도록 하는 기술을 개발하고자 하는 것이다. 이는 복합기능 3 이상의 다기능성 부여는 물론, 그림 1에 명시되어 있는 극한 기능성(광대역 윤활성, 전자 이동 제어에 의한 온도 저항 계수 및 전기 저항 조절, 고온 열적 안정성, 내산화성, 고열전도율, 초저마찰/내구성/초고경도성 등)이 구현되도록 하는 소재 개발과 원하는 물성을 구현할 수 있는 나노 복합 코팅층의 형성 공정으로 구성된다. 다성분계 모물질의 개발이 중요한 이유는 다수의 성분 원소를 합금 상태로 형성시킴으로서, 단일 소스에 의해 다양한 원소를 동시에 스퍼터링 및 증착이 가능하도록 할 수 있다는 장점을 가지기 때문이다. 특히, 타겟의 미세구조를 나노구조화 하는것을 통해, 스퍼터링 yield의 차이가 큰 원소일지라도 균일하게 증착시킬 수 있는 방법을 제시하고자한다. 이러한 연구는 다수의 성분 타겟을 사용함으로서 장비의 복잡성, 코팅의 재현성, 대형화 등의 문제점을 본질적으로 갖고 있는 기존 PVD 공정의 문제점을 해결하기 위한 최적의 대안이라할 수 있다. 본 발표에서는 3가지 이상의 다기능성 구현을 위한 가장 중요한 원천기술이라 할 수 있는 다성분계 타겟 모물질 제조 기술과 제조된 모물질을 이용하여 제조된 저마찰 코팅층과 그 물성에 대해 소개하고자 한다.
-
In recent years, multifunctional ternary nitride thin films have received extenstive attention due to its versatility in many applications. In particular, noble metal based ternary nitride thin films showed a promising properties in the application of Multifunctional heating resistor films because its good electrical properties and excellent resistance against oxidation and corrosion. In this study, we prepared multifunctional noble metal based ternary nitride thin films by atomic layer deposition (ALD) and plasma-enhanced ALD (PEALD) method. ALD and PEALD techniques were used due to their inherent merits such as a precise composition control and large area uniformity, which is very attractive for preparing multicomponent thin films on large area substrate. Here, we will demonstrate the design concept of multifunctional noble metal based ternary thin films. And, the relationship between microstructural evolution and electrical resistivity in noble metal based ternary thin films will be systemically presented. The useful properties of noble metal based ternary thin films including anti-corrosion and anti-oxidation will be discussed in terms of hybrid functionality.
-
The Cr-Zr-N films have much improved mechanical properties and very smooth surface roughness. However, in spite of their outstanding properties, the Cr-Zr-N coatings revealed their mechanical properties deteriorated severely with increasing Zr content at
$500^{\circ}C$ ecause of very rapid oxidation. Recently oxynitride films have been widely studied due to their excellent unique mechanical properties and oxidation resistance. In this work, CrZr-O-N films with various O contents were synthesized by unbalanced magnetron sputtering with Cr-Zr segment targets (Cr:Zr volume ratios is 1:1) and all films were prepared in a nitrogen rich mixture of N2 and O2. Characteristics such as crystalline structure, hardness and chemical composition as a function of the O content were investigated by X-ray diffraction (XRD), field emission scanning electron microscope (FE-SEM), microhardness testing system and energy dispersive spectroscopy (EDS). Results showed that the thin films had dense and compact microstructure as O content in the films increases. The microstructure of the thin films consisted of mainly crystalline Cr (Zr)N phase and Cr2O3 phase. The maximum hardness and elastic modulus of the films was measured to be approximately 33.2 GPa and 280.6 GPa from the films with low content of O elements. Detailed experimental results will be presented. -
박막 공정 기술은 반도체 및 디스플레이뿐만 아니라 대부분의 전자소자에 적용되는 매우 중요한 기술이다. 그 중, 마그네트론 스퍼터링 공정은 플라즈마를 이용하여 금속 및 세라믹 등의 벌크 물질을 박막으로 증착 가능한 가장 널리 사용되는 방법 중의 하나이다. 하지만, Fe, Co, Ni 같은 강자성체 재료는 공정이 불가능하며, 스퍼터링 타겟 효율이 40% 이하이고, 제한적인 방전압력 범위 및 전류 상승에 의한 높은 전압 인가 제한이 있다는 단점이 있다. 본 연구에서 사용된 고밀도 플라즈마 소스를 적용한 고효율 스퍼터링 시스템은 할로우 음극을 이용한 원거리에서 고밀도 플라즈마를 생성하여 전자석 코일을 통해 자석이 없는 음극으로 이온을 수송시켜 스퍼터링을 일으킨다. 따라서 강자성체 재료의 스퍼터링이 가능하며, 90% 이상의 타겟 사용 효율 구현 및 기존 마그네트론 스퍼터링 대비 고속 증착이 가능하다. 또한,
$10^{-4}$ Torr 압력영역에서 방전 및 스퍼터링이 가능하다. 타겟 이온 전류를 타겟 인가 전압과 관계없이 0~4 A까지, 타겟 이온 전류와 상관없이 타겟 인가 전압을 70~1,000 V 이상까지 독립적으로 제어가능하다. 또한 TiN과 같은 질소 반응성 공정에서 반응성 가스인 질소를 40%까지 넣어도 타겟에 수송되는 이온의 양에 영향이 없다. 할로우 음극 방전 전류 40 A에서 발생된 플라즈마의 이온에너지 분포는 55 eV에서 가우시안 분포를 보였으며, 플라즈마 포텐셜인 sheath drop은 74 V 였다. OES를 통한 광학적 진단 결과, 전자석에 의한 이온빔 초점에 따라 플라즈마 이온화율을 1.8배까지 증가시킬 수 있으며, 할로우 음극 방전 전류가 60~100 A로 증가하면서 플라즈마 이온화율을 6배까지 증가 가능하다. 또한, 타겟 이온 전류와 관계없이 타겟 인가 전압을 300~800 V로 증가시킴에 따라 Ar 이온 밀도의 경우 1.4배 증가, Ti 이온 밀도의 경우 2.2배 증가시킬 수 있었으며, TiN의 경우 증착 속도도 16~44 nm/min으로 제어가 가능하다. -
전기습윤이란 고체 표면에 형성된 액적에 전기장을 인가하게 되면 액적과 표면의 계면에너지 감소로 인해 접촉각이 변화되는 현상을 말한다. 이 현상은 전자종이 구현에 응용이 모색되고 있으며, 다초점 렌즈, 마이크로 프리즘, 반사형 디스플레이 등에도 응용될 수 있다. 전기습윤현상의 응용 가능성이 현실화됨에 따라 최근 여러 표면에서의 전기습윤 현상이 연구되고 있다. 예를 들면, 나노 구조로 형성된 실리콘 기판에서 molten salt (1-ethyl-3-methyl-1-H-imidazolium tetrafluoroborate)에 22 V를 인가하면 약
$180^{\circ}$ 에서$110^{\circ}$ 로 접촉각변화를, cyclopentanol에 50 V를 인가하면 표면에 완전히 퍼지는 현상이 보고된 바 있고, 배열된 Carbon Nanotube 박막에서는 탈이온수와 0.03 M NaCl 용액에 대해서 0~50 V를 가해줌에 따라 각각$155^{\circ}$ 에서$90^{\circ}$ ,$130^{\circ}$ 에서$50^{\circ}$ 로의 접촉갑 변화가 있음이 관찰되었다. 본 연구에서는 화학적으로 안정하고 그 활용도가 매우 광범위한 실리카 코팅층을 전기분무증착법(electrospray deposition)을 이용해 형성하고, 코팅층의 표면 거칠기와 구조, 전기절연층의 두께 등에 따른 전기습윤 현상 거동을 조사하였다. -
The exterior structures of natural organisms have continuously evolved by controlling wettability, such as the Namib Desert beetle, whose back has hydrophilic/hydrophobic contrast for water harvesting by mist condensation in dry desert environments, and some plant leaves that have hierarchical micro/nanostructures to collect or repel liquid water. In this work, we have provided a method for wettability contrast on metals by both nano-flake or needle patterns and tuning of the surface energy. Metals including steel alloys and aluminum were provided with hierarchical micro/nanostructures of metaloxides induced by fluorination and a subsequent catalytic reaction of fluorine ions on metal surfaces in water with various ranges from room to boiling temperature of water. Then, a hydrophobic material was deposited on the structured surfaces, rendering superhydrophobicity. Plasma oxidization induces the formation of superhydrophilic surfaces on selective regions surrounded by superhydrophobic surfaces. We show that wettability contrast surfaces align liquid water within patterned hydrophilic regions during the condensation process. Furthermore, this method could have a greater potential to align other liquids or living cells.
-
완전화 박막이란 사용자가 원하는 용도에 맞게 최적의 성능을 구현하도록 제조된 박막을 의미하며 금속이나 화합물 박막을 제조하되 각종 구조 제어 Tool이나 증착 공정을 변화시켜 나노화와 다층화 또는 치밀화를 통해 구현될 수 있다. 최근 고성능의 증착 및 제어 Tool이 개발되고 빗각증착(Oblique Angle Deposition)이나 스침각 증착(Glancing Angle Deposition) 방법 등의 기술이 개발되면서 사용자 목적에 최적인 박막 소재를 제공하여 User-friendly한 응용을 위한 연구개발이 활발히 진행되고 있다. 완전화 박막 제조에 대한 시도는 1990년대에 일본에서 시작되었다. 일본에서는 산학연이 공동으로 참여하는 NEDO 프로그램을 통해 경질코팅을 이용한 Protective Layer를 제조하여 차단 방식에 의한 내식성 구현 연구를 수행하였다. 유럽에서는 제 7차 European Framework Program (7th FT)을 통해 2007년부터 CORRAL (Corrosion Protection with Perfect Atomic Layer) 프로젝트를 만들어 완전화 박막 연구를 진행하고 있다. 상기 프로젝트는 얇은 자연 산화막이 Bulk의 부식을 방지해주는 것에 착안하여 HIPIMS나 Filtered Arc 또는 ALD 공정을 이용하여 자연 산화막과 유사한 Defect-free 산화막을 제조하여 Barrier형 내식성 박막을 구현하는 것을 목표로 하고 있다. 본 연구에서는 완전화 박막 구현을 위한 연구동향을 파악하고 완전화 박막 제조를 위한 기술적 과제와 몇 가지의 시도에 대한 기초 연구 자료를 소개한다.
-
나노결정질 다이아몬드(Nanocrystalline Diamond: NCD) 박막은 고경도와 낮은 마찰계수를 가지고 있어 초경합금이나 고속도강과 같은 절삭공구 위에 코팅하여 공구의 성능 향상을 도모하려는 노력이 있어 왔다. 그러나 NCD 박막의 잔류응력이 크고, 초경합금과 철계 금속에 NCD가 증착되지 않는다는 문제점이 있다. 따라서 잔류응력 완화와 다이아몬드 핵생성을 위하여 제3의 중간층 재료가 필요하다. 본 연구에서는 W과 Ti을 중간층으로 하여 초경합금(WC-Co)과 고속도강(SKH51)에 NCD 박막을 코팅하고 기계적 특성을 비교하였다. 초경합금 또는 고속도강기판 위에 W 또는 Ti 중간층을 DC magnetron sputter를 이용해 각 1
${\mu}m$ 의 두께로 증착하고 그 위에 MPCVD (Microwave Plasma Chemical Vapor Deposition)를 이용해 NCD 박막을 2${\mu}m$ 의 두께로 코팅하였다. FESEM을 이용하여 표면과 단면의 형상을 관찰하였고, XRD와 Raman spectroscopy를 통해 NCD 박막의 결정성을 확인하였다. 그리고 tribology test를 실시하여 코팅된 박막의 내마모성을 비교하였으며, Rockwell C indentation test를 이용하여 밀착력을 비교하였다. 초경합금에 적용 시, W이 Ti보다 중간층으로서 더 우수한 것으로 나타났으며 이는 열팽창계수 차이에 의한 잔류응력의 차이에 의한 것으로 여겨진다. 중간층 두께에 따른 박막의 기계적 특성 변화를 알아보기 위해 W 중간층의 두께를 1, 2, 4${\mu}m$ 로 변화를 주었다. 중간층 두께가 2${\mu}m$ 이상일 때 박막의 밀착력이 증가되는 것으로 나타났다. 고속도강 위에 같은 방법으로 1${\mu}m$ 의 W 또는 Ti 중간층 위에 2${\mu}m$ 의 NCD 박막을 코팅한 시편들은 초경합금에 코팅한 것과 달리 두 시편 모두 낮은 밀착력을 나타내었다. 열팽창계수 차이에 의한 잔류응력을 완화하기 위해 고속도강에 W/Ti 복합박막을 중간층으로 Ti, W순으로 각각 1${\mu}m$ 두께로 증착 후 그 위에 NCD 박막을 2${\mu}m$ 두께로 코팅 한 후 특성을 비교하였다. Ti/W 복합 중간층 위에 코팅된 NCD 박막의 밀착력이 W 혹은 Ti 단일 중간층에 코팅된 박막에 비해 우수한 것으로 나타났다. 그러나 실제 공구에 적용하기에는 박막의 밀착력 개선이 요구되며 이를 위해서 더 연구가 필요하다. -
Magnetron-sputtering법을 사용하여 기존에 연구하였던 CrAlN (Cr 7:Al 3)박막에 Si를 첨가하여 Si의 함량 변화에 따라 미세구조와 화학적 결합상태, 온도저항계수(TCR) 및 산화저항의 영향과 기계적특성 개선을 통한 multi-functional heater resistor layer로써의 가능성을 연구하였다. CrAlSiN 박막의 Si 함량에 변화에 따라 온도저항계수 변화를 확인하였으며 X-선 회절 분석(XRD) 패턴 분석결과 CrAlSiN 박막의 결정구조가 Bl-NaCl 구조를 가지고 있는 것을 확인하였으며 SEM과 AFM을 통한 표면 및 미세구조 분석결과 Si의 함량이 증가할수록 입자가 조밀해짐을 알 수 있었다. 최근 digital priting technology의 핵심 기술로 부각되고 있는 inkjet priting technology는 널리 태양전지뿐만 아니라 thin film process, lithography와 같은 반도체 공정 기술에 활용 할 수 있기 때문에 반도체 제조장비에도 사용되고 있으며, 현재 thermal inkjet 방식을 사용하고 있다. Inkjet printing technology는 전기 에너지를 잉크를 배출하기 위해 열에너지로 변환하는 thermal inkjet 방식을 사용하고 있는데, 이러한 thermal inkjet 방식은 기본적으로 전기저항이 필요하지만 electrical resistor layer는 잉크를 높은 온도에서 순간적으로 가열하기 때문에 부식이나 산화 등의 문제가 발생할 수 있어 이에 대한 보호층을 필요로 한다. 하지만, 고해상도, 고속 잉크젯 프린터, 대형 인쇄 등을 요구되고 있어 저 전력 중심의 잉크젯 프린터의 열효율을 방해하는 보호층 제거에 필요성이 제기되고 있다. 본 연구는 magnetron-sputtering을 사용하여 기존의 CrAlN 박막에 Si를 합성하여 anti-oxidation, corrosion resistance 그리고 low temperature coefficient of resistance 값을 갖는 multi-functional heater resistor layer로써 CrAlSiN 박막의 Si 함량에 따른 효과에 초점을 두었다. 본 실험은 CrAlN 박막에 Si 함량을 4~11 at%까지 첨가시켜 함량의 변화에 따른 특성변화를 확인하였다. 함량이 증가할수록 amorphous silicon nitride phase의 영향으로 박막의 roughness는 감소하였으며 XRD 분석결과 (111) peak의 Intensity가 감소함을 확인하였으며 SEM 관찰시 모든 박막이 columnar structure를 나타내었으며 Si함량이 증가할수록 입자가 치밀해짐을 보여주었다.Si함량이 증가할수록 CrAlN 박막에 비하여 면저항은 증가하였으며 TCR 측정결과 Si함량이 6.5 at%일 때 가장 안정한 TCR값을 나타내었다. Multi-functional heater resistor layer 역할을 하기 위해서, CrAlSiN 박막의 원소 분포, 표면 거칠기, 미세조직, 전기적 특성 등을 조사하였다. CrAlN 박막의 Si의 첨가는 크게 XRD 분석결과 주상 성장을 억제 할 수 있으며 SEM 분석을 통하여 Si 함량이 증가할수록 Si3N4 형성이 감소하며 입자크기가 작아짐을 확인하였다. 면저항의 경우 Si 함량이 증가함에 따라 높은 면저항을 나타내었으며 Si함량이 6.5 at%일 때 가장 낮은 TCR 값인 3120.53 ppm/K값을 보였다. 이 값은 상용되고 있는 heater resistor보다 높지만, CrAlSiN 박막이 더 우수한 기계적 특성을 가지고 있기 때문에 hybrid heater resistor로 적용할 수 있을 것으로 기대된다.
-
Surface plasmon resonance (SPR) is classified into the propagating surface plasmon (PSP) excited on flat metal surfaces and the local surface plasmon (LSP) excited by metalnanoparticles. It is known that fluorescence signals are enhanced by these two SPR-fields.On the other hand, fluorescence is quenched by the energy transfer to metal (FRET). Bothphenomena are controlled by the distance between dyes and metals, and the degree offluorescence enhancement is determined by the correlation. In this study, we determined thecondition to achieve the maximum fluorescence enhancement by adjusting the distance of ametal nanoparticle 2D sheet and a quantum dots 2D sheet by the use of
$SiO_2$ spacer layers. The 2D sheets consisting of myristate-capped Ag nanoparticles (AgMy nanosheets) wereprepared at the air-water interface and transferred onto hydrophobized gold thin films basedon the Langmuir-Schaefer (LS) method [1]. The$SiO_2$ sputtered films with different thickness (0~100 nm) were deposited on the AgMy nanosheet as an insulator. TOPO-cappedCdSe/CdZnS/ZnS quantum dots (QDs,${\lambda}Ex=638nm$ ) [2] were also transferred onto the$SiO_2$ films by the LS method. The layered structure is schematically shown in Fig. 1. The result of fluorescence measurement is shown in Fig. 2. Without the$SiO_2$ layer, the fluorescence intensity of the layered QD film was lower than that of the original QDs layer, i.e., the quenching by FRET was predominant. When the$SiO_2$ thickness was increased, the fluorescence intensity of the layered QD film was higher than that of the original QDs layer, i.e., the SPR enhancement was predominant. The fluorescence intensity was maximal at the$SiO_2$ thickness of 20 nm, particularly when the LSPR absorption wavelength (${\lambda}=480nm$ ) was utilized for the excitation. This plasmonic nanosheet can be integrated intogreen or bio-devices as the creation point ofenhanced LSPR field. -
Many nanomaterials are being harnessed as critical components in various systems for biomedical applications including diagnosis, imaging, and drug delivery. Those systems necessitate biocompatibility and low toxcity within effective dose range while achieving enough efficacy. Even though many nanomaterials enjoy successful demonstrations in bioapplications, lack of biocompatibility and high cytotoxicity often become hurdles for practical bioapplications. On the other hand, it is important to achieve enough efficiency based on chemically well-defined systems with efforts to understand mechanism at molecular level. Here, we developvarious biocompatible nanomaterials based on simple procedure using dextran as both reducing agent and surface coating. Dextran is one of the popular biocompatible polymers that have been used for drug delivery and biosensors. Dextran coated nanomaterials showed excellent colloidal stability, flexible surface chemistry for conjugation of bioactive molecules and low cytotoxicity with successful demonstrations in various bioapplications.
-
Yamaguchi, Ryo-Taro;Hirano-Iwata, Ayumi;Aonuma, Yuki;Yoshimura, Yuya;Shinohara, Yasuo;Kimura, Yasuo;Niwano, Michio 108
Mitochondria play key roles in the production of cell's energy. Their dominant function is the synthesis of adenosine 5'-triphosphate (ATP) from adenosine diphosphate (ADP) and phosphate (Pi) through the oxidative phosphorylation. Evaluation of drug-induced mitochondrial toxicity has become increasingly important since mitochondrial dysfunction has recently been implicated in numerous diseases including cancer and diabetes mellitus. Mitochondrial functions have been monitored via oxygen consumption, mitochondrial membrane potential, and more importantly via ATP synthesis since ATP synthesis is the most essential function of mitochondria. Various analytical methods have been employed to investigate ATP synthesis in mitochondria, including high performance liquid chromatography (HPLC), bioluminescence technique, and pH measurement. However, most of these methods are based on destructive analysis or indirect monitoring through the enzymatic reaction. Infrared absorption spectroscopy (IRAS) is one of the useful techniques for real-time, label-free, and direct monitoring of biological reactions [1,2]. However, the strong water absorption requires very short path length in the order of several micrometers. Transmission measurements with thin path length are not suitable for mitochondrial assays because solution handlings necessary for evaluating mitochondrial toxicity, such as rapid mixing of drugs and oxygen supply, are difficult in such a narrow space. On the other hand, IRAS in the multiple internal reflection (MIR) geometry provides an ideal optical configuration to combine solution handling and aqueous-phase measurement. We have recently reportedon a real-time monitoring of drug-induced necrotic and apoptotic cell death using MIR-IRAS [3,4]. Clear discrimination between viable and damaged cells has been demonstrated, showing a promise as a label-free and real-time detection for cell-based assays. In the present study, we have applied our MIR-IRAS system to mitochondria-based assays by monitoring ATP synthesis in isolated mitochondria from rat livers. Mitochondrial ATP synthesis and hydrolysis were in situ monitored with MIR-IRAS, while dissolved oxygen level and solution pH were simultaneously monitored with O2 and pH electrodes, respectively. It is demonstrated that ATP synthesis and hydrolysis can be monitored by the IR spectral changes in phosphate groups in adenine nucleotides and MIR-IRAS is useful for evaluating time-dependent drug effects of mitochondrial toxicants. -
FcBP consisting of 13 amino acids specifically binds to Immunoglobulin G Fc domain. Initially, we utilized this peptide for preparation of antibody chip as a PEG composite for enhanced solubility. After then, the peptide conjugate was immobilized on agarose resin, resulting in highly efficient affinity column for antibody purification. The efficiency was comparable to commercial Protein A column. Recently, this peptide was conjugated with cell penetrating peptide (CPP) on a backbone of GFP, affording antibody transducer, which carries antibody into live cells by simple mixing of antibody and the transducer in cell culture media. Antibody transduction into cells was monitored by live cell imaging. More recently, the FcBP was fused to ferritin cage, which consists of 24 ferritin protein molecules. The FcBP-ferritin cage showed greatly increased binding affinity to human IgG. Its binding was analyzed by QCM and SPR analysis. Finally, it was selectively delivered by Herceptin to SKBR3, a breast cancer cell, over MCF10A, non-tumorigenic cells (Fig. 1). Fig. 1. Fluorescent microscopic images of SKBR3 breast cancer cells (A~C) and MCF10A breast cells (D~F) treated with Cy3-trastuzumab/fFcBP-Pf_Fn complexes. Trastuzumab and FcBP-Pf_Fn, which were labeled with Cy3 (Cy3-trastuzumab) and fluorescein (fFcBP-Pf_Fn), respectively, selectively targeted SKBR3 over MCF10A.
-
Well-defined surfaces of single-crystalline solid materials are starting points of self-organizationof nanostructures and chemical reactions controlled in nanoscale. Although highly ordered atomicarrangement can be obtained on semiconductor surfaces, they can be maintained only in vacuumand not in air or in aqueous environment. Since single-crystalline metal oxide surfaces arechemically stable and no further oxidation occurs, their atomic structures can be utilized fornanofabrication in liquid processes, nanoelectrochemistry and nanobiotechnology. Sapphire is oneof the most stable metal oxides and its crystalline quality is excellent, as can be applied to electronicdevices that require ultralow defect densities. We recently found that chemical phase separationoccurs on sapphire surfaces by annealing processes and the formed nanodomains exhibit specificproperties in air and in water [1,2]. In our experiments, highly selective and controllable adsorptionof various protein molecules is observed on the phase-separated surfaces though the materials andcrystallographic orientations are identical [3,4]. Planar lipid bilayers supported on thephase-separated sapphire surface also exhibit a specific formation site selectivity [5]. Chemicalnanodomains appear on other metal-oxide surfaces, such as well-ordered titania surfaces. Wedemonstrate that surface chemistry of the nanodomains can be characterized in aqueousenvironment using atomic force microscopy equipped with colloidal tips and then show adsorptionand desorption behaviors of various proteins on the phase-separated surfaces.
-
Time-of-flight secondary ion mass spectrometry (TOF-SIMS) imaging is a powerful technique for producing chemical images of small biomolecules (ex. metabolites, lipids, peptides) "as received" because of its high molecular specificity, high surface sensitivity, and submicron spatial resolution. In addition, matrix-assisted laser desorption and ionization time-of-flight (MALDI-TOF) imaging is an essential technique for producing chemical images of large biomolecules (ex. genes and proteins). For this talk, we will show that label-free mass imaging technique can be a platform technology for biomedical studies such as early detection/diagnostics, accurate histologic diagnosis, prediction of clinical outcome, stem cell therapy, biosensors, nanomedicine and drug screening [1-7].
-
레이저 레이더용 시력안전파장대 고출력 광펄스 생성을 위한 광원으로 MOPA 구도로 광섬유 레이저를 제작 하였다. MOPA 기반 펄스 광섬유 레이저는시력 안정 파장대인 1,550 nm 대역 파장을 갖고 펄스폭이 2 ns 이하이며 반복률이 30~240 kHz 가변 가능하다. 광섬유 증폭단에서 1단 증폭기는 저잡음용 코어 펌핑 방식의 증폭기로 구현하였으며, 2단 증폭기는 중출력 증폭기로 코어 펌핑구조에 후방향 펌핑 구도로 설계하였다. 3단 증폭기는 최종목표인 2ns 이하의 펄스 폭 및 25 kWp 이상의 첨두출력을 달성하기 위하여 클래딩 펌핑 방식의 고출력 광증폭기로 구현하였다.
-
Kim, Ho-Gyeong;Kim, Chang-Ju;Choe, Jae-Hyeok;Bae, Seong-Ju;Song, Geun-Man;Sin, Chan-Su;Go, Cheol-Gi 118
High power, short wavelength red laser diodes (LDs) have attracted significant interests in a variety of fields due to their advantages in terms of reliability, compactness and cost. The higher brightness for human eyes is required, the shorter wavelength like 630 nm is necessary with higher output power. In this respect, LDs are promising as alternative candidates of gas or dye lasers for such applications due to their small size, high optical/electrical power conversion efficiency, robustness and so on. The crystalline quality of GaInP-AlGaInP multiple quantum wells (MQWs) and AlInP cladding layers is a crucial part in the device performance of GaInP red LDs. Here, we first investigated the effect of Si diffusion on the optical properties of GaInP-AlGaInP MQWs grown with different growth temperatures. Secondary ion mass spectroscopy (SIMS) measurements revealed that both the Mg and Si diffusion into MQW active region was significant. To reduce such diffusion, we employed undoped Mg and Si diffusion barrier and could improve the properties.Without both Mg and Si diffusion barriers, no lasing emission was observed. However, lasing emission was observed clearly for the red LDs with both Mg and Si diffusion barriers. We then investigated the temperature dependent optical properties of MQW layers grown with different well thicknesses (6, 8 and 10 nm). When the well thickness was 10 nm, the better crystalline quality was obtained. However, the observed LD performances were similar, probably due to the defects and impurities in the AlGaInP layer. Further investigation with the detailed analyses will be presented later. -
본 발표에서는 최근 무인전투기 및 무인자율주행차량 등의 어플리케이션에 응용되고 있는 삼차원 영상 센서 시스템 기술에 관한 소개와 더불어 한국전자통신연구원에서 독자적인 구도를 기반으로 최근 시연에 성공한 스터드(STUD) 레이저 레이다의 동작원리 및 구현결과를 소개하고자 한다. 최근 전세계의 삼차원 레이저 영상 센서 시스템은 1) 한 관측점을 회전을 통해 스캔하는 2D 스캔 방식에서 벗어나, 2) 일차원 배열 형태로 구현된 관측점을 센서가 회전하면서 얻어지는 3D 스캔 방식과 3) 이차원 어레이 형태의 검출기로 삼차원 영상을 검출하는 방식으로 삼차원 영상을 확보하는 노력으로 구분되어 진행되어 왔다. 이번 시연에 성공한 제안된 방법은, 기존의구도와는 다른 독자적인 방식으로, 대면적 검출기를 기반으로 센서부가 회전하지 않으면서, 하나로 통합된 검출기 출력을 이용할 수 있는 구도인 스터드(STUD: STatic and Unitary Detector)기반의 삼차원 레이저 레이다 시스템이다. 최근 구현 결과는 수평해상도 320, 수직해상도 240인 QVGA 영상 수준으로, 이는 지금까지 세계 최고해상도인 ASC사의 128x128 해상도를 뛰어 넘는 우수한 결과이다. 제안된독자적인 구도의 삼차원 레이저 영상 시스템은 다양한 관련 기술들과의 접목하여 향후 군수용 뿐만아니라 민수용 시장의 기술발전에 큰 영향을 미칠 것으로 예상되고 있다.
-
최근 산업에서 이슈화되고 있는 고출력산업용레이저를 위한 반도체다이오드레이저 기술에 대한 산업적 관점을 소개하고자 한다. 열효율이 높고 및 비접촉 제어가 가능한 고출력다이오드에 대한 전반적인 소개와 함께, 왜 각광을 받고 있는지를 진단하며, 이러한 고출력레이저다이오드를 제작하는 방법에 관한 고찰을 하고자 한다. 특히 박막생성기술을 위한 장비 기술에 대해 소개하며, MBE와 MOCVD에 대해 비교하고자 한다. 실제적인 고출력레이저 다이오드제작을 위한 측정 및 신뢰성 기술에 대해서 소개하며, 한국광기술원에서 수행하고 있는 산업용 레이저 핵심부품 모듈 국산화 기반구축사업에 대한 소개를 하고자 한다. 한국광기술원 레이저 개발 내용 중 고출력다이오드레이저 개발을 위한 장비 소개 및 기술 소개를 하고자 한다.
-
In this talk, some optical properties of quantum dot based mode-locked diode lasers and photonic crystal nano lasers will be discussed. Linewidth enhancement factor, chirp and interband injection locking technique of quantum dot mode-locked lasers will be presented. Also various types of photonic crystal buried heterostructure lasers toward coherent nano laser will be covered as well.
-
OCT (Optical Coherence Tomography)는 의료용 생체조직의 단층 영상을 레이저 빛을 이용하여 구현하는 첨단 의료기술이다. Time-domain과 Fourier-domain을 기반으로 다양한 광간섭 신호의 획득이 연구되고 있으며, 영상획득 속도의 향상을 위한 경쟁이 세계적으로 치열한 상황이다. 최근 초고속 파장훑음 광원(Wavelength-swept source)의 개발을 통하여 초당 300 frame 이상의 단층 영상이 구현되고 있다. 본 발표에서는 초고속 파장훑음 레이저 광원(Wavelength swept laser)이 능동형 모드잠금(Active mode locking) 외부공진 반도체 공진 구조를 기반으로 새롭게 구현된 연구 성과를 포함한다. 분산에 의한 모드 잠금에 의하여 발진 파장이 결정되어 가변하므로 1 MHz 급 이상의 초고속 반복이 가능하며, 특히 의료용 산업용 분야의 다양한 광센서 및 광영상 응용에 활발히 응용되고 있다.
-
The quest for renewable energy requires us to understand, predict, and ultimately control matter and energy at the electronic, atomic, and molecular levels. The ever-increasing demand to diversify the energy portfolio and to minimize environmental impact while supplying global energy needs, has intensified the urgency for developing alternative energy sources and carriers. Significant research efforts are under way and will continue in a broad range of materials synthesis, use-inspired and fundamental science with the use of light sources such as synchrotron and free electron lasers. Energy-related materials research faces urgent challenges today. We need to go beyond the Edisonian hit and trial approach to more systematic research with the use of advanced tools applicable under realistic in-situ and in-operando conditions capable of exploring electronic and atomic structure of catalysts and energy relevant materials. Through various scientific examples, I will explain the current state-of-the art and future directions in the aforementioned areas of research.
-
Fabrication of heterogeneous catalysts using Atomic Layer Deposition (ALD) has recently been attracting attention of surface chemists and physicists. In this talk, I will present recent results about structures and chemical activities of various catalysts prepared by ALD, particularly focusing on Ni-based catalysts. Ni has been considered as potential catalysts for
$CO_2$ reforming of methane (CRM); however, Ni often undergoes rapid decrease in catalytic activity with time, and therefore, application of Ni as catalysts for CRM has been regarded as difficult so far. Deactivation of Ni catalysts during CRM reaction is from either coke formation on Ni surface or sintering of Ni particles during reaction. Two different strategies have been used for enhancing stability of Ni-based catalysts;$TiO_2$ nanoparticles were deposited on micrometer-size Ni particles by ALD, which turned out to reduce coke formation on Ni surfaces. Ni nanoparticles deposited by ALD on mesoporous silica showed high activity and long-term stability from CRM without coke deposition and sintering during CRM reaction. Ni-based catalysts have been also used for oxidation of toluene, which is one of the most notorious gases responsible for sick-building syndrome. It was shown that onset-temperature of Ni catalysts for toluene oxidation is as low as$120^{\circ}C$ . At$250\circ}C$ , total oxidation of toluene to$CO_2$ with a 100% conversion was found. -
Nanometal alloy catalysts have been found to significantly increase catalytic efficiency, compared to the monometallic counterparts. This enhancement can be attributed to various alloying effects: i) the existence of uniquemixed-metal surface sites [the so called ensemble (geometric) effect]; ii) electronic state changes due to metal-metal interactions [the so called ligand (electronic) effect]; and iii) strain caused by lattice mismatch between the alloy components [the socalled strain effect]. In addition, the presence of low-coordination surface atoms and preferential exposure of specific facets [(111), (100), (110)] in association with the size and shape of nanoparticle catalysts [the so called shape-size-facet effect] can be another important factor for modifying the catalytic activity. However, mechanisms underlying the alloying effect still remain unclear owing to the difficulty of direct characterization. Computational approaches, particularly the prediction using first-principles density functional theory (DFT), can be a powerful and flexible alternative for unraveling the role of alloying effects in catalysis since those can give us quantitative insights into the catalytic systems. In this talk, I will present the underlying principles (such as atomic arrangement, facet, local strain, ligand interaction, and effective atomic coordination number at the surface) that govern catalytic reactions occurring on Pd-based alloys using the first-principles calculations. This work highlights the importance of knowing how to properly tailor the surface reactivity of alloy catalysts for achieving high catalytic performance.
-
Nanostructured oxides are widely used in heterogeneous catalysis where their catalytic properties are closely associated with the size and morphology at nanometer level. The effect of particle size has been well decumented in the past two decades, but the shape of the nanoparticles has rarely been concerned. Here we illustrate that the redox and acidic-basic properties of oxides are largely dependent on their shapes by taking
$Co_3O_4$ ,$Fe_2O_3$ ,$CeO_2$ and$La_2O_3$ nanorods as typical examples. The catalytic activities of these rod-shaped oxides are mainly governed by the nature of the exposed crystal planes. For instance, the predominant presence of {110} planes which are rich in active$Co^{3+}$ on$Co_3O_4$ nanorods led to a much higher activity for CO oxidation than the nanoparticles that mainly exposed the {111} planes. The simultaneous exposure of iron and oxygen ions on the surface of$Fe_2O_3$ nanorods have significantly enhanced the adsorption and activation of NO and thereby promoted the efficiency of DeNOx process. Moreover, the exposed surface planes of these rod-shaped oxides mediated the reaction performance of the integrated metal-oxide catalysts. Au/$CeO_2$ catalysts exhibited outstanding stability under water-gas shift conditions owing to the strong bonding of gold particle on the$CeO_2$ nanorods where the formed gold-ceria interface was resistant towards sintering. Cu nanoparticles dispersed on$La_2O_3$ nanorods efficiently catalyzed transfer dehydrogenation of primary aliphatic alcohols based on the uniue role of the exposed {110} planes on the support. Morphology control at nanometer level allows preferential exposure of the catalytically active sites, providing a new stragegy for the design of highly efficient nanostructured catalysts. -
Colloidal synthesis of nanoparticles with well-controlled size, shape, and composition, together with development of in situ surface science characterization tools, such as ambient pressure X-ray photoelectron spectroscopy (APXPS), has brought new opportunities to unravel the surface structure of working catalysts. Recent studies suggest that surface oxides on transition metal nanoparticles play an important role in determining the catalytic activity of CO oxidation. In this talk, I will outline the recent studies on the influence of surface oxides on Rh, Pt, Ru and Co nanoparticles on the catalytic activity of CO oxidation [1-3]. Transition metal nanoparticle model catalysts were synthesized in the presence of poly(vinyl pyrrolidone) polymer capping agent and deposited onto a flat Si support as two-dimensional arrays using the Langmuir-Blodgett deposition technique. APXPS studies exhibited the reversible formation of surface oxides during oxidizing, reducing, and CO oxidation reaction [4]. General trend is that the smaller nanoparticles exhibit the thicker surface oxides, while the bigger ones have the thin oxide layers. Combined with the nature of surface oxides, this trend leads to the different size dependences of catalytic activity. Such in situ observations of metal nanoparticles are useful in identifying the active state of the catalysts during use and, hence, may allow for rational catalyst designs for practical applications. I will also show that the surface oxide can be engineered by using the simple surface treatment such as UV-ozone techniques, which results in changing the catalytic activity [5]. The results suggest an intriguing way to tune catalytic activity via engineering of the nanoscale surface oxide.
-
For both oxygen reduction (ORR) and hydrogen oxidation reactions (HOR) of proton electrolyte membrane fuel cells (PEMFCs), alloying Pt with another transition metal usually results in a higher activity relative to pure Pt, mainly due to electronic modification of Pt and bifunctional behaviour of alloy surface for ORR and HOR, respectively. However, activity and stability are closely related to the preparation of alloy nanoparticles. Preparation conditions of alloy nanoparticles have strong influence on surface composition, oxidation state, nanoparticle size, shape, and contamination, which result from a large difference in redox priority of metal precursors, intrinsic properties of metals, increasedreactivity of nanocrystallites, and interactions with constituents for the synthesis such as solvent, stabilizer, and reducing agent, etc. Carbon-supported Pt-Ni alloy nanoparticles were prepared by the borohydride reduction method in anhydrous solvent. Pt-Ru alloy nanoparticles supported on carbon black were also prepared by the similar synthetic method to that of Pt-Ni. Since electrocatalytic reactions are strongly dependent on the surface structure of metal catalysts, the atom-leveled design of the surface structure plays a significant role in a high catalytic activity and the utilization of electrocatalysts. Therefore, surface-modified electrocatalysts have attracted much attention due to their unique structure and new electronic and electrocatalytic properties. The carbon-supported Au and Pd nanoparticles were adapted as the substrate and the successive reduction process was used for depositing Pt and PtM (M=Ru, Pd, and Rh) bimetallic elements on the surface of Au and Pd nanoparticles. Distinct features of the overlayers for electrocatalytic activities including methanol oxidation, formic acid oxidation, and oxygen reduction were investigated.
-
지속 가능한 발전을 위해, 한정된 자원인 석유의 고갈을 막기 위해 석유를 수송에너지로 주로 사용하는 자동차에서 바이오 디젤이나 연료전지, 전기자동차 등 다양한 대안이 제시되고 있다. 그러나 식량 가격 상승, 낮은 안정성, 인프라 확충 등의 문제의 해결이 필요할 뿐만 아니라, 석유의 소비를 감소시키는 대신, 지구에서 소비할 수 있는 다른 형태의 에너지를 소모한다는 측면에서 근본적인 에너지 문제의 해결책의 모색이 필요하다. 19세기 후반, 백열전구의 필라멘트 용도로 사용되기 시작한 탄소 섬유는, 철에 비해 5배 가볍고 강도는 10배가 높으며 내열성이 뛰어난 소재로서, 복합소재의 형태로 제조되어 비행기, 우주선, 풍력 발전 블레이드 등 다양한 산업 분야에서 소재의 장점을 발휘하는 재료로 적용 분야가 확대되고 있다. 특히 비행기 분야에서는 최근 비행기 몸체 구조에 기존 알루미늄 합금을 탄소섬유복합재가 대체하고 있으며, 최근에는 부피 기준 50% 가량까지 탄소섬유 복합재를 사용하여 비행기를 제작하고 있다. 이에 따라 기존에 비해 20% 가량 연료 소모가 감소하여, 비행기 한 대 당 연간 2,700톤의 이산화탄소 배출을 저감하고 있다. 이와 같이 탄소섬유 복합재를 다양한 분야에 적용함으로써, 에너지 문제에 대한 보다 근본적인 접근이 가능하다. 그러나 탄소섬유 복합소재는 금속 등 기존 재료에 비해 높은 가격으로 상용 자동차 등 에너지 소비량이 많은 분야에 널리 적용되는데 한계가 존재한다. 이와 같이 높은 탄소섬유의 가격은, 원가의 50% 가량을 차지하는 PAN 원사 가격과 나머지 반절에 해당하는 안정화/탄화 공정 비용에서 기인하는 것으로, 미국의 ORNL (Oak Ridge National Laboratory), 한국의 KIST 복합소재연구소 등에서는 원사, 안정화 공정, 탄화 공정 등 다양한 측면에서 탄소섬유 복합재의 가격을 절감할 수 있는 방안을 연구 중이다. 미국 ORNL에서는 마이크로웨이브 플라즈마를 이용하여 기존에 열을 이용해 수행하던 탄화 공정 비용을 크게 절감하고 있으며, KIST에서는 대기압 플라즈마를 이용하여 기존에 열을 이용해 2시간 가량이 소요되는 안정화 공정을, 대기압 플라즈마를 이용하여 30분여로 단축된 시간에 수행하는 공정을 개발 중이다. 본 발표에서는 탄소섬유 복합재의 개요와, 탄소섬유 가격 절감 방안으로서의 플라즈마에 대해 논의하며 대기압 플라즈마의 다양한 응용에 대해 소개할 예정이다.
-
Ni-CeO2 및 Ni-MgO와 같이 Ni이 포함된 나노복합물질을 고주파 유도결합 플라즈마를 이용하여 합성하였다. 이를 위해, 먼저, 1~100
${\mu}m$ 크기의 가상 Ni 입자와 고융점 세라믹 입자가 플라즈마 유동 내에서 겪는 열전달 과정을 수치해석을 통해 묘사하였다. 묘사 결과로부터, 완전 기화한 Ni 증기가, 채 기화하지 못하고 고체 형태로 남은 세라믹 입자 위에서 균일하게 응축된 형태를 갖는 Ni-세라믹 나노입자 합성을 예측하고, 실제 합성 실험을 25 kW 급 고주파 유도결합 플라즈마에 0.1~10${\mu}m$ 크기의 Ni, CeO2 및 MgO 분말을 주입하여 수행하였다. 마지막으로, 실험을 통해 합성된 Ni 계 복합나노물질에 대해, FE-SEM 및 TEM 사진 분석과 EDS 및 ICP-AES 성분 분석을 진행하고, 수치해석을 통해 예측된 결과와 비교 검토하였다. -
본 발표에서는 3차원 안테나 유도 방식(3DAI)의 대기압 플라즈마 발생기술을 소개하고 그 응용에 대해 논의하고자 한다. 3DAI (3 Dimensional Antenna Induced) 방식의 가장 큰 특징은 하나의 전원 장치로 아크 발생 없이 다수의 금속 전극에서 플라즈마를 발생 할 수 있다는 특징과 3차원과 같은 높이 100 mm 이상의 큰 volume에서 플라즈마를 발생할 수 있는 기술로 그 적용을 확대할 수 있을 것으로 기대되는 기술이다. 다수의 금속 전극에서 플라즈마를 발생 시키는 기술은 대면적 처리가 가능하다는 의미이며 금속 전극을 사용한다는 것은 반 영구적 전극 사용을 할 수 있다는 의미로 해석할 수 있다. 본 연구에서는 신발 접착에 필요한 플라즈마 처리면적 350 mm, 플라즈마 발생 높이 100 mm급 3DAI 대기압 플라즈마 발생 장치를 개발하였다. 개발된 3DAI 플라즈마 기술을 이용하여 신발 재료 접착에 적용하였다. 화학 약품인 프라이머를 사용하지 않고 수성접착제를 사용하여 밑창인 고무와 중창인 IP 및 PU 등에서 모두 초기 접착 강도 1.5 kg/cm 이상, 경시 접착강도 3.5 kg/cm 이상으로 모재가 대부분 파괴되는 접착 강도를 얻었으며, 접착 수율이 99.9% 이상의 높은 생산성을 보여주고 있다.
-
This presentation will describe recent developement in spatially and time resolved optical diagnostics for two kinds of the high pressure microdischarges. The first kind is a nanosecond pulsed discharge with two pin electrodes while the second kind is a microwave split ring resonator developed by Jeff Hopwood. Both spatially and time resolved optical emissions are collected for these two discharges and some interesting phenomena are observed. By using either the Stark broadening or a collisional radiative model for high pressure discharges, the evolution of electron density can be obtained. We will compare these different techniques for obtaining the electron density and discuss their limitations.
-
Choi, Eun Ha;Kim, Yong Hee;Kwon, Gi Chung;Choi, Jin Joo;Cho, Guang Sup;Uhm, Han Sup;Kim, Doyoung;Han, Yong Gyu;Suanpoot, Pradoong 141
We have generated the needle-typed nonthermal plasma jet by using an Ar gas flow at atmospheric pressure. Diagnostics of electron temperature anddensity is critical factors in optimization of the atmospheric plasma jet source in accordance with the gas flow rate. We have investigated the electron temperature and density of plasma jet by selecting the four metastable Ar emission lines based on the atmospheric collisional radiative model and radial profile characteristics of current density, respectively. The averaged electron temperature and electron density for this plasma jet are found to be ~1.6 eV and ~$3.2{\times}10^{12}cm^{-3}$ , respectively, in this experiment. The densities of OH radical species inside the various bio-solutions are found to be higher by about 4~9 times than those on the surface when the argon bioplasma jet has been bombarded onto the bio-solution surface. The densities of the OH radicalspecies inside the DI water, DMEM, and PBS are measured to be about$4.3{\times}10^{16}cm^{-3}$ ,$2.2{\times}10^{16}cm^{-3}$ , and$2.1{\times}10^{16}cm^{-3}$ , respectively, at 2 mm downstream from the surface under optimized Ar gas flow 250 sccm. -
DBD (Dielectric Barrier Discharge) 대기압 플라즈마를 이용한 a-Si 식각기술에 대한 연구결과를 논하고자 한다. 기술개발의 목적은 대면적 TFT-LCD 혹은 Flexible Display 공정에 적용가능한 대기압 플라즈마 식각장치의 개발 및 검증이다. 실험에서 식각 가스로는 SF6, NF3 등을 사용하였으며, 질소를 기본 가스로 사용하였다. 검증용으로 개발된 대기압 플라즈마 식각 장치는 대기압 플라즈마 장치를 연속적으로 통과하는 in-line system 형식으로 개발되었다. 검증에 사용된 대기압 플라즈마 장치는 300 mm의 방전 폭으로 1세대 LCD기판의 처리가 가능하다. 대기압 플라즈마 식각 기술 개발에서 식각율에 영향을 미치는 변수들은 기판의 온도, 식각가스의 농도, 기판의 이송속도, 기판과 플라즈마 발생장치 사이의 간격 그리고 플라즈마의 인가 전력 등으로 크게 구분지어 생각할 수 있다. 개발된 식각 장치는 SF6를 사용하는 경우 최대 환산 식각율은 500 nm/min 정도이다. 식각 기술에서 중요한 식각 Uniformity와 그와 연관된 a-Si/SiNx 식각 선택비는 사용하는 가스의 Recipe 개발에 중점을 두고 연구를 진행하였다. 식각 Uniformity는 약 7% 이내의 균일도를 갖고 a-Si/ SiNx의 선택비는 10이상의 결과를 얻었다. 또한 식각 가스는 식각 profile에 영향을 줄 수 있는데 대기압 환경에서 형성되는 collisional sheath에도 불구하고 비 등방성 식각이 가능하였다.
-
DC Arc Plasmatron을 이용하여 대기압에서 ZnO 박막을 형성하였다. Zinc acetyl acetonate, diethylzinc, zinc power들을 precursor로 사용하여 박막을 형성하였다. 100 nm/min에 달하는 박막 형성 속도가 관측되었다. 기판의 온도와 압력, 플라즈마트론의 파워 등에 따라 박막은 amorphous와 poly-crystal 상을 나타내었다. XRD, SEM, XPS 등을 이용하여 박막의 특성을 조사하였고, 박막의 전기전도도를 증가시키기 위하여 수소분위기에서의 annealing 효과를 조사하였다.
-
Non-thermal atmospheric pressure plasmas have recently garnered much attention due to their unique physical and chemical properties that are sometimes significantly different from those of low pressure plasmas. It can offer many possible application areas including nano and bio/medical areas. Many different types of plasma sources have been developed for specific needs, which can be one of the important merits of the atmospheric pressure plasmas since characteristics of the produced plasma depend significantly on operating parameters such as driving frequency, supply gas type, driving voltage waveform, gas flow rate, gas composition, geometrical factor etc. Among many source configurations, parallel plate type geometry is one of the simplest configurations so that it can offer many insights for understanding basic underlying physics. Traditionally, the parallel plate type set up has been studied actively for understanding low pressure plasma physics along with extensive employment in industries for the same reason. By considering that understanding basic physics, in conjunction with plasma-surface interactions especially for nano & bio materials, should be pursued in parallel with applications, we investigated atmospheric pressure discharge characteristics in a parallel plate type capacitive discharge source with two parallel copper electrodes of 60 mm in diameter and several millimeters in gap distance. In this presentation, some plasma characteristics by varying many operating variables such as inter-electrode distance, gas pressure, gas composition, driving frequency etc will be discussed. The results may be utilized for plasma control for widening application flexibility.
-
인공위성이 임무를 수행하는 우주공간은 고진공 환경과 태양 복사열에 의한 고온 환경 및 극저온이 반복되는 가혹한 환경으로, 위성체는 이러한 가혹한 우주환경의 영향으로 인해 주요부품의 기능장애가 초래되기도 하며 이는 결국 임무의 실패로 이어지도 한다. 따라서 10E-06 torr 이하의 고진공과
$-180^{\circ}C$ 의 극저온 환경으로 일컬어지는 우주환경을 지상에서 모사하여 위성체의 안정성 및 신뢰성을 시험하기 위해서 열진공 시험장비를 이용한 열진공시험을 수행한다. 한국항공우주연구원에서는 인공위성의 탑재체인 광학카메라의 국산화 개발을 위하여 우주공간의 고진공과 극저온 상태를 모사할 수 있는${\varphi}4m{\times}L10m$ 규모의 광학탑재체 전용 열진 공챔버를 국산화 개발하여 사용하고 있다. 탑재체 진공시험은 진공환경의 조성과 함께 외부진동을 완벽하게 차단하는 것이 매우 중요하다. 본 논문에서는 한국항공우주연구원에서 보유한 광학탐재체용 진공챔버에서 진공 유지와 진동 차단을 동시에 수행하고 있는 방법에 대해 살펴보고자 한다. -
스퍼터 이온펌프(Sputter Ion Pump)는 주로 화학흡착으로 동작하며 기계적 진동이 없고, 기름 등의 오염 물질을 배출하지 않으며, 수명이 길어 초고청정 진공이 요구되는 표면실험장치, 표면분석계, 입자가속기 등에서 널리 사용 되고 있다. 일정한 지름을 갖는 다수의 원통 양극과 그 양단에 두개의 음극판을 배치시킨 후, 양극과 음극 사이에 수 kV의 전압을 걸고 원통의 축방향으로 자장을 인가하면 페닝 방전이 발생한다. 냉음극에서 방출된 전자는 양극으로 비행하면서 가스를 이온화한다. 이온분자는 가스흡수성 게터재료로 된 음극에 충돌하여 스퍼터링을 일으키며 게터막를 주변에 증착시킨다. 이온 및 중성 가스는 게터 고체막 속에 주입 포획되는 형태로 배기된다. 스퍼터 이온펌프는
$10^{-5}$ Pa 부근에서 최대 배기속도를 가지며, 압력이 낮아질 수록, 특히$10^{-10}$ Pa영역 이하에서는 그 배기속도가 급격히 저하되며,$10^{-10}$ Pa영역에서는 배기능력을 거의 상실한다. 따라서 스퍼터 이온펌프 단독으로 진공시스템을 배기할 때 도달압력은$10^{-9}$ Pa 영역에 머무르게 되며,$10^{-10}$ Pa 이하의 극고진공을 얻기 위해서는,$10^{-8}$ Pa 이하의 압력에서 배기 속도가 압력과 무관한 흡착펌프(getter pump)와 이온펌프를 조합하여 사용한다. 본 실험에서는$600^{\circ}C$ 이상의 온도로 진공로에서 탈개스시킨 진공용기를 배기속도 450, 60, 30, 20, 5, 3 l/s의 6종류의 이온펌프와 배기속도 400 l/s, 100 l/s의 non-evaporable getter (NEG) 펌프를 조합시켜 배기하여 그 배기 특성을 비교하였다. 도달 압력은 이온펌프의 배기속도가 클수록 낮아지는 경향을 보여주었다. 450 l/s 이온펌프와 400 l/s NEG를 조합하여 배기시킬 때 도달 압력은 ~$2{\times}10^{-10}$ Pa을 기록하여 가장 낮았으며, 3 l/s 이온펌프와 400 l/s NEG를 조합하였을 때는$ 2{\sim}3{\times}10^{-8}$ Pa을 기록하였다. 450 l/s 이온펌프와 400 l/s NEG를 조합한 경우 잔류가스의 대부분이 수소였으나, 3 l/s 이온펌프와 400 l/s NEG의 조합한 경우에는 메탄의 잔류량이 수소 보다 많았다. 이 결과는 메탄을 배기하지 못하는 NEG의 배기 특성을 보완하기 위해서는 일정 배기속도 이상의 이온 펌프가 필요함을 보여준다. -
반도체 공정 및 디스플레이 공정에서 발생하는 오염입자는 공정 불량을 일으키는 가장 큰 인 중의 하나이며, 수십 나노에서 수 백 나노의 크기를 갖는다. 최근 반도체 산업이 발전함에 따라 회로의 선폭이 점차 감소하고 있으며 오염입자의 임계 직경(critical diameter) 또한 작아지고 있다. 또한 디스플레이 산업에서는 패널이 대형화되고 공정이 발달함에 따라 입자에 의한 패널 오염이 이슈가 되고 있는 실정이다. 현재 반도체 및 디스플레이 산업에서 사용되는 측정방법으로는 레이저를 이용하여 공정 후 표면에 남아있는 오염입자를 측정하는 ex-situ 방법이 주를 이루고 있다. Ex-situ 방법을 이용한 오염입자의 제어는 웨이퍼 전체를 측정할 수 없을 뿐만 아니라 실시간 측정이 불가능하기 때문에 공정 모니터링 장비로 사용이 어려우며 오염입자와 공정 간의 상관관계 파악에도 많은 제약이 따르게 된다. 이에 따라 저압에서 in-situ 방법을 이용한 실시간 오염입자 측정 기술 개발이 요구되고 있다. 또한 입자의 크기 뿐 아니라 성분과 형상까지 측정할 수 있는 장치의 개발 요구가 높아지고 있는 실정이다. 이를 위해 입자의 크기 및 분포를 측정할 수 있는 Particle Beam Mass Spectrometer (PBMS)와 형상을 측정할 수 있는 Scanning Electron Microscope (SEM)의 기능을 통합하여 실시간으로 나노입자의 복합특성(크기, 성분, 형상)을 측정할 수 있는 장치를 개발하였다. 또한 기존 장치들의 문제점 중 하나가 실시간으로 교정이 불가능하다는 것이었는데 이 장치의 경우 실시간으로 측정되는 결과의 조합으로 실시간 교정까지도 가능한 장점을 가지고 있다.
-
Organic Light Emitting Diode (OLED)에 사용되는 유기발광재료 9,10-di(2-naphthyl)anthracene (ADN)의 상평형 특성을 저진공에서 고진공 조건에 따라 연구하였다. ADN재료의 지속적인 가열과 압력제어가 가능한 진공시스템에서 진공도를 변화시키면서 ADN재료의 온도변화에 따른 상전이 현상을 확인하였다. 본 연구장비의 신뢰성평가를 위하여 상압에서 기존의 Differential Scanning Calorimetry (DSC) 열분석으로 측정한 ADN의 melting point와 비교하였고 각각의 진공조건에서 3회 반복 측정하여 장비신뢰성을 검증하였다. 연구결과, 0.1 Torr에서부터는 상압의 경우와 달리 ADN이 승화하는 것을 확인하였고, 예상대로 진공도가 높아질수록 상전이가 시작되는 온도가 낮아지는 것을 알 수 있었다. 이러한 결과는 기존의 DSC열분석으로는 확인할 수 없었던 고진공에서의 유기재료의 상전이 현상을 관측하였다는데 큰 의미가 있다. 향후, 이러한 방법을 활용한 고진공에서의 유기재료의 상전이 특성 관측은 유기재료를 이용한 진공 증착공정방법의 최적화와, 다양한 유기재료의 열안정성 특성 파악에 도움이 될 것으로 기대가 된다.
-
Song, Je-Beom;Lee, Ga-Rim;Sin, Jae-Su;Lee, Chang-Hui;Sin, Yong-Hyeon;Kim, Jin-Tae;Gang, Sang-U;Yun, Ju-Yeong 151
최근 반도체 및 디스플레이 산업에서의 플라즈마 공정의 중요성은 점점 증대되고 있다. 특히, 반도체/LCD 제조공정에서의 Dry Etch공정은 디스플레이용 유리 위에 형성된 산화막, 금속입자, 박막, 및 Polymer와 같은 불순물들을 플라즈마를 이용하여 제거하는 공정이다. 플라즈마 공정을 진행하는 동안 몇 가지 문제점들이 이슈가 되고 있다. Etch공정에서는 활성 부식가스를 많이 사용하고 장시간 플라즈마에 노출되기 때문에, 진공부품들은 플라즈마에 의해서 물리적인 이온충격(Ion Bombardment)과 화학적인 Radical 반응에 의한 부식이 진행된다. 부식영향에 의해 챔버를 구성하고 있는 부품에서 균열이 발생하거나 오염입자들이 떨어져 나오게 된다. 발생한 오염입자들은 산업용 플라즈마 공정에서 매우 심각한 문제가 되고 있다. 본 연구에서는 산화막의 부식 저항특성을 측정할 수 있는 평가방법에 대하여 고찰하였고, 표준화된 데이터로 비교분석할 수 있도록 평가기준과 규정화된 피막평가방법을 연구하였다. 또한, 산화막의 특성에 따른 플라즈마 상태, 오염입자 발생 등 플라즈마 공정을 진단하여 부품재료의 수명을 예측하고, 신뢰성 있는 평가방법에 관한 연구를 하였다. -
Yu, Sin-Jae;Kim, Jeong-Hyeong;Seong, Dae-Jin;Sin, Yong-Hyeon;Kim, Dae-Ung;Yu, Gwang-Ho;;Seo, Byeong-Hun;Na, Byeong-Geun;Jang, Hong-Yeong 152
본 발표를 통해 최근 표준연구원 진공센터에서 수행된 연구들을 진공분과 회원들께 소개하려한다. 발표의 목적은 표준연구원 및 진공센터를 간략히 소개하고 최근 지원을 받아 수행된 cutoff probe, 레이져산란 실험, 대기압 플라즈마, 나노입자 실험등을 개론의 수준으로 설명하고 발견된 재미있는 물리현상을 발표함으로써 토론의 장을 마련함에 있다. -
Turbomolecular pump (TMP) is widely used to obtain and maintain high vacuum by spinning turbine rotors to migrate gas molecules to the exhaust of the pump. However, performance of the TMP has not been well observed when it is influenced by strong magnetic field. Such study may give useful information about magnetic field tolerance of TMP, development of magnetic shielding technique for key components of TMP, etc. For this purpose, magnetic field induced by a circular current source was firstly designed and investigated. Using spherical coordinates and vector potential, magnetic field throughout the space including axis of rotation was calculated. Due to the rotational symmetry of the circular current source, induced magnetic field is azimuthally symmetric and, thus, is analyzed by radial and polar components of the magnetic fields. In order to enhance the numerical accuracy for the calculation, magnetic field was expressed by complete elliptic integrals of first and second kinds. According to the calculation, when 1 A of DC-current passes through a 1 turned circular wire with 50 cm of diameter, overall magnitude of the inducedmagnetic field was about 0.02 Gauss, which was used to the determination of the current and the number of turns of wires to fabricate the coil for the study on the magnetic field tolerance of TMP.
-
Organic solar cell was fabricated using one-pot deposition of a mixture of NiO nanoparticles, P3HT and PCBM. In the presence of NiO, the photovoltaic performance was slightly increased comparing to that of the device without NiO. When
$TiO_2$ thin films with a thickness of 2~3 nm was prepared on NiO nanoparticles using atomic layer deposition, the power conversion efficiency was increased by a factor 2.5 with respect to that with bare NiO. Moreover, breakdown voltage of the film consisting of NiO, P3HT, and PCBM on indium tin oxide was increased by more than 1 V in the presence of$TiO_2$ -shell on NiO nanoparticles. It is evidenced that S atoms of P3HT can be oxidized on NiO surfaces, and$TiO_2$ -shell on NiO nanoparticles. It is evidenced that S atoms of P3HT can be oxidzed on NiO surfaces, and$TiO_2$ shell heavily reduced oxidation of S at oxide/P3HT interfaces. Oxidized S atoms can most likely act as carrier generation sites and recombination centers within the depletion region, decreasing breakdown voltage and performance of organic solar cells. Our result shows that fabrication of various core-shell nanostruecutres of oxides by atomic layer deposition with controlled film thickness can be of potential importance for fabricating highly efficient organic solar cells. -
Kwon, Sangku;Ko, Jae-Hyeon;Byun, Ik-Su;Choi, Jin Sik;Park, Bae Ho;Kim, Yong-Hyun;Park, Jeong Young 159
Atomically thin graphene is the ideal model system for studying nanoscale friction due to its intrinsic two-dimensional anisotropy. Furthermore, modulating its tribological properties could be an important milestone for graphene-based micro and nano-mechanical devices. Here, we report that the tribological properties can be easily altered via simple chemical modifications of the graphene surface. Friction force microscopy measurements show that hydrogenated, fluorinated, and oxidized graphenes exhibit, 2-, 6-, and 7-fold enhanced nanoscale friction on their surfaces, respectively, compared to pristine graphene. The measured nanoscale friction should be associated with the adhesive and elastic properties of the chemically modified graphenes. Density functional theory calculations suggest that, while the adhesive properties of chemically modified graphenes are marginally reduced down to ~30%, the out-of-plane elastic properties are drastically increased up to 800%. Based on these findings, we propose that nanoscale friction on graphene surfaces is characteristically different from that on conventional solid surfaces; stiffer graphene exhibits higher friction, whereas a stiffer three-dimensional solid generally exhibits lower friction. The unusual friction mechanics of graphene is attributed to the intrinsic mechanical anisotropy of graphene, which is inherently stiff in plane, but remarkably flexible out of plane. The out-of-plane flexibility can be modulated up to an order of magnitude by chemical treatmentof the graphene surface. The correlation between the measured nanoscale friction and the calculated out-of-plane flexibility suggests that the frictional energy in graphene is mainly dissipated through the out-of-plane vibrations, or the flexural phonons of graphene. -
Dye-sensitized solar cells (DSSCs) have attracted much attention because of their moderate light-to-electricity conversion efficiency, easy fabrication, and low cost. At present, platinum (Pt) is used as a counter electrode in DSSCs. However, it is found that Pt dissolves in iodide electrolyte solutions and creates chemical compound such as PtI4 and H2PtI6. Carbon based materials are one of candidates for a counter electrode of DSSCs. We prepare two types of graphite oxides by different chemical treatments; original graphite oxide, hydrazine treated graphite oxide. Each graphite oxide and magnesium nitrate dispersed in deionized water are prepared as solutions for electrophoretic deposition (EPD). Each graphite oxide electrode is deposited on fluorine-doped tin oxide (FTO) substrate by EPD method. Structural and electrochemical properties of each electrode are investigated by field-emission scanning electron microscopy and electrochemical impedance spectroscopy, respectively.
-
Two-dimensional electron gas (2DEG) has been investigated at the heterointerface between two insulating dielectric perovskite oxides,
$LaAlO_3$ (LAO)/$SrTiO_3$ (STO). Properties of the 2DEG have attracted an enormous interest in condensed matter physics due to multifunctional properties such as the coexistence of ferromagnetism and superconductivity, as well as the high electron mobility. Here, we have grown$Ta_2O_5$ thin films using pulsed laser deposition on$SrTiO_3$ substrate to investigate the electric properties of the$Ta_2O_5$ /STO heterointerface. Our research reveal that the non-polar$Ta_2O_5$ /$TiO_2$ heterointerface favors the formation of 2DEG similar to that at the LAO/STO heterointerface. The metallic behavior was found in this heterointerface with the current about$10{\sim}100{\mu}A$ at 5 V by using conventional I-V measurements, when the$Ta_20_5$ film thickness reaches over critical thickness,$d_c{\simeq}2uc$ . The finding that electrons was localized at$Ta_2O_5$ /STO heterointerface have attracted to be strong and new candidate for nanoscale oxide device applications. -
Magnetic properties of 3d transition metals were determined by exchange interaction between magnetic ions that was characterized by the exchange integral. Bulk Mn material is one of transition metals that have been well known as an anti-ferromagnetic material due to an anti-parallel spin with negative exchange integral. Here we report on the MBE growth of Mn on
$BaTiO_3$ (001) substrate and induced ferromagnetism. The bcc${\alpha}$ -Mn single crystal film has been grown on$BaTiO_3$ (100) substrate. The XRD and Raman results indicated that the structural phase transitions of$BaTiO_3$ substrate induced a lattice distortion at the interface. Consequently, the grown Mn film exhibits ferromagnetism with strong saturation magnetization of 495 emu/$cm^3$ at 320 K. The electrical resistivity of the Mn film strongly depended on the crystal structure of$BaTiO_3$ substrate. -
Seo, Jae-Won;Kim, Ji-Hun;Gwon, Dae-Gyeon;Maeng, Min-Jae;Mun, Je-Hyeon;Lee, Jeong-Ik;Choe, Seong-Ryul;Kim, Taek-Yeong;Park, Yong-Seop 163
최근 들어서 유연 OLED (Organic Light-Emitting Diodes) 소자에 대한 연구가 증가하면서 전통적인 ITO 전극을 대체할 수 있는 전극물질 후보로 그래핀이 많은 주목을 받고 있다. 그 중에 CVD 방법으로 합성된 다층 그래핀(Few layer graphene, FLG)은 실제 상용화되는 소자에 응용이 될 가능성이 높아 많은 연구가 이 방향으로 진행되고 있다. 이 연구에서는 다층 그래핀과 유기물질 사이의 계면을 전자분광학 분석을 이용해 각 분자층 사이의 에너지 준위 변화에 대해 분석했다. 에너지 준위 정렬을 이용하면 각 분자층간의 정공주입 에너지장벽을 알 수 있는데 이 에너지 장벽은 소자의 효율에 직접적으로 연관되는 값이다. 정공 주입층 물질로는 TAPC 1,1- Bis[4-[N,N'-di(p-tolyl)amino]phenyl]cyclohexane (TAPC)를 사용했고, 다층 그래핀과 TAPC층 사이의 에너지 준위 정렬을 분석한 결과 다층 그래핀과 TAPC층 사이에는 ~1.4 eV의 에너지 장벽이 존재함을 확인했다. 하지만 OLED 소자로 활용하기 위해서는 이보다 더 낮은 에너지 장벽을 필요로 하기 때문에 두 물질 사이에 4,4'-bis(N-phenyl-1-naphthylamino)biphenyl (NPB), 1,4,5,8,9,11-hexaazatriphenylene-hexacarbonitrile (HAT-CN)을 삽입하여 에너지 장벽을 낮추기 위한 시도를 해 보았다. 그래핀과 TAPC 사이에 중간층으로 NPB를 사용했을 때의 에너지 장벽은 0.55 eV, HAT-CN을 사용했을 때는 0.4 eV로 TAPC만 사용했을 때보다 ~1 eV정도 에너지 장벽을 낮추는 효과를 보여줬다. 이 연구를 통해 다층 그래핀을 OLED 소자의 전극으로 활용할 수 있는 가능성을 볼 수 있었다. -
Kim, Sun Mi;Lee, Seon Joo;Kim, Seunghyun;Kwon, Sangku;Yee, Kiju;Song, Hyunjoon;Somorjai, Gabor A.;Park, Jeong Young 164
Among multicomponent nanostructures, hybrid nanocatalysts consisting of metal nanoparticle-semiconductor junctions offer an interesting platform to study the role of metal-oxide interfaces and hot electron flows in heterogeneous catalysis. In this study, we report that hot carriers generated upon photon absorption significantly impact the catalytic activity of CO oxidation. We found that Pt-CdSe-Pt nanodumbbells exhibited a higher turnover frequency by a factor of two during irradiation by light with energy higher than the bandgap of CdSe, while the turnover rate on bare Pt nanoparticles didn't depend on light irradiation. We also found that Pt nanoparticles deposited on a GaN substrate under light irradiation exhibit changes in catalytic activity of CO oxidation that depends on the type of doping of the GaN. We suppose that hot electrons are generated upon the absorption of photons by the semiconducting nanorods or substrates, whereafter the hot electrons are injected into the Pt nanoparticles, resulting in the change in catalytic activity. We discuss the possible mechanism for how hot carrier flows generated during light irradiation affect the catalytic activity of CO oxidation. -
Jeong, Myung-Geun;Jeong, Bora;Seo, Hyun Ook;Kim, Kwang-Dae;Park, Eun Ji;Sim, Jong Ki;Kim, Dae Han;Cho, Youn Kyuong;Yoon, Hye Soo;Lim, Dong Chan;Kim, Young Dok 165
Nickel oxide was deposited on mesoporous silica by atomic layer deposition (ALD) consisting of sequential exposures to Ni(cp)2 and$H_2O$ . NiO/silica samples were characterized by inductively coupled plasma-mass spectroscopy (ICP-MS), transmission electron microscopy (TEM), X-ray photoelectron spectroscopy (XPS), etc. The flow-type reactor was used to measure activity of NiO/silica catalyst for catalytic combustion of toluene. The activity of NiO/silica catalyst was evaluated in terms of toluene removal efficiency and selectivity to$CO_2$ and compared with those of bare nickel oxide nanoparticles. In order to investigate influence of reaction temperature on combustion aspect, the catalytic combustion experiments were carried out at various temperatures. We show that both bare and supported NiO can be efficient catalysts for total oxidation of toluene at a temperature as low as$250^{\circ}C$ . -
Kim, Dae Han;Sim, Jong Ki;Seo, Hyun Ook;Jeong, Myung-Geun;Kim, Young Dok;Lim, Dong Chan;Kim, Sang Hoon 166
Mesoporous$SiO_2$ -supported Ni catalysts (Ni/$SiO_2$ and Ni/$TiO_2$ /$SiO_2$ ) were fabricated by atomic layer deposition (ALD), and their catalytic activity and stability were investigated in carbon dioxide reforming of methane (CRM) reaction at$800^{\circ}C$ The Ni/$SiO_2$ catalysts showed high stability as a result of confinement of Ni particles with a mean size of ~10 nm within the pores of$SiO_2$ support. Besides, X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and transmission electron microscopy (TEM) results showed that the Ni nanoparticles were partially buried inside the$SiO_2$ support. The strong interaction between Ni and the$SiO_2$ support could also be advantageous for long-term stability of the catalyst. In case of the Ni/$TiO_2$ /$SiO_2$ catalyst, it was found that the catalytic activity of 10 nm-sized Ni nanoparticles was not much influenced by$TiO_2$ addition. -
최근 발수 특성은 자동차 표면, 건축 구조물, 가전제품 및 모바일 기기 등 여러 분야에서 사용되고 점차 그 필요성이 대두되고 있다. 이러한 발수성의 표면은 연 잎이나 곤충의 날개, 도마뱀의 발바닥 등 자연계의 여러 곳에서 관찰 할 수 있다. 특히 연 잎의 표면에서 나타나는 초발수 특성이 마이크로와 나노 크기의 돌기 구조와 표피 왁스 성분에 기인한다는 것이 밝혀지면서 이를 응용한 다양한 연구가 진행되고 있다. 본 연구에서는 물리적인 표면처리로 마이크로와 나노 구조물을 형성하고 그 위에 표면에너지를 낮출 수 있는 물질을 증착하여, 발수 특성을 가지는 표면을 개발하였다. 알루미늄 표면에 마이크로 크기의 알루미나(Al2O3) 분말을 이용한 블라스트(blast) 공정으로 마이크로 구조를 형성하고, 선형 이온 소스(LIS)를 이용한 Ar 이온 빔 에칭으로 나노 구조를 형성하였다. FE-SEM 분석을 통해 수~수십 마이크로 구조 위에 나노 크기의 구조가 형성 된 것을 관찰하였다. 마이크로와 나노 구조가 형성된 알루미늄의 표면에너지를 낮추기 위해 trimethylsilane (TMS) 및 Ar을 이용한 플라즈마처리로 표면에 기능성 코팅막을 형성하였다. 그 결과 TMS처리 전에 비해 표면에너지가 99.75 mJ/m2에서 9.05 mJ/m2으로 급격히 낮아지고 접촉각이
$54^{\circ}$ 에서$123^{\circ}$ 로 향상되었다. -
Jang, Jin-Hyeok;Mun, Seon-U;Kim, Gyeong-Hun;Kim, Seong-Min;Lee, Seung-Min;Kim, Jeong-Su;Han, Seung-Hui 168
도전성 섬유(Conductive textile)는 섬유자체의 고유 특성을 유지하면서 전기적인 도전 특성을 갖는 섬유로서, Cu, Ag, Ni 등의 전기전도성이 높은 금속 박막을 증착하여 제작하고 있다. 그러나, 이러한 금속은 공기 중의 산소와 결합하여 쉽게 산화되는 특성을 지니고 있기 때문에 사용 중에 산화되어 도전 특성이 감소하는 단점이 있다. TiN은 금속 못지않은 높은 전기전도성을 지니고 있을 뿐만 아니라, 금속에 비하여 높은 경도에 따른 우수한 내마모 특성, 내부식성 및 낮은 마찰계수를 지니고 있다. 그러나, TiN은 경도가 높기 때문에 섬유의 고유 특성인 유연성이 저하되는 문제가 있다. 본 연구에서는 면(Cotton), PE (Polyester), PP (Polypropylene) 등의 섬유 위에 TiN 박막을 증착하여, 섬유의 유연성을 유지하며 전기전도성과 내마모 특성이 우수한 도전성 섬유를 제작하고자 하였다. TiN 박막 증착을 위하여 ICP-assisted pulsed-DC reactive magnetron sputtering 장비를 사용하였으며, Ar:N2 유량비(Flow rate), Ti 타겟 power, ICP RF power 등을 변화시켜 Ti와 N의 조성비를 조절하였고, 이를 통하여 섬유의 휨이나 접힘에도 도전 특성이 변하지 않고 내마모 특성이 우수한 TiN 박막을 증착하였다. TiN 박막이 증착된 섬유의 전기전도도는 일정한 압력 하에 전기전도도를 측정할 수 있는 장치를 제작하여 측정하였으며, 표면 조성 분포 및 접합력 측정을 위하여 XPS (X-ray Photoelectron Spectroscopy)와 Peel-tester를 이용하였다. -
2층 FCCL (연성회로기판, Flexible Copper Clad Laminate)에 있어서 폴리이미드 필름과 구리의 접착력을 향상 시키기 위해 기존에 사용되고 있는 Ni-Cr대신 박리강도가 높고 에칭성도 매우 뛰어난 Ni-Mo-Nb 박막을 Roll-to roll 스퍼터 장비를 이용하여 개발하였다. 새롭게 개발된 Ni-Mo-Nb 박막은 기존 연구되어진 Ni-Cr 물질 대비 고온 박리강도 약 1.5~2.0배, 에칭성 8배 이상의 매우 우수한 특성을 보였다. Ni-Mo-Nb 접착층의 두께가 7~40 nm로 증가함에 따라 상온 박리강도가 향상 되는 것을 확인하였다. Ni-Mo-Nb 박막을 증착 하기 전 폴리이미드 기판표면을 RF 플라즈마 전처리 하였을 때 0.67 kg f/cm의 우수한 상온 박리강도를 나타내었으며 FCCL 샘플을
$150^{\circ}C$ 에서 168시간동안 열처리 한 후 접착력을 측정하였을 때도 0.54 kg f/cm의 높은 고온 박리강도를 보였다. FCCL의 박리강도, 표면 거칠기, 원소들의 화학적 결합, 박막의 미세구조를 peel test, atomic force microscopy, X-ray photoelectron spectroscopy, transmission electron microscopy를 이용하여 폴리이미드 기판 플라즈마 전처리 효과를 확인하였다. 그 결과 플라즈마 전처리를 한 폴리이미드 기판의 경우 처리하지 않은 기판보다 상온과 고온에서 더 우수한 접착력을 가지는 것을 확인 할 수 있었는데 이것은 폴리이미드 기판의 표면 거칠기 증가에 의한 mechanical interlocking effect가 아닌 전처리를 통한 폴리이미드 표면 개질로 C-0, C-N와 같은 chemical functional group이 증가했기 때문인 것으로 확인되었다. -
염료감응형 태양전지(Dye Sensitized Solar Cells; DSSC)에서 투명전극(Transparent Conducting Oxide; TCO)으로 사용되는 ITO, FTO의 경우 자원의 희소성과 고온에 취약하며 취성과 같은 단점 등이 있다. Graphene은 단원자층의 얇은 물질로써 우수한 전도도와 투과도, 고강도와 고탄성의 특성들을 가진다. 이러한 특성들을 가지는 Graphene을 기존의 투명전극을 대체하여 DSSC의 작업전극에 적용 하였다. 본 실험에서 사용된 그래핀 시트는 근적외선을 source로 하는 RTA (Rapid Thermal Annealing)장비에 탄화수소 기반의 gas를 주입하여 Ni위에 성장시켰으며, 습식방법인 용액Etching 방식을 사용하여 유리판 위에 전사시켰다. 전사된 Graphene 투명전극의 전기적 특성과 광학적 특성을 평가하기 위해 4 point probe, FT-IR, 마이크로 Raman분광법, 광학현미경 및 투과도를 측정하여 평가 하였다. 전사된 Graphene 투명전극을 염료감응형 태양전지 작업전극에 적용하여, DSSC소자를 제작하고, Solar Simulator로 광전변환효율 및 EIS(Electrochemical Impedance Spectroscopy)를 측정하여 기존의 FTO로 만든 DSSC와 비교하였다.
-
Hwang, Jeong-U;Yun, Su-Jin;Gang, Sang-U;No, Sam-Gyu;Lee, Sang-Jun;Urbas, Augustine;Ku, Zahyun 176
Surface plasmon polaritons (SPPs) have attracted the attention of scientists and engineers involved in a wide area of research, microscopy, diagnostics and sensing. SPPs are waves that propagate along the surface of a conductor, usually metals. These are essentially light waves that are trapped on the surface because of their interaction with the free electrons of conductor. In this interaction, the free electrons respond collectively by oscillating in resonance with the light wave. The resonant interaction between the surface charge oscillation and the electromagnetic field of the light constitutes the SPPs and gives rise to its unique properties. In this papers, we studied theoretical and experimental extraordinary transmittance (T) and reflectance (R) of 2 dimensional metal hole array (2D-MHA) on GaAs in consideration of the diffraction orders. The 2d-MHAs was fabricated using ultra-violet photolithography, electron-beam evaporation and standard lift-off process with pitches ranging from 1.8 to$3.2{\mu}m$ and diameter of half of pitch, and was deposited 5-nm thick layer of titanium (Ti) as an adhesion layer and 50-nm thick layer of gold (Au) on the semiinsulating GaAs substrate. We employed both the commercial software (CST Microwave Studio: Computer Simulation Technology GmbH, Darmstadt, Germany) based on a finite integration technique (FIT) and a rigorous coupled wave analysis (RCWA) to calculate transmittance and reflectance. The transmittance was measured at a normal incident, and the reflectance was measured at variable incident angle of range between$30^{\circ}{\sim}80^{\circ}$ with a Nicolet Fourier transmission infrared (FTIR) spectrometer with a KBr beam splitter and a MCT detector. For MHAs of pitch (P), the peaks${\lambda}$ max in the normal incidence transmittance spectra can be indentified approximately from SP dispersion relation, that is frequency-dependent SP wave vector (ksp). Shown in Fig. 1 is the transmission of P=2.2 um sample at normal incidence. We attribute the observation to be a result of FTIR system may be able to collect the transmitted light with higher diffraction order than 0th order. This is confirmed by calculations: for the MHAs, diffraction efficiency in (0, 0) diffracted orders is lower than in the (${\pm}x$ ,${\pm}y$ ) diffracted orders. To further investigate the result, we calculated the angular dependent transmission of P=2.2 um sample (Fig. 2). The incident angle varies from 30o to 70o with a 10o increment. We also found the splitting character on reflectance measurement. The splitting effect is considered a results of SPPs assisted diffraction process by oblique incidence. -
고체내의 결함을 분석하기 위한 장비로는 대표적으로 DLTS (deep level transient spectroscopy)를 이용하여 깊은 준위 결함의 활성화에너지를 구하는 분석법, 투과전자현미경을 이용한 박막의 결정살창 분석법, photoluminescence나 electroluminescence를 이용하여 광학적인 방법으로 결함을 분석하는 방법, 마지막으로 광전류 측정을 통하여 결함을 분석하는 방법 등이 있다. 이 중에서도 빛에 의해서 증가되는 광전류를 이용한 결함 분석 방법은 과거에는 종종 시행되어 왔으나 최근에는 거의 연구되어지고 있지 않고 있다. 고체 내의 많은 결함들이 빛에만 반응하는 결함도 있으며 전기적인 측정을 통해서만 발견되는 결함이 존재하기 때문에 모든 부분을 다 만족시키는 방법은 찾기가 힘들다고 알려져 있다. 한편, ZnO는 octahedral 구조로 공간이 비어있기 때문에 여러 가지 결함이 존재하는데, 그 중에서 valence band 바로 위 0.3~0.5 eV에 존재하는 결함 준위는 Zn 빈자리에 의한 결함으로 이론적으로만 밝혀졌을 뿐 실험적으로는 현재까지 발견되어지고 있지 않다. 본 연구에서는 광전류를 이용하여 n-ZnO/p-Si과 n-ZnO/p-GaN p-n 접합 다이오드 내의 결함에 대한 연구를 진행하였다. ZnO를 UHV 스퍼터링 방법으로 성장하였으며 ZnO의 결함의 양을 조절하기 위해 박막의 두께와 증착할 때의 기판 속도 등을 조절하였다. 이렇게 성장된 ZnO 기반의 다이오드를 광전류 측정을 이용하여 결함을 분석하였다. 실험결과 420 nm 파장의 빛을 다이오드에 주사하였을 때 광전류가 크게 증가하는 것을 확인하였으며 이것은 이론적으로만 주장되어져 왔던 Zn 빈자리 결함에 의한 것으로 판단되었다.
-
Kim, Seong-Min;Mun, Seon-U;Kim, Gyeong-Hun;Jang, Jin-Hyeok;Lee, Seung-Min;Kim, Jeong-Su;Im, Sang-Ho;Han, Seung-Hui 179
현재 자동차 분야에서 차량 경량화를 통해 연비 향상 및 에너지 효율 향상을 기대하고 있으며, 차량 경량화의 한 수단으로 자동차용 유리를 고강도 투명 플라스틱 소재인 PC (Polycarbonate)로 대체하고자 하는 연구가 활발히 이루어지고 있다. 그러나, PC의 낮은 내마모 특성과 자외선에 의한 열화 및 변색 현상은 해결하여야 할 중요한 문제점으로 지적되고 있다. 본 연구에서는, PC의 내마모 특성을 향상시키기 위하여 transmittance가 확보되고, 고경도 특성을 갖는 Al-Si-N 박막 증착에 대한 연구를 하였다. Al-Si-N 박막 증착을 위하여 ICP-assisted reactive magnetron sputtering 장비를 이용하였으며, 고경도 특성을 갖는 Al-Si-N 박막을 제조하였다. 분석 장비로는 박막의 chemical state와 crystallinity를 확인하기 위하여 XPS (X-ray Photoelectron Spectroscopy), AES (Auger electron spectrscopy)와 XRD (X-ray diffraction)를 이용하여 분석을 수행하였으며, Knoop${\mu}$ -hardness tester와 Pin-on-disk를 이용하여 경도 및 내마모 특성을 평가하였다. Al-Si-N 박막의 두께는 ~5,000${\AA}$ 을 증착하였으며, 가시광 영역에서 평균 92%의 transmittance를 나타내었다. 박막의 Si/(Al+Si) 비율에 따라 다른 경도 특성을 나타냈는데, Si/(Al+Si) 비율이 26~32% 부근에서 최대 31 GPa의 경도 값을 확인 할 수 있었다. -
반도체, 디스플레이와 같이 저압, 극청정 조건에서 진행되는 공정에서 발생한 오염입자는 수 율에 큰 영향을 미친다. 따라서 공정 중에 발생한 오염입자를 실시간으로 모니터링할 수 있는 장비에 대한 연구가 활발히 진행되고 있다. Particle Beam Mass Spectrometer (PBMS)는 저압에서 실시간으로 나노 입자의 크기를 측정할 수 있는 대표적인 장비 중 하나이다. 입자를 포함한 가스 유동이 PBMS로 유입되면, 우선 입자를 입자빔의 형태로 집속하는 공기역학렌즈를 통과하게 된다. 집속된 입자는 노즐에 의해서 가속되며, 이로 인해 충분한 관성을 가지게 된 입자는 양극과 음극, 필라멘트로 구성된 electron gun에서 전자충돌에 의해 포화상태로 하전된다. 하전한 입자는 electrostatic deflector에서 크기에 따라 분류되어 Faraday detector와 electrometer에 의해 측정된다. 그러나 공기역학렌즈는 입자의 크기가 작아질수록 집속 효율이 급격히 낮아진다는 문제점을 지니고 있다. 이는 입자가 작아질수록 점성에 의한 영향이 관성에 의한 영향보다 커짐으로써 나타나는 현상이다. 최근 이러한 문제점을 해결하기 위해 사중극자를 사용하여 입자를 집속시키는 방법이 대안으로 제시되었다. 사중극자는 서로 마주보는 쌍곡선 형태의 전극구조에 AC 전기장을 인가하는 방식을 사용한다. 사중극자의 중심은 정확히 평형점을 가지게 되며 입자는 사중극자 내에서 진동을 반복하며 평형점을 향해 모이게 된다. 입자의 크기가 작을수록 전기력에 의한 영향을 크게 받으므로 사중극자를 이용한 입자집속 방법은 나노입자의 집속에 있어 공기역학렌즈를 이용한 집속에 비해 이점을 지닌다. 또한 집속 하고자 하는 입자 대상이 바뀔 경우 구조를 바꿔야 하는 공기역학렌즈와 달리 사중극자를 이용한 방법은 AC 전기장을 조절하는 것 만으로 제어가 가능하다. 본 연구에서는 저압 조건에서 나노입자를 집속하기 위한 사중극자의 전극 구조를 이론적인 계산을 통하여 구하였다. 그 결과 0.1 torr의 압력 조건하에서 5~100 nm 범위의 기본 입자를 AC 전압과 진동수를 조절하여 집속할 수 있는 사중극자 형태를 설계하였다.
-
Recently, nanostructure and the molecular orientation of organic thin films have been largely paid attention due to its importance in organic electronics such as organic thin film transistors (OTFTs), organic light emitting diodes (OLEDs), and organic photovoltaics (OPVs). Among various methods, the diffraction and scattering techniques based on synchrotron x-rays have shown powerful results in organic thin film systems. In this work, we introduce the in-situ annealing system installed at PLS-II (Pohang Light Source II) for organic thin films by simultaneously conducting various x-ray scattering measurements of x-ray reflectivity, conventional x-ray scattering, grazing incidence wide angle x-ray scattering (GI-WAXS) and so on. Using the in-situ measurement, we could obtain real time variation of nanostructure as well as molecular orientation during thermal annealing in metal-phthalocyanine thin films. The variation of surface and interface also could be simultaneously investigated by the x-ray reflectivity measurement.
-
빗각 증착은 입사 증기가 기판에 수직하게 입사하는 일반적인 공정과는 다르게 증기가 기판의 수직선과
$0^{\circ}$ 이상의 각을 갖는 증착 방법을 의미한다. 빗각 증착으로 코팅층의 구조를 제어하기 위해서는 기판에 입사되는 코팅 물질의 증기가 일정한 각도를 유지해야한다. 공정 압력이 높아서 증기의 자유행로가 짧아지면 기판에 도달하는 코팅 물질이 일정한 각도를 유지하지 못하기 때문에 코팅층의 구조제어가 어렵고 일반적인 코팅 공정과 유사한 구조의 코팅층을 얻게 된다. 빗각 증착을 공정 압력이 비교적 낮은 전자빔 증착이나 열 기상증착 등의 코팅 공정에서 실시하는 이유이다. 본 연구는 공정 압력이${\sim}10^{-3}$ torr로 비교적 높은 스퍼터링 공정에서 빗각 증착을 실시하여 코팅층의 구조제어가 가능한지를 확인하였다. 실험에 사용된 물질은 알루미늄이었으며 빗각은$0{\sim}90^{\circ}$ 를 사용하였다. 실험 결과 빗각의 크기가$60^{\circ}$ 이하에서는 알루미늄 박막의 구조 변화를 관찰하지 못했으며$45^{\circ}$ 와 같은 특정한 빗각에서 밀도가 높은 코팅층을 확인할 수 있었다. 이러한 높은 밀도를 갖는 알루미늄 박막은 강판의 부식을 방지하기 위한 보호막으로 적용이 가능할 것으로 판단되며 염수분무시험 결과 200시간 이상의 높은 적청 발생 시간을 보였다.$60^{\circ}$ 이상의 빗각으로 코팅된 알루미늄 박막에서 독립적으로 형성된 주상정을 관찰할 수 있었다. 빗각의 크기가$90^{\circ}$ 로 스퍼터링 타겟과 기판을 수직하게 위치시켜도 알루미늄 박막이 코팅되는 것을 확인할 수 있었으며 일정한 각도를 가지는 주상정을 관찰할 수 있었다. 이러한 주상정의 알루미늄은 비교적 큰 표면적을 가지고 있기 때문에 가스 센서 등 다양한 응용분야에 적용이 가능할 것으로 판단된다. 앞서 설명한 실험결과와 같이 스퍼터링과 같이 공정 압력이 비교적 높은 공정에서도 빗각 증착을 이용한 코팅층의 구조 제어가 가능하다는 것을 확인할 수 있었다. -
Two main MBE growth techniques have been used: plasma-assisted MBE (PA-MBE), which utilizes a rf plasma to supply active nitrogen, and ammonia MBE, in which nitrogen is supplied by pyrolysis of NH3 on the sample surface during growth. PA-MBE is typically performed under metal-rich growth conditions, which results in the formation of gallium droplets on the sample surface and a narrow range of conditions for optimal growth. In contrast, high-quality GaN films can be grown by ammonia MBE under an excess nitrogen flux, which in principle should result in improved device uniformity due to the elimination of droplets and wider range of stable growth conditions. A drawback of ammonia MBE, on the other hand, is a serious memory effect of NH3 condensed on the cryo-panels and the vicinity of heaters, which ruins the control of critical growth stages, i.e. the native oxide desorption and the surface reconstruction, and the accurate control of V/III ratio, especially in the initial stage of seed layer growth. In this paper, we demonstrate that the reliable and reproducible growth of GaN on Si (110) substrates is successfully achieved by combining two MBE growth technologies using rf plasma and ammonia and setting a proper growth protocol. Samples were grown in a MBE system equipped with both a nitrogen rf plasma source (SVT) and an ammonia source. The ammonia gas purity was >99.9999% and further purified by using a getter filter. The custom-made injector designed to focus the ammonia flux onto the substrate was used for the gas delivery, while aluminum and gallium were provided via conventional effusion cells. The growth sequence to minimize the residual ammonia and subsequent memory effects is the following: (1) Native oxides are desorbed at
$750^{\circ}C$ (Fig. (a) for [$1^-10$ ] and [001] azimuth) (2) 40 nm thick AlN is first grown using nitrogen rf plasma source at$900^{\circ}C$ nder the optimized condition to maintain the layer by layer growth of AlN buffer layer and slightly Al-rich condition. (Fig. (b)) (3) After switching to ammonia source, GaN growth is initiated with different V/III ratio and temperature conditions. A streaky RHEED pattern with an appearance of a weak ($2{\times}2$ ) reconstruction characteristic of Ga-polarity is observed all along the growth of subsequent GaN layer under optimized conditions. (Fig. (c)) The structural properties as well as dislocation densities as a function of growth conditions have been investigated using symmetrical and asymmetrical x-ray rocking curves. The electrical characteristics as a function of buffer and GaN layer growth conditions as well as the growth sequence will be also discussed. Figure: (a) RHEED pattern after oxide desorption (b) after 40 nm thick AlN growth using nitrogen rf plasma source and (c) after 600 nm thick GaN growth using ammonia source for (upper) [110] and (lower) [001] azimuth. -
반도체 트랜지스터의 집적화 기술이 발달하고 소자가 나노미터 크기로 집적화 됨에 따라 문턱 전압의 변동, 높은 누설 전류, 문턱전압 이하에서의 기울기의 열화와 같은 단 채널 효과가 문제되고 있다. 이러한 문제점들은 비 휘발성 플래시 메모리에서 메모리 윈도우의 감소에 따른 retention 특성을 저하시킨다. 이중 게이트 구조의 metal-oxide-semiconductor field-effect-transistors (MOSFETs)은 이러한 단 채널 효과 중에서도 특히 문턱 전압의 변동을 억제하기 위해 제안되었다. 이중 게이트 MOSFETs는 상부 게이트와 하부 게이트 사이의 capacitive coupling을 이용하여 문턱전압의 변동의 제어가 용이하다는 장점을 가진다.기존의 플래시 메모리는 쓰기 및 지우기 (P/E) 동작, 그리고 읽기 동작이 채널 상부의 컨트롤 게이트에 의하여 이루어지며, 메모리 윈도우 및 신뢰성은 플로팅 게이트의 전하량의 변화에 크게 의존한다. 이에 따라 메모리 윈도우의 크기가 결정되고, 높은 P/E 전압이 요구되며, 터널링 산화막에 인가되는 높은 전계에 의하여 retention에서의 메모리 윈도우의 감소와 산화막의 물리적 손상을 초래하기 때문에 신뢰성 및 수명을 열화시키는 원인이 된다. 따라서 본 연구에서는, 상부 게이트 산화막과 하부 게이트 산화막 사이의 capacitive coupling 효과에 의하여 하부 게이트로 읽기 동작을 수행하면 메모리 윈도우를 크게 증폭시킬 수 있고, 이에 따라 동작 전압을 감소시킬 수 있는 이중 게이트 구조의 플래시 메모리를 제작하였다. 그 결과, capacitive coupling 효과에 의하여 크게 증폭된 메모리 윈도우를 얻을 수 있음을 확인하였고, 저전압 구동 및 신뢰성을 향상시킬 수 있음을 확인하였다.
-
Sin, Hyeon-Jun;Jeong, Min-Cheol;Kim, Min-Gyu;Lee, Yeong-Mi;Kim, Gi-Hong;Jeong, Jae-Gwan;Song, Se-An;Sun, Zhimei 186
Nitrogen doped Ge-Sb-Te (N-GST) thin films for phase change random access memory (PRAM) applications were investigated by synchrotron-radiation-based x-ray photoelectron spectroscopy and absorption spectroscopy. Nitrogen doping in GST resulted in more favorable N atoms' bonding with Ge atoms rather than with Sb and Te atoms [1,2], which explains the higher phase change transition temperature than that of undoped Ge-Sb-Te thin film. Surprisingly, it was noticed that N atoms also existed in the form of molecular nitrogen,$N_2$ , which is detrimental to the stability of the GST performance [3]. N-doped GST experimental features were also supported by ab-initio molecular dynamic calculations [2]. References [1] M.-C. Jung, Y. M. Lee, H.-D. Kim, M. G. Kim, and H. J. Shin, K. H. Kim, S. A. Song, H. S. Jeong, C. H. Ko, and M. Han, "Ge nitride formation in N-doped amorphous Ge2Sb2Te5", Appl. Phys. Lett. 91, 083514 (2007). [2] Zhimei Sun, Jian Zhou, Hyun-Joon Shin, Andreas Blomqvist, and Rajeev Ahuja, "Stable nitride complex and molecular nitrogen in N doped amorphous Ge2Sb2Te5", Appl. Phys. Lett. 93, 241908 (2008). [3] Kihong Kim, Ju-Chul Park, Jae-Gwan Chung, and Se Ahn Song, Min-Cherl Jung, Young Mi Lee, Hyun-Joon Shin, Bongjin Kuh, Yongho Ha, Jin-Seo Noh, "Observation of molecular nitrogen in N-doped Ge2Sb2Te5", Appl. Phys. Lett. 89, 243520 (2006). -
양자점(Quuantum dot, QD)은 0차원 특성을 가지는 구조로 양자 구속 효과로 인하여 bulk와 는 다른 구조적, 광학적, 전기적 특성을 가지고 있다. InAs QD는 size와 barrier의 bandgap 조절을 이용하여 쉽게 bandgap을 바꿀 수 있는 장점이 있어 solar cell, semiconductor laser diode, infrared photodetector 등으로 많은 연구가 이루어지고 있다. 일반적으로 Stranski-Krastanov (SK) mode로 성장한 InAs QD는 보통 GaAs epilayer와의 lattice mismatch (7%)를 이용하여 성장을 하고 이로 인하여 strain을 가지고 있고 QD의 density와 stack이 높을수록 strain이 커진다. 하지만 sub-monolayer (SML) QD 같은 경우 wetting layer가 생기는 지점인 1.7 ML이하에서 성장되는 성장 방식으로 SK-QD보다는 작은 strain을 가지게 된다. 또 QD의 size가 작아 SK-QD보다 큰 bandgap을 가지고 있다. 본 연구에서는 분자선 에피택시(molecular beam epitaxy, MBE)를 이용하여 semi-insulating GaAs substrate 위에 InAs QD를 0.5/1/1.5/1.7/2/2.5 monolayer로 성장을 하였다. GaAs과 InAs의 성장온도와 성장속도는 각각
$590^{\circ}C$ , 0.8 ML/s와$480^{\circ}C$ , 0.2 ML/s로 성장을 하였으며 적층사이의 interruption 시간은 10초로 고정하였고 10주기를 성장하였다. Photoluminescence (PL)측정 결과 SML-QD는 size에 따라서 energy가 1.328에서 1.314 eV로 약간 red shift를 하였고 SK-QD의 경우 1.2 eV의 energy정도로 0.1 eV이상 red shift 하였다. 이는 QD size에 의하여 energy shift가 있다고 사료된다. 또 wetting layer의 경우 1.41 eV의 energy를 가지는 것으로 확인 하였다. SML-QD는 SK-QD 보다 반치폭(full width at half maximum, FWHM)이 작은 것은 확인을 하였고 strain field의 감소로 해석된다. 하지만 SML-QD의 경우 SK-QD보다 상대적으로 작은 PL intensity를 가지고 있었다. 이를 개선하기 위해서는 보다 높은 QD density를 요구하게 되는데 growth temperature, V/III ratio, growth rate 등을 변화주어서 연구할 계획이다. -
금속 산화물 촉매 입자는 특정한 파장에 의해서 활성화되면서 전자-정공 쌍을 생성한다. 광촉매원리를 이용하면 전자 정공 제공을 통해 기존의 물질 주위에 활성 라디칼을 생성하고 물질의 특성을 변화시킬 수 있다. 이런 독특한 특성을 이용한 금속산화물의 다양한 연구가 물리, 화학, 재료, 생명 분야에서 이루어지고 있다. 본 연구에서는 광촉매 입자와 대기압 플라즈마와의 특성을 활용하여 발생되는 물리적 특성과 재료적인 특성을 이용한 응용 연구에 대한 내용을 다루고 있다. 특히 광촉매로 가장 많이 사용되는
$TiO_2$ 가$200^{\circ}C$ 이하 저온 플라즈마 방전가스에 의해 상변화되는 현상을 다루고 이에 대한 구체적인 재료 분석을 실시 하였다. 즉, 저온의 알곤과 알곤/산소 대기압 플라즈마에 의해 처리된$TiO_2$ 의 결정성 변화에 대해서 조사하였고 이를 이용하여 유사 작용제의 분해에 대한 연구를 하였다. 신경작용제(VX: nerve agent)의 유사작용제인 말라치온(Malathion)뿐만 아니라 셀룰로우즈(cellulose) 계의 복잡한 구조의 화학유기물 등을 대기압 플라즈마를 이용해 분해시킬 수 있음을 알 수 있었다. 본 연구에서는 대기압 플라즈마와 금속산화물의 결정성 변화에 대한 분석을 통해 기능성화된 촉매입자를 이용한 효과적인 화학물질의 분해를 소개하고, 대기압 플라즈마의 나노 소재기술로의 높은 응용가능성도 함께 살펴보았다. -
본 연구에서는 RF/DC 마그네트론 스퍼터링 시스템을 이용하여co-sputtering 방법으로 성장시킨 고이동도를 갖는Ge-doped
$In_2O_3$ In2O3 (IGO) 박막의 전기적, 광학적, 구조적 특성을 평가하고, 이를 유기태양전지와 유기발광다이오드에 적용함으로써 고이동도 IGO 투명전극의 소자 적용가능성을 타진하였다. GeO2 타겟에 인가되는 도핑 Power와 급속열처리 온도가 30 W,$500^{\circ}C$ 일 때, 최적화 된 IGO 박막으로부터$2.8{\times}10^{-4}$ Ohm-cm의 낮은 비저항과 86.9% (550 nm)의 높은 투과도를 확보하였다. 뿐만 아니라 Near Infra-red (750~1,200 nm) 영역에서의 IGO투명전극의 광투과율이 결정질의 ITO보다 높은(약15%) 투과도를 보이는 것을 통해 IGO박막의 높은 LAS (Lewis Acid Strength) 값을 가지는 Ge 원소의 도핑이 NIR 영역의 광투과율 향상에 미치는 영향을 확인할 수 있었다. 최적 조건의 IGO 박막을 적용하여 Fill Factor 67.38%, Short circuit current density 8.43 mA/cm2, open circuit voltage 0.60 V, efficiency 3.44%의 유기태양전지 및 19.24%의 외부양자효율을 갖는 유기발광다이오드를 제작함으로써 결정질 ITO 전극(20.05%)을 대체할 수 있는 고투과, 고이동도 IGO 투명 전극 및 이를 이용한 광전소자 적용 가능성을 타진하였다. -
Perfluorocarbons (PFCs) have been suggested as possible replacements for
$SF_6$ and the fluorocarbons used in and emitted during technological plasma treatments because PFCs have significantly low greenhouse warming potentials. Of many PFCs, c-$C_4F_8$ and 2-$C_4F_8$ attract special attention because of their high CF2 radicallevels in commercial plasma treatments. Accordingly, several experimental and theoretical studies of these$C_4F_8$ species have been conducted, although only the geometries at their stationary states and their adiabatic electron affinities (EAs) have been determined. However, this information is not sufficient for a deep understanding of all the possible fates and roles of$C_4F_8$ species and their fragments in plasma phases. Although the performance and reliability ofeach DFT functional have been examined carefully by the development team of each functional form with respect to the training and test data sets of well-known molecular systems, no PFC was included in the data sets. So a careful additional assessment of the reliability of DFT functionals for the study of PFC systems is highly required. In order to find a DFT method appropriate to PFCs, the geometry, energy, and chemical reaction properties of$C_4F_8$ were calculated and compared with reference data. -
초음속 공기 플라즈마 환경을 모사할 수 있는 0.4 MW급 Enhanced Huels형 초음속 공기 플라즈마 발생 장비가 2012년에 전북대학교에 설치 완료되었다. 초음속 공기 플라즈마 시험장비는 대기권으로 reentry 할 수 있는 비행체의 열차폐체 시험평가를 주목적으로 개발되었으며, 핵융합장치용 고온 내열체 소재개발에도 활용될 예정이다. 분절형 아크 플라즈마 토치는 전극부식에 의한 오염도를 적으면서 고출력의 안정적인 플라즈마를 발생시키며, 일반적인 직류 토치로는 얻을 수 없는 초고엔탈피 플라즈마 열유동을 얻을 수 있는 특징이 있다. 구축된 장비는 최대 직류 출력 1,200 kW의 DC 전원공급장치, 0.4 MW급의 분절형 아크 플라즈마 토치,
${\phi}1.5m{\times}2m$ 크기의 진공쳄버, 1 MW의 냉각 능력을 갖춘 디퓨저와 열교환기, 진공 용량$100m^3$ /min의 진공펌프 9대, 88 g/s의 공기유량에서 NOx를 50,000 ppm에서 100 ppm으로 저감할 수 있는 후처리 시스템, 4 bar 15 g/s의 공기를 공급할 수 있는 가스 공급장치, 30 bar 600 lpm의 저전도수와 4 bar 560 lpm의 일반수를 공급할 수 있는 냉각수 공급장치로 구성되어 있다. 초음속 공기 플라즈마의 발생 특성을 시험하기 위해 플라즈마 발생 조건으로 토치공급전력 350 kW와 410 kW, 토치 공기 공급 유량 16.3 g/s, 토치 내부압력 3.9~4.2 bar, 챔버압력 40 mbar으로 시험을 수행하였다. 발생된 플라즈마 상태를 진단하기 위해 속도는 쇄기 탐침기, 열유속은 Gardon 게이지, 엔탈피와 토치 효율은 토치의 공급전력과 냉각수에 의한 손실 전력으로 각각 측정하였다. -
가시광 영역에서의 높은 투과도와 낮은 전기 비저항을 갖는 ITO (Indium Tin Oxide) 박막은 현재 Display, Solar Cell, LED, Smart Phone 등 최첨단 IT산업에서 가장 많이 사용되고 있는 투명전극소재이다. IBD (Ion Beam Deposition)방법은 박막의 증착 방법 중 Plasma에서 독립적으로 이온만을 빔의 형태로 조사하여 박막을 증착하는 방법으로 기존 RF 또는 DC 스퍼터방법에 비해서 상대적으로 높은 진공도(low 10E-04 torr)와 비교적 높은 스퍼터 된 입자의 에너지를 가지는 등의 장점으로 증착 된 박막의 밀도, 거칠기가 향상되고 상대적으로 적은 결함을 가지는 박막의 제작에 사용되고 있는 기술이다. (주)인포비온에서는 IBD 기술과 더불어 표면만을 선택적으로 가열할 수 있는 EBA Technology를 사용하여 박막에 Energy를 전달하고, 이를 바탕으로 ITO 박막의 전기적, 광학적, 구조적인 특성의 변화를 관찰 연구했다 [1]. 본 연구에서는 기존의 Sputter 방법과 IBD 방법으로 증착 된 ITO 박막의 전기적, 광학적, 구조적인 특성 변화를 비교 관찰하였고, EBA 후처리로 ITO 박막을 상온에서 처리하여, 박막의 투과도, 면 저항, 미세구조의 변화를 관찰하였다. 각 특성의 변화는 UV-VIS, 4Point-Probe, TEM을 사용하여 분석하였고, 처리 전, 후의 박막의 결합에너지는 XPS로, 박막의 조성변화는 SIMS를 이용하여 각각 분석하였다.
-
Kinetic energy를 가진 입자의 물리적 충돌을 이용하여 기판의 가열 없이 박막 표면만을 열처리할 수 있는 EBA technology는 차세대 첨단 기술로 활용될 무수한 잠재력이 있다. (주)인포비온에서는 EBA source를 이용한 다양한 응용을 연구했으며 또한 이의 결과를 산업적 양산에 이용하고자 EBA source를 적용한 대형 시스템을 개발했다. 이에 EBA technology의 소개와 이를 활용한 연구 결과, 양산형 시스템 등을 소개하고자 한다.
-
Metal-Oxide-Semiconductor (MOS)에서 사용되는 다양한 channel materials로 high electron mobility을 가지는 III-V compound semiconductor가 대두되고 있다 [1,2]. 하지만 이러한 III-V compound semiconductor는 Si에 비해 안정적인 native oxide가 부족하기 때문에 Si, Ge, Al2O3과 BeO 등과 같은 다양한 물질들의 interface passivation layers (IPLs)에 대한 연구가 많이 되고 있다. 이러한 IPLs 물질은 0.5~1.0 nm의 매우 얇은 physical thickness를 가지고 있고 또한 chemical inert하기 때문에 플라즈마 식각에 대한 연구가 되고 있지만 IPLs 식각 후 기판인 III-V compound semiconductor에 physical damage과 substrate recess를 줄이기 위해서 높은 선택비가 필요하다. 이러한 식각의 대안으로 원자층 식각이 연구되고 있으며 이러한 원자층 식각은 반응성 있는 BCl3의 adsorption과 low energy의 Ar bombardment로 desorption으로 self-limited한 one monolayer 식각을 가능하게 한다. 그러므로 본 연구에서는, III-V compound semiconductor 위에 IPLs의 adsorption과 desorption의 cyclic process를 이용한 원자층식각으로 다양한 물질인 SiO2, Al2O3 (self-limited one monolayer etch rate=about 1
${\AA}$ /cycle), BeO (self-limited one monolayer etch rate=about 0.75${\AA}$ /cycle)를 얻었으며 그 결과 precise한 etch depth control로 minimal substrate recess 식각을 할 수 있었다. -
The distinctive cellular and mitochondrial dysfunctions of a human epithelial lung cancer cell line (H460) from a human lung fibroblastic normal cell line (MRC5) have been studied by dielectric barrier discharge (DBD) plasma treatment. The DBD plasma device have generated large amount of H2O2 and NOx in culture media which is dependent on plasma exposure time. It is found that the cell number of lung cancer cell H460 has been reduced more than the lung normal cell MRC5 as being increased exposure and incubation time. Also these both cell lines have showed mitochondria fragmentation under 5 minutes' plasma exposure, which is a clue of apoptosis. It is noted in this study that AnnexinV staining has showed not only early apoptosis, but also late apoptosis in lung cancer cell H460. Mitochondria enzyme activity and ATP generation have been also much reduced in lung cancer cell H460. Their mitochondrial membrane potential (
${\Delta}{\psi}m$ ) has been found to be reduced in magnitude and shifted to the induced-potential level of cccp, while MRC5 mitochondrial membrane potential has been shifted slightly to that. These distinctively selective responses of lung cancer cell H460 from lung normal cell MRC5 gives us possibility of applying plasma to cancer therapy. -
기존 메모리 반도체에 비교해 빠른 재생속도와 높은 집적도, 비휘발성 등의 특성을 가지는 MRAM (Magnetic Random Access Memory)은 DRAM, flash memory 등을 대체할 수 있는 차세대 기억 소자로서 CoFeB/MgO/CoFeB로 구성된 한 개의 MTJ (Magnetic Tunnel Junction)를 단위 메모리로 사용한다. 이 MTJ 물질들은 고밀도 플라즈마를 이용한 건식 식각공정시 Cl2, BCl3 등과 같은 chlorine 을 포함한 가스를 이용하여 왔으나 식각 후 sidewall에서 발생하는 부식과 식각 선택비 확보의 어려움 등으로 마스크 물질에 제약을 받고 소자 특성이 감소하게 되는 등의 문제가 있다. 따라서 이러한 식각 문제점을 해결하기 위한 대안으로 noncorrosive 가스인 CO/NH3, CH3OH, CH4 등을 이용한 MTJ 식각 연구가 진행되어 오고 있으며 이중 CO/NH3 혼합가스는 부식성이 없고 hard mask와의 높은 선택비를 가지는 기체로 CO gas에 NH3 gas를 첨가하게 되면 etch rate이 증가하는 특성을 보인다. 또한 rf pulse-biased power를 이용하여 이온의 입사를 시간에 따라 제어함으로써 pulse off time 때 etch gas와 MTJ 물질간의 chemical reaction을 향상시킬 수 있다. 따라서 본 연구에서는 CO/NH3 혼합가스를 이용하여 다양한 rf pulse-biased power 조건에서 MTJ 물질인 CoFeB, MgO와 hard mask 물질인 W을 식각 한 뒤 식각특성을 분석하였으며 MTJ surface의 chemical binding state, surface roughness 측정을 진행하였다. 식각 샘플의 측정은 Alpha step profiler, XPS (X-ray Photoelectron Spectroscopy), AFM (Atomic Force Microscopy)를 통해 진행되었다. Time-averaged pulse bias에서는 duty ratio가 감소할수록 etch rate의 큰 감소 없이 CoFeB/W, MgO/W 물질의 etch selectivity가 향상됨을 확인할 수 있었으며 pulse off time 구간에서의 chemical reaction 향상으로 인해 식각부산물의 재증착이 감소하고 CoFeB의 surface roughness가 감소하는 것을 확인하였다.
-
ITO는 투명하면서도 전도성이 매우 높은 물질로 디스플레이 분야에서 전극으로 많이 사용된다. 하지만 ITO는 세라믹 물질이기 때문에 공정 단가가 높고, 유연성이 낮아 구부릴 경우 전도성이 파괴되며 충격에도 약하여 flexible한 소자에 적용할 수 없다. 또한 metal diffusion이 잘 일어나는 물질이기 때문에 OLED 소자의 특성을 저해한다. 이와 같은 문제점을 해결하기 위해 ITO를 대체하여 graphene을 이용한 투명전극 연구개발이 활발히 진행되고 있다. Graphene은 높은 mobility와 전도도를 가지고 있으며, 높은 열전도성, Young's modulus, 그리고 mechanical flexibility를 가진 물질이다. 최근에 이러한 장점들로 인해 ITO를 대체하는 물질로서 각광을 받고 있지만 graphene은 Cu, Ni과 같은 금속표면에 한정되어 성장하는 문제점을 가지고 있다. 이 graphene 합성방법은 전사과정을 필요로 하며, 이로 인해 낮은 생산성과 낮은 수율을 야기한다. 최근 높은 생산성을 가지는 graphene 전극을 만들기 위해 Reduced Graphene Oxide (rGO) 연구가 활발히 진행되고 있다. 그러나 rGO는 산화환원 과정에서 전기전도도와 electron mobility가 완벽히 회복되지 못한다는 문제점을 가지고 있다. 그리하여 본 연구에서는 높은 투과도와 높은 전도도를 갖는 graphene 전극을 얻기 위해서 powdered graphene flake를 사용하였다. Graphene flake를 IPA solvent에 분산시키기 위해 sonicator과 homogenizer를 이용하여 Graphene flake solution을 제작하였다. 그리고 uniform한 전극을 만들기 위해 Spray Coating 방법을 이용하여 PET 기판 위에 graphene flake를 증착시켰다. graphene flake를 이용하여 높은 투과도와 낮은 면저항을 갖는 투명전극을 제작하고, 그 특성을 UV-visible spectrophotometer과 four point probe를 이용하여 확인하였다.
-
유도결합 플라즈마 방전 시 사용되는 L형 임피던스 정합기(impedance matcher)의 경우 일반적으로 직렬 및 병렬 콘데서가 연결되어있으며, 임피던스 정합조건이 되었을때 전원과 안테나 사이에 있는 임피던스 정합기의 소자값이 특정 값을 가지게 된다. 유도결합 플라즈마를 진단하기 위한 여러 방법들이 연구되어 왔지만, 이러한 임피던스 정합조건과 플라즈마 내부 변수들의 상관 관계에 대한 연구는 보고된 바 없다. 본 연구에서는 유도결합 플라즈마의 임피던스 정합조건과 유도결합 플라즈마의 변압기 회로모델를 이용하여 플라즈마 저항 및 플라즈마 인덕턴스를 구하기 위한 관계식을 도출하고 임피던스 정합기의 직렬 및 병렬 콘덴서 용량값 측정하여 플라즈마 저항 및 플라즈마 인덕턴스값을 계산하였다. 결과 검증을 위해 다양한 압력 및 전력 조건에서 부유랑뮤어 탐침법을 이용하여 플라즈마 밀도를 측정해 비교해 보았으며, 두 결과의 경향성은 잘 일치함을 확인하였다.
-
Low pressure plasmas play a key role in many areas including electronic, aerospace, automotive, biomedical, and toxic waste management industries, and the advantages of the plasma are well known the processing procedure is established. However, the insight behavior of the discharges remains a mystery, even though a simple geometry as capacitive discharges. In this work, we measured RF power dissipation in capacitively coupled plasma (CCP) at various experiment conditions with potential probe and RF current probe. Through the results, we will have a clearer view of the inner nature of the CCP.
-
기존의 그래핀 성장에 관한 연구는 열화학기상증착법(Chemical vapor deposition; CVD)을 이용한다. 그래핀 성장 제어 요소로는 촉매 기판인 전이 금속[Ru, Ir, Co, Re, Pt, Pd, Ni, Cu], 기판 전처리 과정, 수소/메탄 가스 혼합비, 작업 진공 상태, 기판온도[
$800{\sim}1,000^{\circ}C$ , 냉각 속도 등으로 보고 되고 있다. 그래핀 성장 원리는 Cu 촉매 기판에 메탄 가스를$1,000^{\circ}C$ 온도에서 분해해서 탄소를 고용 시킨 후 급랭하는 도중에 석출되는 탄소에 의해 그래핀 시트가 형성되는 것으로 알려져 있다. 기존의 CVD를 열원을 이용할 경우 내부 챔버에 생기는 잠열에 의해 cooling profile의 제어가 용이하지 않다. 본 연구에서는 근적외선(Near Infrared; NIR) 열원을 이용한 CVD로 챔버 내부 잠열을 최소화하고, 냉각 공정을 Natural, Linear, Convex cooling type으로 디자인해서 cooling profile 제어가 그래핀 성장에 미치는 영향을 연구 하였다. 이렇게 성장된 그래핀을 임의의 기판(SiO2, Glass, PET film) 위에 습식방법으로 전이 시킨 후, 전기적 구조적 및 광학적 특성을 면저항(four-point probe), 전계방사 주사전자현미경(Field Emission Scanning Electron Microscope; FE-SEM), 마이크로 라만 분광법(Micro Raman spectroscopy) 및 광학현미경(optical microscope), 투과도(UV/Vis spectrometer)의 측정으로 잠열이 최소화된 NIR-CVD에서 cooling profile에 따른 그래핀 성장을 평가하였다. -
Lee, Su Il;Song, Wooseok;Kim, Yooseok;song, Inkyung;Park, Sangeun;Cha, Myung-Jun;Jung, Dae Sung;Jung, Min Wook;An, Ki-Seok;Park, Chong-Yun 208
Graphene has emerged as a fascinating material for next-generation nanoelectronics due to its outstanding electronic properties. In particular, graphene-based field effect transistors (GFETs) have been a promising research subject due to their superior response times, which are due to extremely high electron mobility at room temperature. The biggest challenges in GFET applications are control of carrier concentration and opening the bandgap of graphene. To overcome these problems, three approaches to doping graphene have been developed. Here we demonstrate the decoration of Ni nanoparticles (NPs) on graphene films by simple annealing for p-type doping of graphene. Ni NPs/graphene films were fabricated by coating a$NiCl2{\cdot}6H2O$ solution onto graphene followedby annealing. Scanning electron microscopy and atomic force microscopy revealed that high-density, uniformly sized Ni NPs were formed on the graphene films and the density of the Ni NPs increased gradually with increasing$NiCl2{\cdot}6H2O$ concentration. The formation of Ni NPs on graphene films was explained by heat-driven dechlorination and subsequent particlization, as investigated by X-ray photoelectron spectroscopy. The doping effect of Ni NPs onto graphene films was verified by Raman spectroscopy and electrical transport measurements. -
그래핀(graphene)의 가장자리(edge)는 결정구조의 배향성에 따라 지그재그(zigzag)와 안락의자(armchair) 형태로 구분되는데, 나노미터 크기의 그래핀의 전자적 성질은 이러한 가장자리의 배향성에 의해 크게 영향을 받는다고 알려져 있다. 단일층 그래핀 가장자리 사이에서 일어나는 산화실리콘(
$SiO_2$ )의 carbothermal reduction은 선택적으로 지그재그 형태의 가장자리를 생성한다고 알려져 있다. 본 연구에서는 라만 분광법과 원자 현미경(atomic force microscopy)을 이용하여 기계적 박리법으로 만들어진 이중층 그래핀에서 일어나는 carbothermal reaction을 연구하였다. 고온 산화 방법으로 이중층 그래핀에 원형 식각공(etch pit)을 만들고 Ar 기체 속에서 700도 열처리를 진행한 후, 원형 식각공이 육각형으로 확장된 것을 관찰하였다. 이것은 이중층 그래핀도 산화실리콘의 carbothermal reduction을 유발한다는 사실을 보여준다. 그러나 이중층 그래핀의 반응속도는 단일층보다 5배 정도 느린 것이 확인되었는데, 이는 이중층 그래핀의 탄소원자와 산화제로 작용하는 산화실리콘 간의 평균 거리가 단일층보다 더 크다는 사실로 설명할 수 있다. 또한 단일층과 이중층 그래핀 모두 1 기압 Ar 분위기에서보다 진공상태에서 반응속도가 현저히 작다는 사실이 관찰되었다. 진공도와 온도에 따른 반응속도로부터 반응 메커니즘 및 활성화 에너지에 대해 고찰하고자 한다. -
Cha, Myeong-Jun;Kim, Yu-Seok;Jeong, Min-Uk;Song, U-Seok;Jeong, Dae-Seong;Lee, Su-Il;An, Gi-Seok;Park, Jong-Yun 210
그래핀은 뛰어난 기계적, 화학적, 광학적, 전기적 특성을 가지고 있는 2차원 물질로, 대면적 합성법과 전사 공정을 통해 다양한 기판에서의 사용이 가능해지면서 차세대 전자 소자로 활용하기위한 활발한 연구가 이루어지고 있다. 디스플레이, 태양전지의 전극과 전계 효과 트랜지스터의 채널로 적용한 연구에서 우수한 결과들을 보이고 있다. 특히, 금속/금속 산화물 전극은 염료 감응형 태양전지와 유기 발광 다이오드 구조에서 화학적으로 불안정할 뿐 아니라 일함수가 고정되어 쇼트키 접촉이 형성되면 저항을 낮추기 어렵지만, 그래핀은 금속/금속 산화물 전극보다 화학적으로 안정하고 일함수의 조절이 가능해 옴 접촉 형성에 용이하다. 그래핀의 일함수를 조절하는 연구는 크게 공유결합과 비공유 결합을 이용한 방법이 시도된다. 공유 결합을 이용한 방법은 합성과정에서 그래핀의 구조에 내재된 결함 혹은 새로운 결함을 형성하여 다른 원소를 첨가하는 방법이다. 이러한 방법은 그래핀의 결함 영역에서 작용하기 때문에 그래핀 전자 구조의 높은 수준 조절을 위해선 그래핀 구조의 파괴가 동반된다. 반면, 비공유 결합을 이용한 방법은 전하 이동 도핑 효과를 이용해 그래핀의 전자 구조를 제어하는 방법으로, 금속/금속산화물/기능기와 그래핀의 적층으로 복합 구조를 형성하는 방법이다. 금속/금속 산화물과의 복합구조는 안정적인 p-형 도핑이 보고되었지만, n-형 도핑은 대기중의 수분, 산소 그리고 기판과의 상호작용에 의해 대기중에서 불안정해 추가적인 피막공정이 요구된다. 기능기를 이용한 적층 구조는 그래핀과 기판사이의 상호작용 혹은 그래핀 전자 구조를 다양한 기능기를 이용해 제어하는 것으로, 이극성을 가진 자기정렬 단일층(self-assembled monolayers)이 대표적인 방법이다. 공간기(spacer)의 길이나 말단기(end group)의 종류로 p-형과 n-형의 도핑 수준을 제어할 수 있지만, 흡착기(chemisorbing groups)의 반응성이 기판의 화학적, 물리적 표면상태에 의존하기때문에 기판 선택이 제약되며 전처리 공정이 요구될 수 있는 한계가 있다. 본 연구에서는 다양한 기판에 적용가능한 용액 공정을 이용해 그래핀과 고분자를 적층하였고, 안정적이고 효과적으로 일함수를 낮추는 구조를 확인하였다. -
나노 크기의 공간에서의 물질의 이동은 표면의 환경에 의해 영향을 받을 수 있다. 소수성그래핀과 친수성 실리콘 기판 사이의 계면에서의 물의 확산은 호기심을 자극할 뿐만 아니라 그래핀 소자의 특성을 좌우하는 전하도핑(charge doping) 현상을 이해하는데 중요한 모델이 된다. 본 연구에서는 라만 분광법과 원자 힘 현미경을 사용하여 그래핀/SiO2 계면 사이의 물의 확산 현상과 그에 따른 정공 밀도 변화를 탐구하였다. 열처리 된 그래핀은 기판과의 상호 작용에 의해 높은 밀도의 정공(electron hole)으로 도핑 되어 있으며, 이를 물에 담지 하였다. 본 실험에서는 이차원 라만 분광법을 통해 물 속에 담겨진 그래핀의 정공 밀도의 공간적인 분포를 확산 시간에 따라 조사하였다. 물의 확산은 시료에 따라 수 시간에서 수 일의 시간대에 걸쳐 그래핀 가장자리에서 중앙으로 이루어진다는 사실을 확인하였다. 또한 물의 계면 확산으로 인하여 전하 밀도가 감소한다는 사실은 열처리 된 그래핀의 정공 도핑을 유발하는 산소가 계면에 존재한다는 것을 증명한다.
-
본 연구에서는 최근 다양한 전자 소자로써의 연구가 진행되고 있는 그라핀을 실리콘 기판위에 전자빔 식각(Electron-Beam Lithography)을 이용하여 TLM (Transfer Length Method) 패턴을 형성하고 가스 유입이 가능한 진공 챔버를 가지는 Probe Station을 이용하여 I-V 변화를 측정함으로써, 그라핀을 가스 센서 소자로서의 가능성을 연구하였다. 우리는 기존의 광식각을 이용한 TLM 패턴 형성과 더불어 전자빔 식각(E-Beam Lithography: EBL)을 이용한 TLM 패턴을 형성하여 I-V를 측정하였는데, 전자빔을 이용한 TLM 패턴의 형성은 광식각을 이용한 방법에 비해 더 세밀하고 미세한 패턴을 형성하는 것이 가능하다. 이렇게 형성된 그라핀의 TLM패턴은 가스 유량 조절이 가능한 진공 챔버를 가지는 Probe Station을 이용하여 측정하게 되는데, 이 때 저진공 상태의 챔버 내로 N2, H2 두 종류의 가스를 각각 유량을 변화시키며 주입하고 그 변화를 측정하였다. 유입된 가스는 그라핀의 Dangling Bond에 결합됨으로써 그라핀의 전도도를 변화시키게 되고, 변화된 그라핀의 전도도에 따른 I-V 결과의 변화를 측정하여 이를 가스 센서로 사용할 수 있는지를 측정하였다. 또한 유입되는 가스의 유량 변화에 따른 I-V 결과의 변화량을 통하여 가스 센서의 민감도 또한 측정하였다.
-
Ahn, Gwanghyun;Kim, Hye Ri;Ko, Taeg Yeoung;Choi, Kyoungjun;Watanabe, Kenji;Taniguchi, Takashi;Hong, Byung Hee;Ryu, Sunmin 213
Even weak van der Waals (vdW) adhesion between two-dimensional solids may perturbtheir various materials properties owing to their low dimensionality. Although the electronic structure of graphene has been predicted to be modified by the vdW interaction with other materials, its optical characterization has not been successful. In this report, we demonstrate that Raman spectroscopy can be utilized to detect a few % decrease in the Fermi velocity ($v_F$ ) of graphene caused by the vdW interaction with underlying hexagonal boron nitride (hBN). Our study also establishes Raman spectroscopic analysis which enables separation of the effects by the vdW interaction from those by mechanical strain or extra charge carriers. The analysis reveals that spectral features of graphene on hBN are mainly affected by change in vF and mechanical strain, but not by charge doping unlike graphene supported on$SiO_2$ substrates. Graphene on hBN was also found to be less susceptible to thermally induced hole doping. -
Ion beam sputtering (IBS) by collision of energetic ions at surfaces is one of the representative methods for physical self-assembly. It is in spotlight as an easy tool to make nano structures in various sizes and shapes by controlling physical variablesWe investigate nano patterning on graphite. We found well-ordered nano ripple patterns after sputtering under the oblique angle and mean wavelengths of these ripples could be controlled as ion fluence increases from sub-10 nm to 80 nm. Each nano ripple is terminated by nano buds, which look like a cotton bud. We also examined the formation of various patterns on graphite by sputtering during swinging the sample at a constant angular velocity that have been never reported.
-
The silicide is a compound of Si with an electropositive component. Silicides are commonly used in silicon-based microelectronics to reduce resistivity of gate and local interconnect metallization. The popular silicide candidates, CoSi2 and TiSi2, have some limitations. TiSi2 showed line width dependent sheet resistance and has difficulty in transformation of the C49 phase to the low resistive C54. CoSi2 consumes more Si than TiSi2. Nickel silicide is a promising material to substitute for those silicide materials providing several advantages; low resistivity, lower Si consumption and lower formation temperature. Nickel silicide (NiSi) nanowire (NW) has features of a geometrically tiny size in terms of diameter and significantly long directional length, with an excellent electrical conductivity. According to these advantages, NiSi NWs have been applied to various nanoscale applications, such as interconnects [1,2], field emitters [3], and functional microscopy tips [4]. Beside its tiny geometric feature, NW can provide a large surface area at a fixed volume. This makes the material viable for photovoltaic architecture, allowing it to be used to enhance the light-active region [5]. Additionally, a recent report has suggested that an effective antireflection coating-layer can be made with by NiSi NW arrays [6]. A unique growth mechanism of nickel silicide (NiSi) nanowires (NWs) was thermodynamically investigated. The reaction between Ni and Si primarily determines NiSi phases according to the deposition condition. Optimum growth conditions were found at
$375^{\circ}C$ leading long and high-density NiSi NWs. The ignition of NiSi NWs is determined by the grain size due to the nucleation limited silicide reaction. A successive Ni diffusion through a silicide layer was traced from a NW grown sample. Otherwise Ni-rich or Si-rich phase induces a film type growth. This work demonstrates specific existence of NiSi NW growth [7]. -
Sim, Jong Ki;Seo, Hyun Ook;Jeong, Myung-Geun;Kim, Kwang-Dae;Nam, Jong Won;Kim, Young Dok;Lim, Dong Chan 217
Carbon-fiber-supported NiO catalytic filters for oxidation of volatile organic compounds were prepared by electroless Ni-P plating and subsequent annealing processes. Surface structure and crystallinity of NiO film on carbon fiber could be modified by post-annealing at different temperatures (500 and$650^{\circ}C$ . Catalytic thermal decompositions of toluene over these catalytic filters were investigated.$500^{\circ}C$ annealed sample showed a higher catalytic reactivity toward toluene decomposition than$650^{\circ}C$ annealed one under same conditions, despite of its lower surface area and toluene adsorption capacity. X-ray diffraction and X-ray photoelectron spectroscopy studies suggested that amorphous structures of NiO on$500^{\circ}C$ annealed catalyst caused the higher reactivity for oxidation of toluene than that of$650^{\circ}C$ annealed sample with a higher crystallinity. -
We report a simple and cost-effective method to fabricate transparent superhydrophobic surface on various substrates. The surface was fabricated by coating hydrophobic PDMS (polydimethylsiloxane) film on the silica nanoparticle and subsequent fixing of the hydrophobic silica nanoparticles onto substrates. The water contact angle for the prepared surface was determined to be over
$150^{\circ}$ , whichindicates that the surface is highly repellent to water. The hierarchical structure and roughness of the surface were examined by scanning electron microscopy (SEM) and atomic force microscopy (AFM). Additionally, transparency of the prepared surface was measured with UV-VIS spectrometer. The transmittance of the superhydrophobic surface was ~80%, which is lower than that without PDMS-coated silica by only 5 to 10%. It is also notable that the superhydrophobic surface fully recovers its original transmittance after self-cleaning process. Also the PDMS coating is stable under a wide range of pH conditions, UV radiation and salinity conditions, which is essential for the practical use. Moreover, our fabrication method is applicable in large scale production. -
The phenomenology of liquid breakdown has been an area of interest for many years but is still not fully understood. Moreover, it was known that the behavior of magnetic nanoparticles in transformer oil could affect the dielectric breakdown voltage positively or negatively. In this study, we have imaged the magnetic nanoparticles in a transformer oil in-situ using an optical microscopic set-up and a microchannel according to the electric and magnetic fields applied. And we have calculated numerically dielectrophoresis and magnetophoresis forces, which must be the driving mechanisms to move magnetic nanoparticles in the fluid. It was found that when the electric field is applied the magnetic nanoparticles in the fluid experience an electrical force directed toward the place of maximum electric field strength. And when the external magnetic field is applied, the magnetic nanoparticles form long chains oriented along the direction of the field.
-
Striving to replace the well known silicon nanocrystals embedded in oxides with solution-processable charge-trapping materials has been debated because of large scale and cost effective demands. Herein, a silicon quantum dot-polystyrene nanocomposite (SiQD-PS NC) was synthesized by postfunctionalization of hydrogen-terminated silicon quantum dots (H-SiQDs) with styrene using a thermally induced surface-initiated polymerization approach. The NC contains two miscible components: PS and SiQD@PS, which respectively are polystyrene and polystyrene chains-capped SiQDs. Spin-coated films of the nanocomposite on various substrate were thermally annealed at different temperatures and subsequently used to construct metal-insulator-semiconductor (MIS) devices and thin film field effect transistors (TFTs) having a structure p-
$S^{++}$ /$SiO_2$ /NC/pentacene/Au source-drain. C-V curves obtained from the MIS devices exhibit a well-defined counterclockwise hysteresis with negative fat band shifts, which was stable over a wide range of curing temperature ($50{\sim}250^{\circ}C$ . The positive charge trapping capability of the NC originates from the spherical potential well structure of the SiQD@PS component while the strong chemical bonding between SiQDs and polystyrene chains accounts for the thermal stability of the charge trapping property. The transfer curve of the transistor was controllably shifted to the negative direction by chaining applied gate voltage. Thereby, this newly synthesized and solution processable SiQD-PS nanocomposite is applicable as charge trapping materials for TFT based memory devices. -
Nanostructured noble metals have been attractive for their unusual optical properties and are widely utilized for various purposes. The optical properties mainly originating from collective electron oscillation can assist direct energy conversion via surface plasmon resonances. Here, we investigated the effect of surface plasmons of silver nanowires on the generation of hot electrons. It is reported that the surface plasmons of silver nanowires exhibit longitudinal and transverse modes, depending on the aspect ratio of the nanowires. In order to measure the hot electron flow through the metallic nanowires, chemically modified Au/TiO2 Schottky diodes were employed as the electric contact. The silver nanowires were deposited on a Au metal layer via the spray method to control uniformity and the amount of silver nanowire deposited. We measured the hot electron flow generated by photon absorption on the silver nanowires deposited on the Au/TiO2 Schottky diodes. The incident photon-to-current efficiency was measured a function of the photon energy, revealing two polarization modes of siliver nanowires: transverse and longitudinal modes. UV-Vis spectra exhibited two polarization modes, which are also consistent with the photocurrent measurements. Good correlation between the IPCE and UV-vis measurements suggests that hot electron measurement on nanowires on nanodiodes is a useful way to reveal the intrinsic properties of surface plasmons of nanowires.
-
Si is a dominant solar material, which is the second most abundant element in the earth giving a benefit in the aspect in cost with low toxicity. However, the inherent limit of Si has an indirect band gap of 1.1 eV resulting in the limited optical absorption. Therefore, a critical issue has been raised to increase the utilization of the incident light into the Si absorber. The enhancement of light absorption is a crucial to improve the performances and thus relieves the cost burden of Si photovoltaics. For the optical aspect, an efficient design of a front surface, where the incident light comes in, has been intensively investigated to improve the performance of photon absorption. Lambertian light trapping can be attained when the light active surface is ideally rough to increase the optical length by about 50 compared to a planar substrate. This suggests that an efficient design may reduce thickness of the Si absorber from the conventional 100~300
${\mu}m$ to less than 3${\mu}m$ . Theoretically, a hole-array structure satisfies an equivalent efficiency of c-Si with only one-twelfth mass and one-sixth thickness. Various approaches have been applied to improve the incident light utilization in a Si absorber using textured structures, periodic gratings, photonic crystals, and nanorod arrays. We have designed hole and pillar structured Si absorbers. Four-different Si absorbers have been simultaneously fabricated on an identical Si wafer with hole arrays or pillar arrays at a fixed depth of 2${\mu}m$ . We have found that the significant enhanced solar cell performances both for the hole arrayed and pillar arrayed Si absorbers compared to that of a planar Si wafer resulting from the effective improvement in the quantum efficiencies. -
후쿠시마 원전 사고 이후로 원전의 안전에 대한 관심이 어느때 보다 크다. 기존의 원전이 가지고 있는 위험성을 획기적으로 줄인 가속기 구동 원자로(accelerator-driven nuclear reactor)에 대한 관심 또한 매우 크다. 양성자 가속기를 이용하여 양성자를 원자로 내부에 입사시켜 핵파쇄 반응으로 중성자를 생산하는 이 시스템은, 진공을 유지하여야 하는 가속기와 진공이 필요 없는 원자로가 서로 연결되어 있어서, 연결부에 양성자빔을 통과시키고 진공은 유지시키는 윈도우가 필요하다. 이 윈도우를 중심으로 가속기 구동 원자로에 필요한 진공 기술을 살펴본다.
-
Dye-Sensitized Solar Cells (DSSCs) have attracted great interests as they offer high energyconversion efficiencies at low cost. For the conventional fabrication of DSSCs, high temperature sintering is required for the construction of interconnect
$TiO_2$ . However, more simplified process which can be applicable to large-sized solar cells module, is strongly necessary for the commercialization of DSSCs. In this work, we developed novel sintering method using Intense Pulsed Light (IPL), which can replace the conventional high temperature sintering methods. The photovoltaic properties of DSSCs utilizing IPL methods will be reported. -
저온작동형(
$500{\sim}700^{\circ}$ ) 고체산화물 연료전지의 전해질 재료의 응용이 기대되는 Gd doped ceria를 고주파 유도결합 열플라즈마 법으로 합성하고 그 특성을 조사하였다. 본 연구에서는 나노 ㄴCeO2 10~100 um의 CeO2와 1~20 um의 Gd2O3를 Ce:Gd이 9:1 mol%와 8:2 mol%의 비율로 혼합한 선구체를 140 kVA의 RF plate power와 O2/Ar 플라즈마 생성 가스 조건에서 형성된 고주파 유도결합 열 플라즈마에 주입하여 ~50 nm 이하의 입도와 fluorite 구조의 결정화된 CeO2 구조를 갖는 Gd doped ceria 나노 분말을 합성하였다. FE-SEM, TEM, XRD, ICP-OES, EDS, BET분석법을 이용하여, 합성된 분말의 입도, 미세구조, 결정 구조, 조성, 표면 등의 특성을 관찰하였다. -
Han, Seung Hee;Moon, Sun-Woo;Kim, Kyunghun;Kim, Sung Min;Jang, Jinhyeok;Lee, Seungmin;Kim, Jungsu 230
In amorphous or microcrystalline thin-film silicon solar cells, p-i-n structure is used instead of p/n junction structure as in wafer-based Si solar cells. Hence, these p-i-n structured solar cells inevitably consist of many interfaces and the cell efficiency critically depends on the effective control of these interfaces. In this study, in-situ plasma treatment process of the interfaces was developed to improve the efficiency of a-Si:H solar cell. The p-i-n cell was deposited using a single-chamber VHF-PECVD system, which was driven by a pulsed-RF generator at 80 MHz. In order to solve the cross-contamination problem of p-i layer, high RF power was applied without supplying SiH4 gas after p-layer deposition, which effectively cleaned B contamination inside chamber wall from p-layer deposition. In addition to the p-i interface control, various interface control techniques such as thin layer of TiO2 deposition to prevent H2 plasma reduction of FTO layer, multiple applications of thin i-layer deposition and H2 plasma treatment, H2 plasma treatment of i-layer prior to n-layer deposition, etc. were developed. In order to reduce the reflection at the air-glass interface, anti-reflective SiO2 coating was also adopted. The initial solar cell efficiency over 11% could be achieved for test cell area of 0.2$cm^2$ . -
반사방지막 코팅(Anti-reflection coating)은 태양전지(Solar cell), 발광다이오드(LED) 등의 반사율을 낮추어 효율을 증대시키기 위하여 사용되고 있다. 본 실험에서는 유리 기판 위에 실리콘 타겟을 이용한 Reactive magnetron sputtering 장비를 활용하여, 50~100 mTorr의 높은 공정 압력(High pressure)에서 Ar:O2 유량비를 변화시키며 증착하여 SiO2 반사방지막 코팅층을 형성하였다. Ellipsometer를 이용하여 SiO2 박막층의 굴절률(Refractive index)을 측정한 결과, 공정 압력과 Ar:O2 유량비에 따라 SiO2 박막이 다양한 굴절률을 가지는 것을 확인할 수 있었다. 또한, UV-Vis spectrometer를 이용하여, 190~1,100 nm 파장에서의 반사율(Reflectance)과 투과율(Transmittance)을 측정하여 비교, 분석하였다. 나아가 증착된 SiO2 반사방지막을 비정질 실리콘 박막 태양전지에 적용하여 효율 향상 효과를 실험하였다. 이를 활용하여 낮은 굴절률을 갖는 반사방지용 SiO2 코팅층을 형성하여 태양전지의 광 변환 효율을 상승 시킬 수 있고, 발광다이오드의 광 추출 효율을 증가시킬 있을 것으로 여겨진다.
-
Lee, Myeong-Bok;No, Jin-Hui;Yun, Yeong-Mok;Hwang, Cheol-Gyun;Yeo, Seok-Gi;Choe, Gyeong-Sik;Choe, Byeong-Geon;Son, Gwang-Jae;Lee, Jae-Myeong;Yun, Yeong-Su;Lee, Seong-Man;Sin, Dong-Uk;Park, Yong-Jun;Kim, Jong-Dae;Kim, Han-Jun;Kim, U-Jeong 232
본 발표에서 2012년 나노융합산업원천기술개발사업 꼭지로 연구개발을 수행하고 있는 "외부 충전없이 반영구적으로 사용이 가능한 10 mWh/cm2급 동위원소기반 전고상(全固相) 하이브리드 전지 원천기술 개발" 사업의 핵심내용을 간략히 소개하고자 한다. 본 과제의 핵심내용은 국내 유일의 원자로인 하나로의 중성자 빔라인을 이용하여${\beta}$ -선을 방출하는 동위원소파우더를 생산하고, 방출되는${\beta}$ -선을 효율적으로 흡수할 수 있는 PN-접합 전지구조에 노출시켜 2차적인 e-h 쌍을 생성시키고, 분리시키고 전극으로 포집하여 전력을 생산하는 한국형 동위원소전지 개발에 있다. 더하여 실시간으로 생성되는 미세한 출력전력을 증폭시켜 저장할 수 있는 고효율 전고상 이차전지와 전력제어회로를 포함하는 한국형 하이브리드전지관련 원천기술 개발관련 세부 사업내용을 소개함으로 관련분야 연구에 대한 국내관심을 환기시켜 관련기술개발을 촉진하고자 한다. -
The counter electrodes in dye-sensitized solar cells (DSSCs) play roles in not only collecting electrons from external circuit but also reducing I3- to I- in electrolytes. Generally, conventional counter electrodes for DSSCs are prepared from the high temperature treatment of the H2PtCl6 precursor solution at
$400^{\circ}C$ However, the more simplified fabrication process of counter electrodes is required for the commercialization of DSSCs. In this work, we developed novel fabrication process of counter electrodes using nano-second pulsed laser. DSSCs employing counter electrodes prepared by laser process showed conversion efficiency of 6.75% with short-circuit current of 12.73 mA/cm2, open-circuit voltage of 0.74 V and fill factor of 0.72. Closer investigating of photovoltaic properties will be reported. -
We reported on the characteristics of organic solar cells (OSCs) fabricated on
$HNO_3$ -treated multilayer graphene (MLG) transparent electrodes. MLG electrodes were prepared using a chemical vapor deposition and a multi-transfer process. Compared to organic solar cells (OSCs) on the ITO electrodes had a fill factor of 65.97%, and a power conversion efficiency (PCE) of 3.364%, OSCs on the MLG (three-layer graphene) electrodes with sheet resistance of$274{\pm}1{\Omega}$ /square and transparency of 92.1% had a fill factor of 43.46%, and a power conversion efficiency (PCE) of 2.019%. However, OSCs on the HNO3-treated MLG electrodes with lower sheet resistance of$119{\pm}1{\Omega}$ /square had a fill factor of 57.54%, and a PCE of 2.861%. The results would provide a promising method to improve the performance of large-area OSCs based on MLG electrodes. -
The peak klystron power for the PAL (Pohang Accelerator Laboratory) XFEL (X-ray Free Electron Laser) is up to 80 MW which is higher than that of PLS-II LINAC. To prevent the RF breakdown such a high power operation, some of RF components need to be redesigned to reduce the surface electric field gradient to be less than the breakdown gradient at the vacuum-metal surface. For instances, the redesign of the Stanford Linear Accelerator Energy Doubler (SLED) system, the directional coupler and 3dB power splitter using the finite-difference time-domain (FDTD) simulation will be presented.
-
PLS-II 저장링 진공시스템의 주 배기 장치로 설치되어 운용되고 있는 조합펌프(NEG+이온펌프)의 성능을 측정하였다. 이 조합펌프는 60 l/s 또는 30 l/s의 이온펌프와 WP950 (ZrVFe) getter module (1~3개)로 구성되어 있다. 이 펌프의 배기속도를 활성화 방법에 따라 측정하여 성능을 검증하고 재활성화 빈도, 흡착률을 실험적으로 측정하였다. 배기속도는 수소, 일산화탄소, 수소+일산화탄소의 혼합기체를 사용하여 측정하여 보고하고자 한다.
-
PLS-II 저장링 진공시스템은 2011년 6월 설치 완료 후 2011년 7월부터 2012년 초 까지 1차 시운전을 마쳤다. PLS-II 저장링 진공시스템은 누적 전류 50 Ah 운전 후, 3 GeV, 400 mA 전자빔 운전 시 평균 진공도
$2{\times}10^{-9}$ mbar를 유지도록 설계되어 빔-기체 산란 수명을 20 시간 이상 확보하도록 설계하였다. 지금까지의 간략한 운전 이력과 진공시스템의 성능을 보고하고 최근 방사광 가속기에서 중요하게 취급하는 진공용기(특히, 빔전류 측정 진공용기)의 기계적 안정도, 변위 등과 BPM TE mode noise suppression 등을 보고하고자 한다. -
Gang, Sang-Baek;No, Yeong-Ho;Yu, Jae-Gyeong;Go, Deuk-Yong;Park, Seong-Je;Go, Jun-Seok;In, Sang-Ryeol 242
현재 크라이오펌프의 주요 관심기술은 생산성 향상을 위한 급속 재생기술의 확보와 극저온 냉동기의 효율 향상 기술 및 저진동 기술의 확보이다. 크라이오펌프는 크게 냉동기 모듈과 펌프모듈로 구성되고, 냉동기 모듈은 주로 G-M 극저온 냉동기, Stirling 극저온 냉동기 또는 맥동관 극저온 냉동기 등을 사용하는데, 이것은 주로 압축기, 왕복기, 재생기, 구동장치 등으로 펌프모듈은 cryoarray와 펌프 body로 구성된다. 최근에 구조가 간단하고 장수명 및 저진동의 장점을 가진 맥동관 극저온 냉동기의 효율이 급속히 증가함에 따라 초전도, 액화 등의 분야에서 기존의 G-M 극저온 냉동기를 대체하는 추세이다. 본 연구에서는 지식경제부 제조기반산업원 천기술사업 "급속재생형 저진동 크라이오펌프 개발" 사업을 통해 급속 재생, 저진동, 고신뢰성 확보를 위해 기존의 G-M 극저온 냉동기를 맥동관 극저온 냉동기로 대체 적용 개발 및 국산화를 도모하고자 한다. 또한 상용화에 따른 공정 개발을 소개하고자 한다. -
Over the past 15 years, several groups have incorporated radio-frequency quadrupole (RFQ) based instruments before the accelerator in accelerator mass spectrometry (AMS) systems for ion-gas interactions at low kinetic energy (<40 eV). Most AMS systems arebased on a tandem accelerator, which requires negative ions at injection. Typically, AMS sensitivity abundance ratios for radioactive-to-stable isotope are limited to Xr/Xs >10^-15, and the range of isotopes that can be analyzed is limited because of theneed to produce rather large negative ion beams and the presence of atomic isobaric interferences after stripping. The potential of using low-kinetic energy ion-gas interactions for isobar suppression before the accelerator has been demonstrated for several negative ion isobar systems with a prototype RFQ system incorporated into the AMS system at IsoTrace Laboratory, Canada (Ontario, Toronto). Requisite for any such RFQ system applied to very rare isotope analysis is large transmission of the analyte ion. This requires proper phase-space matching between the RFQ acceptance and the ion beam phase space (e.g. 35 keV,
${\varphi}3mm$ , +-35 mrad), and the ability to control the average ion energy during interactions with the gas. A segmented RFQ instrument is currently being designed at Korea Institute for Science and Technology (한국과학기술연구원, KIST). It will consist of: a) an initial static voltage electrode deceleration region, to lower the ion energy from 35 keV down to <40 eV at injection into the first RFQ segment; b) the segmented quadrupole ion-gas interaction region; c) a static voltage electrode re-acceleration region for ion injection into a tandem accelerator. Design considerations and modeling will be discussed. This system should greatly lower the detection limits of the 6 MV AMS system currently being commissioned at KIST. As an example, current detection sensitivity of 41Ca/Ca is limited to the order of 10^-15 while the 41Ca/Ca abundance in modern samples is typically 41Ca/Ca~10^-14 - 10^-15. The major atomic isobaric interference in AMS is 41K. Proof-of-principal work at IsoTrace Lab. has demonstrated that a properly designed system can achieve a relative suppression of KF3-/41CaF3- >4 orders of magnitude while maintaining very high transmission of the 41CaF3- ion. This would lower the 41Ca detection limits of the KIST AMS system to at least 41Ca/Ca~10^-19. As Ca is found in bones and shells, this would potentially allow direct dating of valuable anthropological archives and archives relevant to our understanding of the most pronounced climate change events over the past million years that cannot be directly dated with the presently accessible isotopes. -
한국과학기술연구원에서는 2007년부터 시작된 중대형가속기구축사업을 통하여 3기의 정전형 가속기를 설치하였다. 1996년에 도입된 2.0 MV Pelletron을 비롯하여 신규 도입된 6 MVTandetron과 400 kV implanter 등 모두 3기의 정전형가속기로 30 keV부터 ~60 MeV까지의 단색 이온빔을 인출, 이용할 수 있는 실험설비의 구축이 완성되었다. 정전형가속기의 주요 활용분야는 femto~atto mole정도의 동위원소를 측정하는 가속기질량분석법(AMS)를 비롯하여 RBS/ERD로 대표되는 이온빔분석법(ion beam analysis, IBA) 그리고 고에너지 이온빔을 이용한 물질 개질분야(ion beam material modification, IBMM)로 크게 분류된다. 이 시설은 당 연구원의 연구 수요 뿐 아니라 국내 연구자의 수요를 아우르는 시설로 계획하고 있다. 여타 실험/분석장비와 달리 가속기시설은본체의 완성 후 활용목적에 맞춰 빔라인의 증설이 필요하며 최근 가장활용성이 큰 가속기질량분석(accelerator mass spectrometry, AMS)의 경우는 활용분야별 시료 전처리 시설과 기술의 개발이 요구된다. 따라서 KIST에서는 향후 수년간 활용 분야별 대표적인 주제를 선정하고 필요한 선행연구를 통하여 KIST 이온빔시설에 대한 연구자들의 접근편의성을 제고하고자한다. 본 발표에서는 지난 6년간의 수행된 가속기 시설의 개요와 함께 장차 수행할 선행연구의 방향과 내용을 소개한다(Figure capture). Layout of the newly constructed KIST ion beam facility.
-
첨단 산업의 급속한 팽창에 기인한 대용량, 고진공 성능의 진공시스템 수요가 증대되고 있다. 이로써 고진공 펌프 국산화의 일환으로 터보분자 펌프 개발이 진행중에 있으며 터보분자 펌프의 특성평가시스템을 자체적으로 설계/제작하였다. 특성평가의 하나로 극한조건 조성, 실제 공정 모사 조건의 기계적 안정성, 공정 대응 내구성의 신뢰성을 단기간에 확보하기 위한것으로 파괴장치가 설계/제작 되었다. 본 연구에서는 400 L/s의 터보분자 펌프를 이용하였고, 다양한 공정 모사 조건하에서 torque, pressure, power, vibration, temperature 등의 특성 변화를 관찰하여 파괴장치의 검증과 신뢰성 확인 및 database를 확보하고자 한다.
-
저소음, 저진동이 장점인 맥동관형 냉동기 및 이를 이용한 크라이오 펌프를 국산화하는 연구개발사업이 상당히 진전되어 첫 번째 시제품을 완성했다. 3개월 여에 걸쳐 냉동기 냉동능력, 진동 및 펌프 냉각시간을 포함해서 질소, 수소 또는 알고에 대한 펌프 배기속도, 배기용량, 최대유량, 교차값, 알곤회복시간 등 규격, 비규격을 통틀어 모든 평가항목을 망라하는 펌프 배기 성능시험을 완료했다. 성능평가결과에 나타난 시제품의 장단점을 분석하여 보완 및 개선점을 찾고 이를 완제품 제작에 반영하려고 한다. 내경 14인치인 크라이오 펌프 시제품의 질소 배기속도는 목표치를 30% 정도 상화하여 4,600 L/s가 나왔고 배기용량은 약 45만
$mbar{\cdot}L$ 에 달했으며 교차값은 2,200$mbar{\cdot}L$ 로 측정되었다.$5{\times}10^{-8}$ mbar 기준 알곤 회복시간은 2분 정도로 5분 이내라는 기준을 만족했다. 한편 20 K까지 펌프 냉각시간이 135분이므로 15분 이상 단축이 필요한데 이는 열차폐 특히 배플의 열적설계를 개선함으로써해결하려고 한다. 그리고 수소에 대한 배기성능 개선을 위해 활성탄 어레이의 제작공정을 표준화할 필요가 있다. -
Im, Jong-Yeon;In, Sang-Yeol;Im, Seong-Gyu;Kim, Wan-Jung;Jeong, Wan-Seop;Hong, Seung-Su;Go, Deuk-Yong 249
지식경제부의 청정제조기반 산업원천개발사업의 일환으로 진행 중인 "초고진공펌프 개발" 과제 중 제 3 세부 과제인 "고진공펌프종합특성평가시스템 설계, 진단기술 개발" 과제에서 진행되고 있는 연구수행결과 및 기 구축 돈 저진공펌프 종합특성평가시스템을 활용한 진공펌프의 상용화를 위한 신뢰성 평가에 관하여 소개한다. 10(3) mbar~ 10(-10) mbar 영역의 국내 진공펌프 개발 수준의 선진화를 위한 모든 특성평가장치의 국제적 신뢰성이 있는 완벽한 성능평가의 구현에 있다고 할 수 있다. 고진공펌프개발 총괄과제의 대명제는 "국제적 신뢰성을 가지는 상용화 제품의 완성"이며, 전체 사업 추진기간 동안 제품 개발 주체인 산업체에 상용화를 위한 신뢰성 기반기술의 완벽한 구현의 제공에 있다고 할 수 있다. 이러한 고진공펌프의 신뢰성 평가는 저진공펌프의신뢰성 평가기술에 기반을 두고 있으며, 기 개발 완료된 특성평가뿐만 아니라 실질적으로 공정현장에서 판단할 수 있는 내구성진단 및 제작회사에서의 기계적 신뢰성 진단기술의 복합적인 요인들의 집합적인 분석에 기인하고 있다고 판단할 수 있다. 상용화 단계의 내구성 및 신뢰성 확보를 위한 전제 조건은 대외적으로 공표할 수 있는 시험평가 데이터와 개발 주체에서 기밀 수준으로 유지해야만 하는 민감한 자료의 상시 생산 infra의 구축으로 볼 수 있다. 이러한 진공펌프개발이라는 과제의 대명제를 완성하기 위하여 추진 연구개발 방향 등 진행형인 2년간의 최종 상용화에 필요한 국제 신뢰성, 공정대응성 확보 등 핵심사업 추진내용을 소개하고자 한다. -
본 연구에서는 차세대 상변화메모리(PCRAM)와 초고속 소자(FET) 등의 응용을 위하여 사용되고 있는 Ge 소재를 제조하기 위해새롭게 전구체를 개발하고 이를 CVD (Chemical Vapor Deposition) 공정을 이용하여 증착실험을 실시하였다. 새롭게 개발된 Ge 전구체 (isopropyl germane)는 기존 Ge 전구체보다 합성비용이 경제적이며 공정이 간단하고 상업적 생산에도 적합하다는 장점을 갖고 있다. Ge 박막의 증착은 증착압력, 증착온도, reactive gas (H2) 유량, carrier gas(Ar) 유량, 기판(Si, Pt 등) 등을 변수로 하여 실험하였다. 증착된 박막에 대하여 FE-SEM, XRD 등을 통하여 기본적인 물성분석을 실시하였다.
-
고 유전 박막을 이용하여 초고집적 DRAM용 캐패시터를 만들고자 하는 연구가 전세계 메모리 반도체 산업계에 걸쳐 활발히 이루어지고 있다. 본 연구는 High-k의 precursor인 Tetrakis (EthylMethylAmino) Zirconium) TEMAZ와 새로운 전구체인 Tris (dimethylamino) cyclopentadienyl Zirconium) CpZr (DMA)3의 열 안정성과 증착 특성을 비교하였다. in-situ Fourier tramsform infrared spectroscopy (FTIR)을 통해 long-term 열안정성을 확인하였다. 증착과 동시에 자체개발한 FTIR-cell을 이용하여 Gas phase를 실시간으로 확인하였다. 증착 sample은SEM과 AFM을 통해 박막 특성 비교를 하였다.
-
Mun, Ji-Hun;Park, Myeong-Su;Yun, Ju-Yeong;Gang, Sang-U;Sin, Jae-Su;Lee, Chang-Hui;Kim, Tae-Seong 252
최근 그래핀, hexagonal boron nitride (h-BN) 및$MoS_2$ (molybdenum disulfide)와 같은 2차원 결정 물질들은 무어의 법칙(Moore's Law)를 뛰어넘어 계속적인 소자의 소형화를 가능케 하고 또한 대면적, 저비용 소자 개발을 가능케 하는 우수한 특성을 가진 차세대 반도체 트랜지스터 소재로 각광받고 있다.$MoS_2$ 는 bulk 상태일 때는 1.2 eV의 indirect 밴드갭을 가지지만 단층형태일 때는 1.8 eV의 direct 밴드갭을 가지며 dielectric screening 기법등을 통해 mobility를 향상시킬 수 있는 것으로 연구된 바 있다. 본 연구에서는 화학기상증착 (chemical vapor deposition)법을 이용하여$MoS_2$ 박막을 형성하기 위한 기초연구인 Mo 전구체의 특성평가 및 적합한 공정조건 개발 연구를 수행하였다. 사용한 전구체는$Mo(CO)_6$ (Molybdenum hexacarbonyl)이고, 온도 및 압력, 반응기체(H2 S, Hydrogen sulfide) 유량 등의 공정 조건 변화에 따른 거동을 Fourier transform infrared spectroscopy (FT-IR) 시스템을 사용하여 측정하였다. 또한$Mo(CO)_6$ 의 분자구조를 상용 프로그램인 Gaussian으로 시뮬레이션 하여 실제 FT-IR 측정 결과값과 비교 분석하였다. -
본 실험에서는 전구체(Precursor)로 TMA (Tris methyl Aluminum)를 사용한 ALD (Atomic Layer Deposition)와 PEALD (Plasma Enhanced Atomic Layer Deposition) 공정 중 발생하는 입자(particle)를 ISPM (In-Situ Particle Mornitor)로 관찰하였다. ALD과 PEALD 공정에서 Al2O3 박막을 형성하기 위해서 반응가스(Reactant)로 각 각 H2O와 O2 plasma를 사용하였다. 이러한 차이로 인해서 진공 챔버(Vacuum Chamber) 안에서의 각기 다른 매커니즘에 의해서 Al2O3의 박막이 형성된다. 또한 공정 중 발생할 수 있는 파티클(Particle) 생성 매커니즘의 차이점을 가진다. ALD의 경우 전구체와 반응가스 사이에 충분한 purge가 이루어지지 않거나 dead zone이 존재할 경우 라인과 챔버 상에 잔류한 전구체와 반응가스에 의해서 불완전한 반응물로 파티클이 생성될 수 있다. 반면 PEALD 경우는 반응가스(Reactant)로 O2 plasma를 극부(localization)적으로 형성하여 박막을 형성하므로 반응가스의 잔류의 영향은 없으나 고에너지의 플라즈마에 의해서 물리적 영향에 의한 파티클이 생성될 수 있다. 공정 중 발생하는 입자(Particle)은 수율 감소와 박막의 물성에 영향을 미칠 수 있다. 그러므로 두 공정 중 발생하는 파티클을 ISPM으로 관찰하였고, 각 공정에서 형성된 박막의 두께 균일도, 표면의 형상(morphology), 화학적 조성 및 전기적 특성을 측정하였다. 이를 통해서 ALD와 PEALD의 파티클과 박막특성을 비교하였다.
-
마그네트론 스퍼터링은 그 단순한 구조로 인하여 신뢰성과 확장성이 높은 기술이다, 이로 인해 DLC, ITO 등의 산업 분야에서 많이 사용하는 박막 공정 기술이다. 하지만 인듐과 같은 희토류 금속의 가격이 최근 상승함에 따라 나타난 낮은 타겟 효율성의 문제와 낮은 파워 밀도로 인한 기판의 추가적인 bias 추가에 따른 비용상승, 그리고 reactive 스퍼터링 시 낮은 증착률 등의 문제점들 또한 존재한다. 이러한 단점들을 해결하기 위해 많은 연구들이 이루어 졌으며, 높은 파워 밀도를 위해 High power Impulse Plasma Magnetron Sputtering (HIPIMS) 기술과 타겟 사용률을 높이기 위한 High Target Utilization Sputtering (HITUS) 등의 기술 등이 개발되었다. 본 연구에서는 직류 전원을 사용한 High density Plasma Sputtering System (HIPASS)이라 명하는 고밀도 원거리 플라즈마 소스를 이용한 스퍼터링 이용해 증착한 박막의 특성을 연구 하였다. Hollow cathode discharge에서 발생한 고밀도 플라즈마가 외부 유도 자장 코일에 의하여 타겟 표면까지 도달하게 되며, 스퍼터링 타겟의 고전압 bias에 의해 플라즈마 이온들이 가속이 이루어져 스퍼터링 공정이 이루어 지게 된다. 본 연구의 공정에서 타겟 사용 효율은 최대 90%까지 이며, 원거리 플라즈마 소스에서의 이온으로 스퍼터링을 실시함으로 인해 스퍼터링 전압과 전류의 독립적인 조절이 가능 하다. 본 연구에서 HIPASS을 이용하여 기판에 추가적인 전압 인가 없이 Ti 타겟과 아르곤/질소 혼합가스를 사용하여 TiN 박막을 증착 하였다. TiN의 증착률은 약 44 nm/min였으며, 이 박막의 XRD 분석 결과 TiN (111), (200), (220) 면들이 관찰이 되었다. 높은 스퍼터링 입자 에너지에서 증착 된 TiN 박막에서 우선적으로 나타나는(200)과 (220) 면들이, 본 실험에서는 기판에 추가적인 전압인가 없이도 우선방위 성장을 보였다. 이 박막의 micro-hardness 측정 결과 약 34.7 GPa이며, 이는 UBM 이나 HIPIMS에서 보여주는 결과에 준하거나 그 이상의 수치이다. 이와 같은 결과는 본 연구에서 사용한 HIPASS 증착 공정이 높은 스퍼터링 입자 에너지를 가지기에 고밀도의 TiN 박막이 증착 된 결과로 볼 수 있다.
-
WC-Co 초경합금은 실온경도, 고온경도, 강도, 내마모, 내충격 등 기계적 특성이 우수하여 공구재료, 절삭공구 및 고압용 부품 등 다양한 응용분야를 가지고 있으며 WC-Co 분말 코팅같은 경우 항공분야, 일반 공업 분야에 내마모 특성 및 내열특성 향상을 위한 코팅용 소재로서 활용되어 지고 있다. 활용분야가 넓은 WC-Co 초경합금의 제조방법은 WC, Co 분말을 혼합하여 약 900도에서 1차 예비소결 후 원하는 형상 가공 후 약 1,300~1,600도에서 2차 소결을 진행한다. 지금 현재 초경분말의 조성, 크기와 같은 변수들에 따른 초경합금의 기계적 특성 변화에 대한 연구가 계속적으로 진행되고 있다. 본 연구에서는 WC-Co 분말의 소결 특성을 향상시키고자 Planetary ball mill 장비를 활용하여 볼 밀링 공정을 진행하였고 Spark plasma sintering 장비를 활용하여 빠른 소결을 진행하였다. WC-Co 분말의 미세구조, 입도, 조성 및 분산의 변화를 관찰하기 위해 볼 밀링 전, 후 분말을 분석하였고 제조된 분말의 소결 특성을 확인하기 위해 상용화 된 WC-Co 분말의 소결 특성과 비교 평가하였다. 분석 결과 볼 밀링 공정 후 분말은 약 15
${\mu}m$ 에서 4.4${\mu}m$ 로 미세해지는 것을 확인하였고 밀링 후 분말로 초경합금을 제작하였을 때 기존 상용화 초경합금제작 온도보다 약 100~400도 낮아지면서 경도 값은 약 20% 향상된 것을 확인할 수 있었다. -
Min, Gwan-Sik;Yun, Ju-Yeong;Sin, Yong-Hyeon;Cha, Deok-Jun;Yeo, Chang-Eop;Park, Hwan-Yeol;Heo, Yun-Seong;Tae, Gi-Gwan;Kim, Jin-Tae 256
기존에 사용되어 오던 DCMS (DC magnetron sputtering)과 HPPMS (high-power pulsed magnetron sputtering)에 비해 MPP를 이용한 magnetron sputtering은 높은 증착률을 가지고 있으며, 증착된 박막의 특성도 우수하다고 알려져 있다. 본 연구에서는 최대 출력 700 V, 12.5 A, 100 kHz)의 사양을 가지는 DC, pulse DC, modulated pulsed DC의 세 종류로 변환이 가능한 Power supply를 제작하여 Cr 박막을 증착하였다. 증착시 혼합기체 Ar/$N_2$ 를 사용하였으며, 박막의 특성을 sputtering power 종류별로 비교 평가하였다. 실험 결과얻어진 박막을 SEM과 XRD를 이용하여 분석하였다. -
4세대 방사광가속기를 2014년 말 건설 완공 목표로 언듈레이터 시제품 제작하여 테스트 진행과정에 있다. 좁은 틈의 언듈레이터를 지나가는 펄스전자의 진행이 잘되려면 낮은 임피던스가 요구되는데, 전자의 진행을 방해하는 주요 요인으로 진공 표면 거칠기와 산화층 두께에 영향을 많이 받는다. 이러한 영향을 줄이기 위하여 연질의 알루미늄 6063-T6를 재료로 압출공정에 혼합가스를 주입하여 표면 산화를 최소화하였다. 본 실험은 6 m 압출형 진공용기에 압출공정만 거친 것과 유체연마 메디아 종류별, 크기별, 처리시간에 따른 표면개선 효과와 산화층 변화를 알아보았다. 그리고 최종 유체연마 메디아제거 과정에 경면 연마된 표면에 2차 스크레치가 발생하는 원인을 진단하고 이를 방지하기 위한 알코올 주입형 정압시스템을 개발한 것을소개한다.
-
최근 건조 제품의 양질화, 고급화 및 편의화가 요구되어 이를 충족시키기 위한 새로운 건조방법이 계속 개발 되어 왔다. 이러한 방법들 중에서 저온과 진공하에서 건조가 이루어지는 진공 동결 건조는 가장 완벽한 건조 방법으로 최근 실용화 되고 있다. 진공동결건조란 건조의 한 종류로 수분을 함유한 시료를 동결시킨 후 진공펌프를 이용하여 수증기압을 3중점 이하로 낮추어 얼음을 직접 증기로 만드는 승화의 원리에 의해서 얻어진다. 분무진공동결건조의 특징은 (1) 물리적구조의 보존성, (2) 화학적인 안정성, (3) 생물학적인 활동의 보존성, (4) 제품의 높은 복원성 및 재생성이다. 따라서 분무진공동결건조 기술은 크게 진공, 분무, 동결, 건조, 멸균 등과 같은 요소기술의 복합기술이라 할 수 있다. 분말을 제조하기 위해서 진공동결건조 후 분쇄하는 방법을 사용하나 본 방법에서는 정밀화학품 제조를 위해서 분무진공동결건조 방식을 사용한다. 이를 통하여 적당한 크기인 5~10 um의 입경 제조가 가능하고, 공기동력학적인 입경이 기존 방식에 비해 작아서 허파까지의 운반효율이 1.5~2배 우수하다. 화학, 의학 분야에서의 분무동결 건조는 주로 민감한 제품, 즉 생물학적 고유성의 손상 없이 물을 제거하는데 사용되어 영구적으로 저장 가능한 상태로 보관할 수 있으며 물의 첨가로 원상태로 복구할 수 있어서 매우 각광을 받고 있다. 의약용 냉동건조 제품은 항생물질, 박테리아, 혈청, 백신, 검사 약물, 단백질을 포함하는 생물공학 제품들, 세포, 섬유, 화학제품 등이 있으며 주로 vial 또는 ampule 상태로 건조가 이루어진다.본 연구에서는 원료를
$-194^{\circ}C$ 의 액체질소에 분무시켜 동결된 미립자를 형성한 후 진공 및 저온상태에서얼음의 승화(sublimation)에 기반한 1차 건조와 수증기 탈착(desorption)에 기초한 2차 건조 과정으로 구성된 분무진공동결건조기를 개발하였다. 분무동결 과정의 해석을 통해 2유체식 노즐을 통해 분무된 미세 입경의 액적이 액체 질소 표면까지 도달하는 회수률, 분무 노즐의 위치, 운전 조건 및 용기의 설계의 최적화를 수행하였다. 초기 액적속도, 분무노즐의 높이, 흡입구 추가에 따른 액적 유동 및 회수의 특성을 제시하였으며 이를 통한 분사시스템 고도화 가능성을 제시하였다. 구형의 미세 입자가 적층된 제품의 동결건조 공정의 해석은 흡착승화 모델(sorption sublimation model)을 기반으로 다음과 같은 열전달, 물질전달, 상변화 모델을 고려하여 유도되었다. 분무노즐 및 냉동/진공 배기계 시작품을 개발하여, 표면의 고다공도를 갖춘 입경 3~20 m 정도의 시료를 얻을 수 있으며, 동역학적 입경 5 m 충족함을 확인하였다. -
4세대방사광 가속기의 언듈레이터 사이에 설치되는 사극자석 진공용기는 내경 12 mm, 길이 300 mm인 매우 얇고 긴 형태로 제작되어야 하며, 비자성체이면서 전기 전도도와 내부 표면 거칠기 또한 우수하여야 한다. 스테인리스강 316 L EP 튜브는 비자성체로써 기계가공성 및 내부 표면 거칠기가 우수하다. 또한 내부에 DC Magnetron Sputtering을 통하여 알루미늄 층을 형성함으로써 높은 전기 전도도를 확보할 수 있다. 여기서는 스테인리스강 316 L EP 튜브를 이용하여 손쉽게 사극 자석 진공용기를 제작한 후, Cylindrical Magnetron Sputtering System을 구성하여 내부에 균일한 알루미늄 층을 증착하는 공정에 대해 설명하려고 한다. 또한 치밀한 알루미늄 산화막을 형성하는 공정에 대하여 현재까지 수행한 결과를 정리하여 보고하며 앞으로의 개발 과정도 다루고자 한다.
-
Yu, Yeong-Jun;Park, Jeong-Su;Lee, Ju-Yeol;Gang, Ji-Hun;Lee, Gwang-Hun;Lee, Bo-Hwa;Kim, Gi-Won;Lee, Yeong-Baek 260
Multiferroic materials have attracted much attention due to their fascinating fundamental physical properties and technological applications in magnetic/ferroelectric data-storage systems, quantum electromagnets, spintronics, and sensor devices. Among single-phase multiferroic materials,$BiFeO_3 $ is a typical multiferroic material with a room temperature magnetoelectric coupling in view of high magnetic-and ferroelectric-ordering temperatures (Neel temperature$T_N$ ~647 K and Curie temperature$T_C$ ~1,103 K). Rare-earth ion substitution at the Bi sties is very interesting, which induces suppressed volatility of Bi ion and improved ferroelectric properties. At the same time, Fe-site substitution with magnetic ions is also attracting, and the enhanced ferromagnetism was reported. In this study,$Bi_{1-x}Dy_xFe_{0.95}Co_{0.05}O_3$ (x=0, 0.05 and 0.1) bulk ceramic compounds were prepared by solid-state reaction and rapid sintering. High-purity$Bi_2O_3$ ,$Dy_2O_3$ ,$Fe_2O_3$ and$Co_3O_4$ powders with the stoichiometric proportions were mixed, and calcined at$500^{\circ}C$ or 24 h to produce$Bi_{1-x}Dy_xFe_{0.95}Co_{0.05}O_3$ . The samples were immediately put into an oven, which was heated up to$800^{\circ}C$ nd sintered in air for 30 min. The crystalline structure of samples was investigated at room temperature by using a Rigaku Miniflex powder diffractometer. The field-dependent magnetization measurements were performed with a vibrating-sample magnetometer. The electric polarization was measured at room temperature by using a standard ferroelectric tester (RT66B, Radiant Technologies). -
The smart design of nanocatalysts can improve the catalytic activity of transition metals on reducible oxide supports, such as titania, via strong metal-support interactions. In this work, we investigatedtwo-dimensional Pt nanoparticle/titania catalytic systems under the CO oxidation reaction. Arc plasma deposition (APD) and metal impregnation techniques were employed to achieve Pt nanoparticle deposition on titania supports, which were prepared by multitarget sputtering and sol-gel techniques. APD Pt nanoparticles with an average size of 2.7 nm were deposited on sputtered and sol-gel-prepared titania films to assess the role of the titania support on the catalytic activity of Pt under CO oxidation. In order to study the nature of the dispersed metallic phase and its effect on the activity of the catalytic CO oxidation reaction, Pt nanoparticles were deposited in varying surface coverages on sputtered titania films using arc plasma deposition. Our results show an enhanced activity of Pt nanoparticles when the nanoparticle/titania interfaces are exposed. APD Pt shows superior catalytic activity under CO oxidation, as compared to impregnated Pt nanoparticles, due to the catalytically active nature of the mild surface oxidation and the active Pt metal, suggesting that APD can be used for large-scale synthesis of active metal nanocatalysts.
-
In surface chemistry area, many scientists studied the electrochemical reaction by changing work-function of metal; however, these methods had the weakness that it did not create the electric field. Unlike earlier studies, our capacitor-method makes a strong electric field in ice film. This electric field was induced by soft landing
$Cs^+$ ions on ice film, and the strength was measured by the vibrational Stark shift of acetonitrile. In our system, the electric field strength is$10^9$ V/m and it is almost same in the electrochemical cell. This capacitor model provides new method to investigate the electrochemical reaction in vacuum system. -
This work examines the dynamic properties of ice surfaces in vacuum for the temperature range of 140~180 K, which extends over the onset temperatures for ice sublimation and the phase transition from amorphous to crystallization ice. In particular, the study focuses on the transport processes of excess protons and chloride ions in ice and their segregative behavior to the ice surface. These phenomena were studied by conducting experiments with a relatively thick (~100 BL) ice film constructed with a bottom
$H_2O$ layer and an upper$D_2O$ layer, with excess hydronium and chloride ions trapped at the$H_2O$ /$D_2O$ interface as they were generated by the ionization of hydrogen chloride. The migration of protons, chloride ions, and water molecules to the ice film surface and their H/D exchange reactions were measured as a function of temperature using the methods of low energy sputtering (LES) and Cs+ reactive ion scattering (RIS). Temperature programmed desorption (TPD) experiments monitored the desorption of water and hydrogen chloride from the surface. Our observations indicated that both hydronium and chloride ions migrated from the interfacial layer to segregate to the surface at high temperature. Hydrogen chloride gas desorbs via recombination reaction of hydronium and chloride ions floating on the surface. Surface segregation of these species is driven by thermodynamic potential gradient present near the ice surface, whereas in the bulk, their transport is facilitated by thermal diffusion process. The finding suggests that chlorine activation reactions of hydrogen chloride for polar stratospheric ice particles occur at the surface of ice within a depth of at most a few molecular layers, rather than in the bulk phase. -
Strong metal-support interaction effect is an important issue in determining the catalytic ac-tivity for heterogeneous catalysis. In this study, we investigated the support effect and the role of organic capping layers of two-dimensional Pt nanocatalysts on reducible metal oxide supports under the CO oxidation. Several reducible metal oxide supports including CeO2, Nb2O5, and TiO2 thin films were prepared via sol-gel techniques. The structure, chemical state and optical property were characterized using XRD, XPS, TEM, SEM, and UV-VIS spectrometer. We found that the reducible metal oxide supports have a homogeneous thin thickness and crystalline structure after annealing at high temperature showing the different optical band gap energy. Langmuir-Blodgett technique and arc plasma deposition process were employed to ob-tain Pt nanoparticle arrays with capping and without capping layers, respectively on the oxide support to assess the role of the supports and capping layers on the catalytic activity of Pt catalysts under the CO oxidation. The catalytic performance of CO oxidation over Pt supported on metal oxide thin films under oxidizing reaction conditions (40 Torr CO and 100 Torr O2) was tested. The results show that the catalytic activity significantly depends on the metal oxide support and organic capping layers of Pt nanoparticles, revealing the strong metal-support interaction on these nanocatalysts systems.
-
We have investigated the influences of dangling bonds generated by alpha particle irradiation on friction and adhesion properties of graphene. Single layer of graphene grown with chemical vapor deposition on copper foil was irradiated by the alpha beam with the average energy of 3.04 MeV and the irradiation dosing between
$1{\times}10^{14}$ and$1{\times}10^{15}$ /$cm^3$ . Raman spectroscopic showed that the${\pi}$ electron states below Fermi level arises and the$I_D$ /$I_G$ increases as increasing the dosing of alpha particle irradiation. The core level X-ray photoelectron (XPS) revealed that these defects represent the creation of various carbon-related defects and dangling bond. The nanoscale tribological properties were investigated with atomic force microscopy in ultrahigh vacuum. The friction appeared to increase remarkably as increasing the amount of dosing, indicating that the dangling bonds on graphene layers enhances the energy dissipations in friction. This trend can be explained by the additional channel of energy dissipation by dangling bond or O- and H- terminated clusters created by alpha particle irradiation. -
Im, Gyu-Uk;Lee, Gyeong-Jae;Lee, Mun-Ho;Gang, Tae-Hui;Jeong, Seok-Min;Yang, Mi-Hyeon;Kumar, Yogesh 266
We show a set-up of poly (4,4'-aminotriphenylene hexafluoroisopropylidenediphthalimide) (6F-TPA PI)/Al sample in which holes are injected by photoelectron emission process instead of direct charge carrier injection via metal electrode. In this process, an irreversible electrical phase transition of 6F-TPA PI is found in contrast to the Al/6F-TPA PI/Al structure, leading to a write-once-readmany behavior. The photoelectron spectroscopy results measured before and after the switching process revealed that the irreversible electrical phase transition of 6F-TPA PI is attributed to the chemical modification of the carbonyl group in phthalimide moiety. -
Band engineering of a nanowire is related to the question what is the minimum size of a nanowire-based device. At the subnanometer scale, there has been a long standing problem whether it is possible to both control an energy band of an isolated nanowire by a dopant and measure it using angle-resolved photoemission spectroscopy (ARPES). This is because an extra atom in the subnanometer wire plays as a defect rather than a dopant and it is challenging to assemble isolated subnanometer wires into an array for an ARPES measurement. We demonstrate that only one of multiple metallic subnanometer wires canbe controlled electronically by a dopant maintaining the whole metallic bands of other wires, which was observed directly by ARPES. Here,the multiple metallic subnanometer wires were produced on a stepped Si(111) surface by a self-assembly method. The selective band engineering proves that the selectively-controlled metallic wire is nearly isolated electronically from other metallic wires and an electronic structure controlcan be realized down to subnanometer scale.
-
Water molecules on a Ru(0001) surface are anomalously acidic compared to bulk water. The observation was made by conducting reactive ion scattering, reflection absorption infrared spectroscopy, and temperature-programmed desorption measurements for the adsorption of ammonia onto a water layer formed on Ru(0001). The study shows that the water molecules in the first intact
$H_2O$ bilayer spontaneously release a proton to NH3 adsorbates to produce$NH_4{^+}$ . However, such proton transfer does not occur for$H_2O$ , OH, and H in a mixed adsorption layer or for$H_2O$ in a thick ice film surface. -
Usingvan der Waals (vdW) energy-corrected density-functional theory without or with self-consistent screening (SCS) effects, we calculate the adsorption energy of acetylene, ethylene and benzene on Si(100). We find that vdW interactions without SCS effects increase the adsorption energy by 0.23, 0.30, and 0.64 eV for adsorbed
$C_2H_2$ ,$C_2H_4$ , and$C_6H_6$ on Si(100), respectively. However, if SCS effects are included, this increase of the adsorption energy is reduced as 0.19, 0.24, and 0.54 eV for the three adsorption systems, respectively. The resulting adsorption energy for each system is between the values computed using the local-density approximation and the generalized-gradient approximation. -
We have investigated the self-assembled structures of glutaric acid (HOOC-(CH2)3-COOH) on the Cu(110) surface as a function of coverage using Scanning Tunneling Microscopy (STM). At low coverage, glutaric acid molecules diffuse freely on Cu(110) surface at room temperature, thus they can't form ordered structures at this coverage. However, when we scanned the same area several times, novel structures have been created during scanning due to the field-induced self-assembly. Also, the induced structures are quite stable during continuous scanning process. At 0.25 ML, glutaric acid adsorbs as a bi-glutarate (-OOC(CH2)3-COO-) after annealing to 450 K producing a racemic conglomerate of coexisting mirror domains. Although the molecule is achiral, it forms chiral domains on the surface from adsorption-induced asymmetrization. At 0.5 ML coverage, zigzag structure is observed, and still gltutaric acid adsorbs as a bidentate configuration. This bi-glutarate structure is stable until 650. Finally, at 1ML, glutaric acid adsorbs as a mono-glutarate at room temperature forming close packed structures.
-
Kim, Juhwan;Kim, Beomsik;Park, Soojeong;Park, Chanae;Denny, Yus Rama;Seo, Soonjoo;Chae, Hong Chol;Kang, Hee Jae 271
The defect states of a Ar-sputtered SiO2 surface on Si (001) were investigated using Auger electron spectroscopy (AES) and reflection electron energy loss spectroscopy (REELS). The REELS spectra at the primary electron energy of 500 eV showedthat three peaks at 2.5, 5.1, and 7.2 eV were found within the band gap after sputtering. These peaks do not appear at the primary electron energies of 1,000 and 1,500 eV, which means that the defect states are located at the extreme surface of a SiO2/Si thin film. According to the calculations, two peaks at 7.2 and 5.1 eV are related to neutral oxygen vacancies. However, the third peak at 2.5 eV has never been previously reported and the theories proposed that this defect state may be due to Si-Si bonding. Our Auger data showed that a peak for Si-Si bonding at 89 eV appears after Ar ion sputtering on the surface of the sample, which is consistent with the theoretical models. -
Some living thingsuse micro- or nano- structures for living in nature. Scientists and engineers made efforts to mimic them, and they succeeded in making new types of applications. They used 'Namib desert beetle' to self-filling device by moisture harvesting and 'lotus leaf' to self-cleaning device by water repelling. 'Namib desert beetle' and lotus leaf have micro-patterns on their surface, which consists of hydrophobic or hydrophilic materials [1]. Moreover, micro-patterns on the surface make self-filling or self-cleaning property enhanced because of the surface roughness. Surface roughness enhances wettability [2]. Micro-pattern is a significant factor to make the surface be functional, so we want to make new types of functional surface by micro-patterning. In this work,we make several functional micro-patterns (radial, line, and dot arrays) using maskless lithography and analyze the characteristics of each micro-pattern. In order to analyze and understand surface characteristics, micro-patterns with varying sizes are investigated. All experiments are proceeded on mr-DWL5 photo resists coated on silicon wafers in same condition. All the experiments have demonstrated good performances about hydrophobic or hydrophilic property corresponding to their material and structural combinations. In radial micro-pattern, although the surface is flat, water drops on hydrophilic radial pattern can be convergent to a middle point and water drops on hydrophobic radial pattern can be divergent from the middle point. In line array micro-pattern, water drops can roll off along only one direction in parallel with the line arrays. Such phenomena might be mainly caused by the local change of surface roughness. From these results, controlling the movement and direction of water drops is made feasible without introducing a slope, which can potentially be used for new types of applications.
-
We fabricate encapsulation-layer of OLED panel from organic-inorganic hybrid thin film by atomic layer deposition (ALD) molecular layer deposition (MLD) using Al2O3 as ALD process and Adipoyl Chloride (AC) and 1,4-Butanediamine as MLD process. Ellipsometry was employed to verify self-limiting reaction of MLD. Linear relationship between number of cycle and thickness was obtained. By such investigation, we found that desirable organic thin film fabrication is possible by MLD surface reaction in monolayer scale. Purging was carried out after dosing of each precursor to eliminate physically adsorbed precursor with surface. We also confirmed roughness of the organic thin film by atomic force microscopy (AFM). We deposit AC and 1,4-Butanediamine at
$70^{\circ}C$ and investigated surface roughness as a function of increasing thickness of organic thin film. We confirmed precursor's functional group by IR spectrum. We calculated WVTR of organic-inorganic hybrid super-lattice epitaxial layer using Ca test. WVTR indicates super-lattice film can be possibly use as encapsulation in flexible devices. -
Son, Jin Gyeong;Shon, Hyun Kyong;Hong, Daewha;Choi, Changrok;Han, Sang Woo;Choi, Insung S.;Lee, Tae Geol 275
Formation and characterization of self-assembled monolayers (SAMs) on various surfaces are the essential basis for many other applications, including molecular switches, biosensors, microfluidics, and fundamental studies in surfaces and interfaces. To improve the performance at these applications, it is a key to control the quantity of each molecule in various mixed SAMs on the surface. In this study, using mixed SAM of carbamate-based hydroquinone (HQ)-PhBr and11-mercaptoundecanol, the quantitative mass spectrometric method of mixed SAM was developed based on comparison study with XPS and FT-IR methods. In addition, our method was applied to another mixed SAM of biotinylated PEG alkane thiol and 11-mercaptoundecanol for verification purpose. Time-of-flight secondary mass spectrometry (ToF-SIMS) analysis was performed to identify and quantify each molecule of mixed SAM along with principal component analysis (PCA). Since there is no matrix effect in the X-ray photoelectron spectroscopy (XPS) and Fourier transform-infrared (FT-IR) techniques, we compared ToF-SIMS results with XPS and FT-IR results. Because PCA results from ToF-SIMS analysis are well matched with XPS and FT-IR results from both mixed SAMs, we are expecting that our method will be useful to identify and quantify each molecule in various mixed SAMs. -
Time-Of-Flight Secondary Ion Mass Spectrometry (TOF-SIMS) and Atomic Force Microscopy (AFM) are the useful instruments to measure nanostructures of material surfaces. Surface pattern formation in blending homopolymer and diblock copolymer films was investigated as a function of film thickness and annealing conditions. In this study, surface structures of blending homopolymer [deuterated polystyrene (Mn 20,000), poly (methyl methacrylate) (Mn 18,000)] and diblock copolymer [Poly (deuteratedstyrene(d8)-b-methyl methacrylate) (Mn 19,500-18,100)] films were observed. The AFM result indicated that the nanostructures and film thickness depended on temperature, concentration and solvent. TOF-SIMS depth profiling was obtained for the lamellar morphology of symmetric dPS-b-PMMA which is found to orient parallel to the surface of the substrate. Elemental and molecular depth profiles measured in the negative ion mode by a Cs+ primary ion beam demonstrate variations in hydrogen, deuterium, carbon, oxygen, hydrocarbons and deuterated hydrocarbons within the diblock copolymer according to the depth.
-
Yang, Gwang-Eun;Park, Jun;Park, Byeong-Gyu;Kim, Hyeong-Do;Jo, Eun-Jin;Hwang, Chan-Yong;Kim, Won-Dong 277
The epitaxial graphene on the 4H- or 6H-SiC(0001) surface has been intensively studied due to the possibility of wafer-scale growt. However the existence of interface layer (zero layer graphene) and its influence on the upper graphene layer have been considered as one of the main obstarcles for the industrial application. Among various methods tried to overcome the strong interaction with the substrate through the interface layer, it has been proved that the hydrogen intercalation successfully passivate the Si dangling bond of the substrate and can produce the quasi-free standing epitaxial graphene (QFEG) layers on the siC(0001) surface. In this study, we report the results of the angle-resolved photoemission spectroscopy (ARPES) and Raman spectroscopy for the QFEG layers produced by ex-situ and in-situ hydrogen intercalation.From the ARPES measurement, we confirmed that the Dirac points of QFEG layers exactly coincide with the Fermi level. The band structure of QFEG layer are sustainable upon thermal heating up to 1100 K and robust against the deposition of several metals andmolecular deposition. We also investigated the strain of the QFEG layers by using Raman spectroscopy measurement. From the change of the 2D peak position of graphene Raman spectrum, we found out that unlike the strong compressive strain in the normal epitaxial graphene on the SiC(0001) surface, the strain of the QFEG layer are significantly released and almost similar to that of the mechanically exfoliated graphene on the silicon oxide substrate. These results indicated that various ideas proposed for the ideal free-standing graphene can be tested based on the QFEG graphene layers grown on the SiC(0001) surface. -
최근 나노 입자의 안전성에 대한 연구가 활발하게 이루어 지고 있다. 대부분의 연구는 세포독성과 쥐에 흡입시켜 각 장기에 침착 정도를 측정하는 연구에 집중되어 있고, 나노입자의 리간드 특성에 대한 연구는 활발이 진행되고 있지 않다. 따라서 같은 나노입자를 이용한 연구결과가 다르게 나타나는 것을 종종 확인 할 수 있어서, 나노입자 특성평가의 중요성이 커지고 있다 [1,2]. 본 연구에서는 용매에 리간드가 존재하는 PEG-conjugated AuNPs과 원심분리로 용액내의 free-ligands가 제거된 PEG-conjugated AuNPs에 대하여 ToF-SIMS 이미지를 얻었고, PEG와 AuNPs 이미지의 statistical correlation으로부터 AuNPs의 표면에 존재하는 리간드들의 stability를 평가할 수 있는 방법을 개발하였다. 또한, citrated-conjugated AuNPs을 PEG 리간드로 표면을 치완시키고, phagolysosomal simulant Fulid(PSF) 용액에 incubation 과정 동안의 PEG 리간드가 표면에서 제거되어 용액에 존재함을 확인하였다. ToF-SIMS의 이미지와 statistical correlation을 이용하면 나노입자의 표면에 존재하는 다양한 리간드들의 안정성을 평가할 수 있고, 이를 통한 나노입자의 안전성에 대한 연구에 기여 할 수 있을 것으로 기대된다.
-
차세대 태양전지로 주목받는 화합물 박막 태양전지(CIGS, CdTe, etc)는 광흡수계수가 매우 높아 얇은 두께의 광흡수층으로도 빛을 효과적으로 흡수할 수 있으므로 광흡수층의 역할이 매우 중요하며 이에 대한 정확한 정보와 이해는 필수적이다. 특히 GIGS 박막 태양전지의 정량 및 각 원소의 깊이 방향의 분포를 분석하는 것은 박막형 태양전지 개발에 크게 기여한다 [1,2]. 본 실험에서는 조성비를 알고 있는 균질한 CIGS박막을 표준시료로 사용하여 ICP-MS로 측정하여 평균농도를 구한 뒤 TOF-SIMS, D-SIMS, Auger Electron Spectroscopy (AES) 로 깊이 방향 분석 결과를 통해 상대감도(RSF)를 계산한 후 각 원소의 농도로 변환하여 정량분석 결과를 얻었다. 일반적으로 손쉽게 정량적인 정보를 얻는 AES에 비해 정량성이 떨어지는 TOF-SIMS와 D-SIMS는 스퍼터링시 사용되는 Cs 빔과 시료 내 금속과의 클러스터 이온(GaCs+와 InCs+)의 깊이 방향 조성을 이용하면 매트릭스 효과를 배제할 수 있어서 좀 더 정확한 정량 분석이 가능하므로 시료내 금속과 Cs 이 결합된 클러스터 이온의 깊이 방향 조성을 측정하여 각원소의 농도를 계산하였고 스퍼터링 에너지를 포함한 실험 변수에 따른 재현성 및 정량성의 차이를 비교분석하였다. 또한 CIGS층에 불순물로 들어 있는 미량원소들의 깊이 분포도도 함께 관찰하였다.
-
Using both experimentaland theoretical methods, we have investigated the structural and electronic properties of self-assembled two-dimensional organic molecule (hexaaza-triphenylene-hexacarbonitrile, HATCN), which is used as an efficient OLED hole injection material, on Au(111) surfaces. Low-temperature scanning tunneling microscope (STM) measurements revealed that self-assembled linear and hexagonal porous structures are formed at atomic steps and terraces of Au(111), respectively. We also found that the hexagonal porous structure have chirality and forms only small (<1,000 nm2) phase-separated chiral domains that can easily change their chiral phase in subsequence STM images at 80 K. To explain these observations, we calculated the molecular-molecular and molecule-surface interaction energies by using first-principles density functional theory method. We found that the change of their chiral phase resulted from the competition between the two energies. These results have not only verified our experimental observations, but also revealed the delicate balance between different interactions that caused the self-assembed structures at the surface.
-
Jo, Yang-Rae;Yun, Jae-Sik;Samuel, Tweneboah-Koduah;Lee, Yeon-Seung;Kim, Hyeong-Cheol;Na, Sa-Gyun 281
본 연구에서는 고출력 금속 인쇄회로기판(Metal PCB) 개발을 위해 절연층으로 양극산화막을 형성하고 이 절연층 위에 Screen Printing 법을 이용하여 Ag paste를 패턴 인쇄한 알루미늄 기판을 사용하였다. 이 기판 위에 무전해 방식으로 구리 박막을 성장시켜, 무전해 도금 조건이 구리 박막 성장에 미치는 영향에 대해 연구하였다. 무전해 도금 시, pH 농도와 plating 온도를 변화시켜 이 변화에 따른 무전해 구리도금 박막의 물리적/전기적 특성을 비교 분석하여 무전해 도금에 의해 형성된 구리 박막과 기판과의 상관 관계도 비교 검토하였다. XRD (X-ray Diffraction), 광학현미경, FE-SEM (Field Emission Scanning Electron Microscope)등을 이용하여 성장된 구리 박막 및 기판의 결정성, 표면 및 단면 형상 등을 측정하였고, XPS (X-ray Photoelectron Spectroscopy)를 이용하여 무전해 도금에 의한 Cu의 화학구조 및 불순물 상태를 조사하였다. -
The antimony sulfide (
$Sb_2S_3$ ) thin films were deposited using the gas phase method which known as atomic layer deposition (ALD) on mesoporous micro-films. Tris (dimethylamido) antimony (III[$(Me_2N)_3Sb$ ] and hydrogensulfide ($H_2S$ ) were used as precursors to deposit$Sb_2S_3$ . Self-terminating nature of$(Me_2N)_3Sb$ and$H_2S$ reaction were demonstrated by growth rate saturation versus precursors dosing time. Absorption spectra and extinction coefficient were investigated by UV-VIS spectroscopy. Scanning electron microscopic analysis and X-ray photoelectron spectroscopy (XPS) depth profile were employed to determine the conformal deposition. -
Because of beautiful glossy and color, the value of gold leverage is very high in Europe. To improve the quality of gold alloys, we performed heat treatment on 14 K white gold alloys by variously changing age-hardening conditions. Age-hardening behavior and the related phase transformation changes were studied to elucidate the hardening mechanism of 14 K white gold alloy. For solid solution treatment [ST], casted gold alloy specimens were treated at high temperature (
$750^{\circ}C$ ) for 30 minutes, and the specimens dropped to water to quench them. For Age-hardening treatment [AT], the specimens were treated at various temperatures ($250{\sim}300^{\circ}C$ ). After the heat treatment, we observed the phenomenon to increase hardness from 126 Hv to 166 Hv by Vicker's hardness tester. Through electron probe micro-analysis (EPMA) mapping analysis, we investigated that irregular particles were changed uniformly. In the SEM and OM images, two phases of matrix and particle-likestructures were observed, and the precipitation of these elements from the matrix progressed during age-hardening. By transmission electron microscope and X-ray diffraction observation, it was revealed that the formation of the Au3Cu superstructure contributed to the age-hardening at$270^{\circ}C$ in the gold alloy. After the heat treatment, this analysis shows that casted gold alloys were to improve hardness and to moderate surface defects at specific temperatures and duration. -
최근연구에 의하면 가시광선에 활성을 띄는 TiO2 개발은 중요한 연구 과제이다. 우리는 몇 가지 아민류를 이용해 수열합성법으로 TiO2에 질소를 도핑 함으로써 그 가시광선 활성을 연구하였다. 그 특성연구로서 XRD, SEM, TEM을 통해 구조와 morphology을 나타내었으며, EDX와 XPS를 통해 질소도핑 유무를 밝혔다. UV-Visible 분광기를 통해서 시료 각각의 가시광선영역에서의 흡수 유무를 확인 하였으며, 마지막으로 가시광선 영역에서 광촉매 활성을 알아보기 위해서, 광원으로 Xe-lamp를 이용, methylene blue를 분해해 그 농도를 분석하여 가시광활성을 비교 분석하였다.
-
수산화인회석(Hydroxyapatite, HAP)은 인체 내 뼈와 치아의 주성분으로서 칼슘과 인산염으로 구성된 물질이다. 암모늄을 이용하여 pH를 조절함으로서 hexagonal 형태의 HAP를 수열합성법으로 합성하였다. XRD pattern을 통하여 수산화인회석의 결정구조를 확인하였으며, 전이금속 중의 하나인 Fe(III) 이온을 이온교환반응을 통하여 수산화인회석 표면에 도입하였다. ICP 측정을 통해 Fe 함량을 정량하였고 SEM과 TEM image를 통하여 크기와 형태를 관찰하였다.
-
수산화인회석(Hydroxyapatite)는 뼈와 이빨의 무기물의 주성분으로서 칼슘과 인산염으로 구성된다. 수산화인회석의 합성방법은 수열합성법(hydrothermal method)를 사용하였으며 NaOH 농도조절을 통해 다양한 수산화인회석을 합성하였다. 합성된 수산화인회석을 XRD로 확인하였으며 Hydroxyapatite 표면에 Cobalt를 Ion-exchange 반응을 통하여 도입하였으며, 이를 다시 hydrazine을 매개체로 하여 Fe (Iron)를 도입하였다. 합성된 수산화인회석을 IR로 분석하였고, ICP를 통해 Co, Fe를 정량하였으며 TEM을 통해 표면을 관찰하였다.
-
탄소와 철의 비율을 조절한 산화타이타늄(C-Fe-TiO2) 를 수열 합성법(Hydrothermal method)으로 합성하였다. XRD 를 통하여 산화타이타늄임을 확인하였고TEM 과 SEM 을 통하여 크기와 형태를 관찰하였다. 합성된 C-Fe-TiO2를 사용하여 광촉매적 활성을 확인하였으며, 유기반응 중 하나인 Fridel-Craft 반응에 응용하였다.
-
Jung, Chan Ho;Kim, Sang Hoon;Sahu, Nruparaj;Park, Dahee;Yun, Jung Yeul;Ha, Heonphil;Park, Jeong Young 288
We report the catalytic activity of Au/$TiO_2$ and Pt/$TiO_2$ nanocatalysts under CO oxidation fabricated by arc plasma deposition (APD), which is a facile dry process with no organic materials involved. Using APD, the catalyst nanoparticles were well dispersed on$TiO_2$ powder with an average particle size (2~4 nm) well below that of nanoparticles prepared by the sol-gel method (10 nm). We found that the average particle size of the dispersed gold nanoparticles can be controlled by changing the plasma discharge voltage of APD. Accordingly, the amount of loaded gold on the$TiO_2$ powder increased with increasing discharge voltage, but the specific surface area of the Au/$TiO_2$ samples decreased. As for catalytic reactivity, Au/$TiO_2$ showed a higher catalytic activity than Pt/$TiO_2$ in CO oxidation. The catalytic activity of the Au/$TiO_2$ samples showed size dependence where higher catalytic activity occurred on smaller gold nanoparticles. The study suggests that APD is a simple way to fabricate catalytically active nanocatalysts. -
CRFP (carbon fiber reinforced plastics)는 탄소섬유 직물에 수지를 함침시켜 만들어지며, 고강도, 고탄성을 지니면서도 가볍고 밀도가 낮기 때문에 항공우주, 스포츠 용품 등 다양한 분야에서 활용되고 있다. 탄소섬유와 수지의 결합력을 증가시키기 위해 사이징,
$HNO_3$ 산화, 전기화학적 산화, 플라즈마 처리 등의 다양한 탄소섬유 표면처리 방법이 개발되고 있다. 본 연구에서는 복합재의 강도향상을 위해 탄소섬유 직물을 마이크로웨이브 알곤 플라즈마로 처리하여 강도변화를 관찰하였다. 플라즈마 처리된 직물은 열가소성수지인 CBT와 함침시켜 탄소섬유 복합재로 제조하였다. 그 결과 플라즈마 처리한 복합재의 강도 향상을 확인할 수 있었고, SEM(scanning electron microscope)을 통해 복합재의 표면이 거칠어진 것을 관찰할 수 있었다. 플라즈마로 인해 직물의 표면적이 증가하여 직물의 표면과 수지의 결합력이 증가한 것으로 판단된다. -
Kim, Seong-Cheol;Yun, Sang-Hui;Seong, Gi-Hun;Gang, Du-Hong;Min, Gwan-Sik;Cha, Deok-Jun;Kim, Jin-Tae;Yun, Ju-Yeong 290
플라즈마 전해산화(Plasma Electrolytic Oxidation)란 저 농도의 알칼리 전해액을 매개로, 고전압을 가해 미세 플라즈마 방전을 유도하여 Al, Mg, Ti 등의 금속표면을 산화시켜 고내식성, 초경합금 수준의 내마모성, 탁월한 절연성과 고경도성을 가지는 산화막을 형성시키는 기술로 전자, 자동차, 의료, 섬유, 해양, 석유화학 산업에 이르기까지 광범위한 분야에 적용되어 우수한 물성을 확보할 수 있는 차세대의 표면처리 기술이다. 본 연구에서는 6061 알루미늄 합금을 이용하여 다양한 전해액 조건에서 플라즈마 전해산화 공정으로 Al2O3 산화막을 형성시켰다. 산화막의 조성 및 미세구조는 XRD와 FE-SEM, EDS를 이용하여 분석하였다. 형성된 산화막은 회색에서 밝은 회색으로 시편 전면에 고르게 나타났다. 전해액 조성을 바꾸어줌에 따라 각기 다른 표면 특성을 가지는 산화막을 얻을 수 있었고, 그에 따른 물성 변화를 분석하였다. 특히 Si 이온 농도를 조절함으로써 피막 성장인자와 표면 미세구조를 제어할 수 있었다. -
The wettability of TiO2 layers is controlled by forming highly ordered arrays of nanocones using nanopatterning, based on self-assembly and dry etching. Nanopatterning of TiO2 layers is achieved via formation of self-assembled monolayers of SiO2 spheres fabricated using the Langmuir-Blodgett technique, followed by dry etching. Compared to a thin film TiO2 layer, the nanopatterned TiO2 samples show a smaller static water contact angle, where the water contact angle decreases as the etching time increases, which is attributed to the Wenzel equation. When TiO2 layers are coated by 1H,1H,2H,2H-perfluorooctyltrichlorosilane, we observed the opposite behavior, exhibiting superhydrophobicity (up to contact angle of
$155^{\circ}$ ) on the nanopatterned TiO2 layers. Self-assembled nanopatterning of the TiO2 layer may provide an advanced method for producing multifunctional transparent layers with self-cleaning properties. -
최근, 자연의 기능성 표면을 모사하여 우리 생활에 응용하기 위한 연구가 활발하다. 초-발수 특성을 가지는 대표적인 예인 연꽃잎은 마이크로-나노 크기의 거친 미세돌기(papillae)를 가지고 있으며 그 위에 낮은 표면 에너지를 가지는 왁스(wax)가 발달 되어 항상 깨끗한 상태를 유지한다. 본 실험에서는 이를 모사하여 RIE (Reactive Ion Etching)방법을 이용하여 기판인 Poly silicon wafer를 Sf6가스를 사용하여 Metal mash로 거칠기를 만들어 주었고, RF-magnetron sputtering 장치를 사용하여
$6{\times}10^{-3}$ Torr의 진공도에서 낮은 표면에너지를 가지는 PTFE (polytetrafluoroethylene)를 증착하여 표면 구조와 발수특성에 대하여 조사하였다. SSME(Surface shape measurement equipment)측정결과 0.24~0.36 um RSa 값이 측정되었고, 12 uL의 Di-water로 접촉각을 측정 한 결과 RIE 10분 처리를 한 기판 위에 PTFE를 3분 증착하였을 때 가장 높은$153^{\circ}$ 의 초-발수 특성이 나타났으며, 4주의 시간이 지났을 때에도 접촉각이 유지가 되었다. XPS 측정결과 초-발수 표면에서 나타나는 CF2와 CF3 피크 값이 측정되었다. Reactive Ion Etching을 이용한 PTFE 발수 특성은 방수, 스마트 윈도우, 자가세정(Self-Cleaning), 디스플레이 표시장치, 김서림 방지(Anti-Fogging), 대전방지 코팅 등에 다각적으로 응용 가능할 것이라 사료된다. -
최근 디스플레이산업이 발달하면서 투명전도성 물질에 대한 산업의 요구도가 높아지고 있다. ITO투명전도성 박막은 낮은 비저항과 우수한 식각특성을 가지고 있어 평면표시소자, 광소자, 터치패널 그리고 가스 센서 등 다양한 분야에 응용되고 있으며 디스플레이 소자가 소형화 되어감에 따라 박막의 다기능화가 요구되고 있다. 본 실험에서는 전기적 특성과 친, 발수특성을 동시에 가지는 다기능성 ITO 박막을 연구하였다. RIE방식으로 식각을 통하여 Poly Si-wafer 표면에 미세구조를 만든 기판과 Slide Glass기판에 RF-magnetron sputtering 방법을 이용해 ITO박막을 증착하여 비교분석 하였다. ITO박막 증착시
$100{\sim}400^{\circ}C$ 열처리와 산소를 사용하지 않고 Ar 가스만을 사용하여 실험한 후 열처리온도에 따른 전기적 특성 및 접촉각에 대하여 조사하였다. 3 uL의 Di-water를 사용하여 접촉각을 측정한 결과$400^{\circ}C$ 열처리가 된 Poly si-wafer 위에 증착된 ITO 박막에서 초-친수 특성을 나타냈으며, 그 위에 PTFE을 증착하였을 경우 12 uL의 Di-water를 사용하여 약$150^{\circ}$ 이상의 초-발수 특성을 나타내었다. 전기적 특성은$5.8{\times}10^{-4}$ 의 비 저항을 나타내었다. 이러한 전기적 특성과 친 발수 특성을 동시에 가지는 ITO 박막은 Anti-Fogging, self-Cleaning, Solar cell 및 디스플레이소자 등 다양한 산업에 이용 가능할 것으로 생각된다. -
Pyo, Dae-Seung;Gong, Dae-Yeong;Jeon, Seong-Chan;O, Jeong-Hwa;Hong, Pyo-Hwan;Kim, Bong-Hwan;Lee, Jong-Hyeon;Jo, Chan-Seop 294
소수성을 띄는 표면은 자연으로부터 시작된 연구이다. 연잎, 소금쟁이 다리, 매미 날개 등 많은 자연의 표면은 150o보다 높은 접촉각을 지니기 때문에 물에 대한 반발이 심해져 약간의 기울임에도 쉽게 물방울이 굴러 떨어지고 이때 먼지를 제거할 수 있다. 자연현상을 이용해 물질 표면의 소수성 제어에 대한 다양한 연구가 진행 중이다. 친수성과 소수성은 일반적으로 표면에서 물방울의 contact angle 측정으로 확인 할 수 있다. Contact angle이$90^{\circ}$ 작을 경우 친수성,$90^{\circ}$ 보다 클 경우 소수성이라고 한다. 이러한 기술을 이용해서 solar cell, 자동차 유리, 건물외벽, 등 다양한 분야에서 사용하고 있으며, 소수성 구조를 만드는 방법으로는 laser ablation, wet etching, 리소그라피 공정이 있는데, laser ablation의 경우 가격이 비싸다는 단점을 가지고 있으며, 반면 가격이 저렴한 wet etching의 경우 제어가 힘들다는 단점을 지니고 있다. 리소그라피 공정은 비싼 비용과 시간을 소비해야 하는 단점을 지니고 있다. 본 연구에서는 이러한 단점들을 개선하기 위해 공정 시간의 감소와, 저 비용으로 제작이 가능한 RIE (Recative Ion Etching)로 피라미드 구조를 만들었다. 형성된 구조물에 투명하고 균일하며, 낮은 계면에너지를 갖고 있는 PDMS (polydimethelsiloxine)로 mold을 수행하였다. RIE를 이용한 표면 구조는 Gas, Flow rate, Pressure, Power, Time 등을 조절하여 단결정 실리콘 기판 위에 피라미드의 크기를 조절하였다. 피라미드의 크기가 커짐에 따라 물과 PDMS가 닿는 면적이 줄어들면서 높은 소수성을 가지게 되는데, 높은 소수성 구조를 가지는 피라미드 형상을 찾기 위한 실험을 진행하였다. RIE 조건은 Flow rate: 30 sccm, Temperature:$10^{\circ}C$ Pressure: 100 mTorr, Power: 200 W, Process Time: 5~50 min으로 조절하며 공정을 수행하였고 RIE공정 후 SAMs (Self-Assembly Monolayers)을 진행하였으며, 마지막으로 PDMS를 이용하여 mold공정을 진행하였다. 그리고 SEM (Scanning Electron Microscope)장비를 이용하여 Etching된 단면을 관찰하였으며, 접촉각을 측정하였다. Process Time을 50 min로 공정하였을 때, 측정된 접촉각은$134^{\circ}$ 였다. -
Supercapacitors with higher energy and power density are attracting growing attention for their wide range of potential applications such as portable electronic equipments, hybrid vehicle and cellular devices. In various classes of materials for supercapacitors, the redox pseudocapacitive materials such as conducting polymers and metal oxides have been most widely studied recently. The nanostructuring of the electrode surface has also been focused on since it can provide large surface area and consequently easy diffusion of ions in the capacitors. Among the active materials, in this work, we have used polyaniline (PANi) and manganese oxide (
$MnO_2$ ). PANi is one of the promising electrode and active materials due to its desirable properties such as high electrochemical activity, high doping level and stability.$MnO_2$ is also widely studied material for supercapacitors since it is relatively cheap and environmentally friendly. In this work, we fabricated PANi hollow nanospheres by polymerizing aniline monomers on the polystyrene (PS) nanospheres and then dissolving the inner PS spheres. This nanostructuring of the PANi surface can provide large surface area and hence easy diffusion of electrolyte ions. We also incorporated$MnO_2$ nanoparticles into the PANi hollow nanospheres and investigated its electrochemical properties. It is expected that the combination of these two active materials with slightly different working potential windows show synergetic effects such as broader working potential range and enhanced specific capacitance. -
Supercapacitor is a capacitor with extraordinarily high energy density, which basically consists of current collector, active material and electrolyte. Ruthenium oxide (
$RuO_2$ ) is one of the most widely studied active materials due to its high specific capacitance and good electrical conductivity. In general, it is known that the coating of$RuO_2$ on nanoarchitectured current collector shows improved performance of energy storage device compared to the coating on the planar current collector. Especially, the surface structure with standing coaxial nanopillars are most desirable since it can provide direct paths for efficient charge transport along the axial paths of each nanopillars and the inter-nanopillar spacing allows easy access of electrolyte ions. However, well-known fabrication methods for metal or metal oxide nanopillars, such as the process using anodize aluminum oxide (AAO) templates, often require long and complicated nanoprocess.In this work, we developed relatively simple method fabricating indium tin oxide (ITO) nanopillars via sputtering. We also electrodeposited$RuO_2$ nanoparticles onto these ITO nanopillars and investigated its physical and electrochemical properties. -
최근 각종 폴리머 및 강판과 같은 유연소재의 수요 증가로 인해 유연소재 표면의 전처리, 증착 및 기능성 부여를 위한 이온빔 또는 플라즈마 표면처리 기술이 세계적으로 활발히 연구개발 되고 있으며, 유연소재 표면처리 공정의 고속화 및 대면적화 기술이 요구되고 있다. 유연소재의 고속 및 대면적 표면처리 기술개발을 위해서는 Roll-to-Roll 공정에 적용 가능한 광폭 선형이온소스 기술 개발이 필요하다. 본 연구에서는 1.55 m급 광폭 Anode Layer 선형이온소스를 개발하였으며, 이온빔 인출 균일도 및 에너지 분포 특성을 평가하였다. 특히, 본 선형이온 소스 개발 시 시뮬레이션 연구를 통해 이온소소의 이온 인출 특성 및 내구성 향상을 위한 최적 구조를 설계하였다. 본 연구에서 개발한 선형이온소스는 최대 5 kV의 방전 전압 조건에서 평균 1.5 keV의 이온에너지를 가지는 Ar 이온빔이 1.55 m 폭에서 약 4.2%의 균일도를 보였다. 표면 처리 성능 평가시(Si wafer 기준) 소스와 기판과 거리 100 mm에서 에칭율은 15 nm/s였고, 이는 다른 표면처리 이온소스 대비 높은 효율을 나타냄을 확인할 수 있었다. 또한 4시간 이상 운전시에도 안정적인 인출 빔 전류 밀도를 확인하였으며, 소스 내부의 효율적인 냉각 구조로 인한 열손상은 발견되지 않았다.
-
Yun, Sang-Hui;Kim, Seong-Cheol;Seong, Gi-Hun;Gang, Du-Hong;Min, Gwan-Sik;Cha, Deok-Jun;Kim, Jin-Tae;Yun, Ju-Yeong 298
플라즈마 전해산화기술은 알루미늄 소재에 대해 기존의 양극 산화막, 전해 경질크롬 도금 및 플라즈마 세라믹 용사기술 등에 의해 구현할 수 없는 고기능성을 부여하여 월등히 우수한 경도, 내부식, 내마모, 전기절연, 열저항, 피로강도 등을 얻을 수 있는 획기적인 기술이다. 또한 최근 환경에 대한 관심이 점차 높아지면서 친환경적 공정과정과 경금속 소재의 제품에 내구성을 향상시킬 필요성이 높아지고 있다. 이러한 요구에 부합하는 플라즈마 전해 산화기술은 알칼리 수용액 중에서 Al, Ti, Mg 등의 표면에 산화 피막을 형성시키는 기술로써 기존의 양극산화(Anodizing)을 대체 할 수 있다. 본 연구에서는 Al6061을 이용하여 플라즈마 전해산화 공정에 사용되는 전해액의 종류 및 농도, 시간의 변화에 따른 산화 피막의 변화를 내전압 측정 및 FE-SEM, EDS, XRD를 통해 분석하였다. 전해액에 sodium hexameta phosphate과 potassium phosphate를 이용하여 phosphate 종류의 변화에 따른 피막 특성의 변화를 연구하였다. 그로인해 phosphate의 종류 및 농도, 시간 변화를 이용하여 플라즈마 전해산화공정의 산화 피막 물성 제어를 할 수 있다. -
Indium Tin Oxide (ITO) has widely been used as a transparent conductive oxide (TCE) for photovoltaic devices. Lately, flexibility of ITO becomes an issue as demand of flexible device increases. Several scientists have tried to substitute ITO to different materials such as conductive polymer, graphene, CNT, and metal nanowire because of ITO brittleness. Among the substitute materials, PEDOT:PSS has mostly paid attention because PEDOT:PSS has excellent flexibility and good conductivity. The conductivity of PEDOT:PSS increases up to 1000 S/cm with additives such as DMSO, EG, sorbitol, and so on. In our research group, we introduce a conductive polymer PEDOT:PSS as a buffer layer to improve not only flexibility but also conductivity. As PEDOT:PSS layer forms beneath ITO thin film (20 nm), sheet resistance decreases from
$230{\Omega}$ /${\Box}$ to$85{\Omega}$ /${\Box}$ and crack initiation decreases from 4.5 mm to 3.5 mm as well. We have fabricated organic photovoltaic device and power conversion efficiencies using conventional ITO electrode and ITO/PEDOT:PSS hybrid electrode. The photovoltaic property such as power conversion efficiency for ITO/PEDOT:PSS hybrid electrode is comparable to the value obtained using conventional ITO electrode on glass substrate. -
세계전자제품의 부품산업은 21세기 정보화 사회와 함께 IT 산업에서 급성장으로 인해 부품의 소형화, 경량화, 친환경화가 요구되고 있다. IT 산업에서 리드스크류(Leadsrew)는 마이크로 구동부품중의 이송장치를 구성하는 핵심 부품으로 탄소강과 합금강 및 스테인레스강 등이 사용되고 있다. 탄소강은 성형 가공성과 원가 절감에 최적의 소재로서 낮은 표면 경도로 인한 내마모성 저하와 부식 분위기에서의 내식성이 떨어지는 단점을 가지고 있다. 본 연구에서는 탄소강(S20C:
${\oint}30{\times}h10$ )을 이용하여 플라즈마 질화 표면처리를 통해 표면경도와 내마모성 향상 및 내식성을 높이는 연구를 수행하였다. 연구 방법으로 플라즈마 연질화 공정과 Post Oxidation 공정을 개발하였고, 질화 처리된 시험편에 대해 마이크로 비커스 경도, OEM&SEM, XRD 분석 및 염수분무(KS D 9502) 시험으로 특성을 분석하였다. 연구 결과로 탄소강(S20C)은 마이크로 비커스 경도 분석으로 표면 경도가 600Hv0.025 이상과 염수분무 시험으로 내식성은 24시간 이상의 결과를 얻었다. -
구동형 부품(Actuated component)중의 하나인 LeadScrew는 이송장치를 구성하는 핵심 부품으로 소재로는 탄소강, 합금강, 스테인레스강 등이 주로 이용된다. 다양한 IT기기의 정밀 이송 및 고속 구동에 사용되며, 제품의 성능을 결정하는 중요 핵심 부품으로 사용되고 있다. IT기기용 구동 요소 부품은 정밀 가공성의 제약으로 내식성이 우수한 스테인레스강 소재보다는 일반적으로 소재비가 저렴하고 가공성이 우수한 탄소강 소재를 사용하게 되며, 위 소재를 사용하면 대기중의 수분등에 의한 부식환경에서 쉽게 부식되어 부식방지를 위한 표면처리 기술개발이 필요하다.또한, 구동형 부품은 부품 상호간의 심한 마찰 등과 같은 가혹한 조건에 노출되어, 부품의 내마모성 및 표면 경도등을 향상시켜 부품의 수명을 연장하고자 한다. 그러기 위해 선 표면경도, 내마모성, 내식성 등의 다양한 기능이 요구되며, 성능을 만족시키기 위해서 열표면처리 공정을 확인하고자 한다.본 연구에서는 냉간압조용 강선인 SWCH계열 표면에 PECVD 장치로 플라즈마 질화공정을 이용하여 동일조건(압력, Gas flow, Power)하에서
$500{\sim}550^{\circ}C$ 의 온도 범위 내에서 30~300 min의 시간 조건으로 실험을 진행하였다. 위 시험편으로 XRD를 통해 각 플라즈마 질화 공정 조건에 따른 상 변화를 확인하였고, 염수분무테스트를 통해 내식성을 확인하였다. 표면경도 및 단면경도를 통한 실용질화층을 확인하고, 마모테스트를 통하여 마찰계수를 확인하여 표면경도, 내마모성, 내식성을 충족하는 공정에 대한 실험을 진행하였다. -
본 연구는 이온질화시 self-sputtering에 의한 AISI D2 소재의 표면경화에 대한 연구를 진행하였다. 이를 위해 이온질화시 질소가스와 아르곤 가스를 이용해 이온질화를 수행하였다. 이에 대한 결과는 optical micrograph (OM), scanning electron microscopy (SEM), X-ray diffraction(XRD), transmission electron microscopy (TEM)를 이용해 분석하였다. 실험결과, 소재의 경도는 570 HV에서 약 1470 HV까지 상승하였다. 극표면에 CrN-enriched layer의 형성 및 극표면 아래층에서 질소의 과포된 화합물층을 관찰할 수 있었다. 표면경화는, 극표면에 CrN-enriched layer의 형성과, 아래 N의 과포화에 의한
${\gamma}$ ?autenite) phase, 그리고 diffusion layer의 형성에 의한 multi-layer의 형성으로 표면에 강화에 기여한것으로 사료된다. -
표면에 부착된 나노/마이크로 입자는 다양한 분야에서 오염물질로 작용한다. 특히 형상이 미세하고 공정 단계가 복잡한 반도체 및 디스플레이 등의 전자 소자 공정에서 미치는 영향이 크다. 따라서 입자상 오염물질의 제거에 관하여 상용화된 습식 세정 방법이 다양하게 존재하지만 표면 손상, 화학 반응, 부산물, 세정 효율 등 여러 가지 문제점이 있어 새로운 세정 방법이 요구된다. 이에 건식 세정 방법, 그 중에서도 입자의 충돌을 통해 제거하는 방법인 에어로졸 세정, 필렛 세정 등이 개발되었으나 마이크로 크기로 생성되는 입자로 인하여 형상의 손상이 크다. 따라서 본 연구에서는 나노 단위로 기체/고체 혼합물만 생성하여 세정하는 가스 클러스터 세정 방법을 이용하여 이러한 문제점을 해결하고자 하였다. 클러스터 세정 장비를 이용한 표면 처리는 충돌에 의한 제거에 기반한다. 따라서 생성 및 가속되는 클러스터로부터 대상으로 전달되는 운동량의 정도가 세정 특성에 영향을 미치며 이는 생성되는 클러스터의 크기에 종속적이다. 생성 클러스터의 크기 분포는 분사 거리, 유량, 분사 각도, 노즐 냉각 온도 등의 변수에 관한 함수이다. 따라서 본 연구에서는
$CO_2$ 클러스터를 이용한 세정 특성을 정의 및 제어하기 위하여 생성되는 클러스터 특성에 관하여 이론적, 수치 해석적, 실험적 연구를 수행하였다. 먼저,$CO_2$ 의 물리적 특성 및 이를 이용한 특정 크기 오염 물질을 제거하는데 요구되는 임계 클러스터 크기 계산을 이론적으로 구하였다. 이는 오염물질의 부착력과 클러스터의 운동량 전달에 의한 제거력의 비교를 통해 이루어졌다. 두 번째로 클러스터 크기분포를 수치 해석적으로 예측하기 위하여 각 조건에 대하여 유동해석을 수행하고 이를 통해 구해진 노즐 내 기체의 냉각 속도를 GDE (General Dynamic Equation) 계산에 대입하여 구하였다. 마지막으로 PBMS(Particle Beam Mass Spectrometer)를 이용하여 실험적으로 클러스터 크기분포를 각 조건에 대하여 구할 수 있었다. 또한 크기 분포 경향에 대한 간접적 확인을 위하여 포토레지스트가 코팅된 웨이퍼에 클러스터의 충격으로 생성된 크레이터 크기의 경향을 분석하였다. 이와 같은 방법에 의하여 생성되는 클러스터는 노즐의 유량 증가, 온도 상승에 각각 비례하여 작아지는 것을 확인할 수 있었다. -
We report a positive exchange bias (HE) in thinmultilayered filmscontaining nano-oxide layer. The positive HE, obtained for our system results from an antiferromagnetic coupling between the ferromagnetic (FM) CoFe and the antiferromagnetic (AFM) CoO layers, which spontaneously form on top of the nano-oxide layer (NOL). The shift in the hysteresis loop along the direction of thecooling field and the change in the sign of exchange bias are evidence of antiferromagnetic interfacial exchange coupling between the CoO and CoFe layers. Our calculation indicates that uncompensated oxygen moments in the NOL results in antiferromagnetic interfacial exchange coupling between the CoO and CoFe layers. One of the interesting features observed with our system is that it displays the positive HE even above the bulk Neel temperature (TN) of CoO. Although the positive HEsystem has a different AFM/FM interfacial spin structure compare to that of the negative HE one, the results of the angular dependence measurements show that the magnetization reversal mechanism can be considered within the framework of the coherent rotation model.
-
본 연구에서는 저가격, 대면적화를 위한 롤투롤 스퍼터를 이용하여, Index matching (히가시 야마
$125{\mu}m$ )의 PET 기판 위에 ITO 박막을 성막 시킨 정전용량 방식의 터치 패널용 투명 전극에 대하여 전기적, 광학적, 구조적, 표면적 특성을 분석하였다. ITO 타겟은 미쓰이사(일본의) 주석 함량 5 wt%을 사용하였으며, 롤투롤 스퍼터는 degassing챔버와 스퍼터 챔버가 한 시스템에 구성되었고, Degassing 챔버는 좌우측의 Rewinder/Unwinder 롤러에 의해 감고 풀어지는 PET 기판의 수분 및 가스를 중앙부에 위치한 히터를 통해 제거하며, 수분 제거 후 스퍼터 챔버로 옮겨진 1,250 mm폭의 PET기판을 Unwinder/Rewinder 롤러에 장착하며, Unwinder 롤러로부터 풀려진 PET 기판은 guide 롤러를 거쳐 cooling drum과의 물리적 접촉에 의해 PET 기판의 냉각이 일어나게 된다. ITO 캐소드 전에 장착된 할로겐 히터 상부로 기판이 지나가면서 열처리가 진행되고 열처리 후 두 개의 ITO 캐소드 상부를 지나면서 연속적으로 ITO 박막이 PET 기판에 성막 되게 된다. ITO 박막의 주요 성막 변수인 DC Power, Ar/$O_2$ 가스 유량비, 기판의 속도는 최적으로 고정하고, 성막된 ITO박막의 필름을 각각 고온 챔버에서$150^{\circ}C$ 도에서 10 min에서 60 min 동안 각각 열처리를 통한 내열성 테스트를 진행하여 ITO 필름의 특성 향상을 비교 분석하였다. 분석을 위해 전기적 특성은 four-point probe로 측정했고, 투과도는 Nippon Denshoku사(社)의 COH-300A를 이용해 가시광(550 nm)에서 분석했고, FE-SEM으로 ITO박막의 표면 상태를 분석하였다. 또한 Rolling Tester (Z-300)를 이용하여 기계적 안정성을 분석하였다. -
초고집적 회로에 적용되는 반도체 소자의critical dimension (CD)이 수 nano 사이즈로 줄어들고 있기 때문에, 다양한 물질의 식각을 할 때, 건식식각의 중요성이 더 강조되고 있다. 특히
$SiO_2$ 와 같은 유전체 물질을 식각할 때, plasma process induced damages (P2IDs)가 관찰되어 왔고, 이러한 P2IDs를 줄이기 위해, pulsed-time modulation plasma가 광범위하게 연구되어 왔다. Pulsed plasma는 정기적으로 radio frequency (RF) power on과 off를 반복하여 rf power가 off된 동안, 평균전자 온도를 낮춤으로써, 웨이퍼로 입사되는 전하 축적을 효과적으로 줄일 수 있다. 또한 fluorocarbon plasmas를 사용하여$SiO_2$ 를 식각하기 위해 Dual-Frequency Capacitive coupled plasma (DF-CCP)도 널리 연구되어 왔는데, 이것은 기존의 방법과는 다르게 plasma 밀도와 ion bombardment energy를 독립적으로 조절 가능하다는 장점이 있어서 미세 패턴을 식각할 때 효과적이다. 본 연구에서는 Source power에는 60 MHz pulsed radio frequency (RF)를, bias power에는 2 MHz continuous wave (CW) rf power가 사용된 system에서 Ar/$C_4$ F8/$O_2$ 가스 조합으로, amorphous carbon layer (ACL)가 hard mask로 사용된$SiO_2$ 를 식각했다. 그리고 source pulse의 duty ratio와 pulse frequency의 효과에 따른$SiO_2$ 의 식각특성을 연구하였다. 그 결과, duty ratio의 감소에 따라$SiO_2$ , ACL의 etch rate이 감소했지만,$SiO_2$ /ACL의 etch selectivity는 증가하였다. 반면에 pulse frequency의 변화에 따른 두 물질의 etch selectivity는 크게 변화가 없었다. 그 이유는 pulse 조건인 duty ratio의 감소가 전자 온도 및 전자 에너지를 낮춰$C_2F8$ 가스의 분해를 감소시켰으며, 이로 인해 식각된$SiO_2$ 의 surface와 sidewall에 fluorocarbon polymer의 형성이 증가하였기 때문이다. 또한 duty ratio의 감소에 따라 etch selectivity뿐만 아니라 etch profile까지 향상되는 것을 확인할 수 있었다. -
본 연구에 염료감응형 태양전지(Dye Sensitized Solar Cells; DSSCs)의 광전변환효율을 높이기 위해 작업전극에 새로운 구조의 광투과층 및 산란층을 도입하였다. DSSCs 작업전극의 빛을 투과시키는 투과층에 크기가 10 nm 이하의 nanoparticle
$TiO_2$ 를 적용하고, 투과된 빛이 산란되어 많은 전자가 여기 될 수 있도록 기존의 큰 입자 사이즈였던 산란층을 이용하는 대신$TiO_2$ nanorod 및 nanotube 형태의 구조를 도입하여 기존의 작업전극과 비교하였다. 산란층에서 방향성을 가지는 rutile 상의$TiO_2$ 는 저온에서 안정적인 anatase 상의$TiO_2$ 보다 화학적으로 안정하며, 높은 산란율을 가지고, 광에 의해 여기된 전자를 직접적으로 집전전극에 전달해 줌으로서 소자의 효율을 증가시킨다고 보고되고 있다. Rutile 상의$TiO_2$ 층 제작 시 수열합성법을 이용하면 nanorod 모양의$TiO_2$ 층을 형성할 수 있고, 이와 같은 방법으로 성장시킨 산란층에 전기영동법의 식각 효과를 사용하면 nanotube 모양의$TiO_2$ 층을 성장시킬 수 있어 산란효과의 극대화 및 전극의 표면적을 넓히는 장점이 있다. 각각의 방법을 이용하여 만든 구조 위에 입자 크기 10 nm의$TiO_2$ 를 Dr blade 방법으로 도포하여 double layer (산란층+흡수층)로 구성된 작업 전극을 이용한 DSSCs를 제작한 후 I-V curve와 EIS (Electrochemical Impedance Spectroscopy)를 측정하여 효율 및 전기화학적 특성을 분석하였다. -
Park, Jun-Tae;Hwang, Jung-Hwan;Jeong, Su-Chang;Choe, Yun-Su;Choe, Hyeon-Gwang;Jeon, Min-Hyeon 309
기존의 CIGS 태양전지는 window 층(GZO/ZnO/CdS)에서의 투과 및 반사 손실을 줄이기 위하여 MgF2를 이용한 AR (Anti Reflection) 층을 적용하여 cell을 제작하고 있다. 현재 단위공정을 줄이고 시간적 경제적 비용을 절감하기위해 무반사 코팅층 없이 표면의 구조를 변화 시키거나 CIGS 태양전지의 window 층만으로 cell 구조에 적용하는 연구가 많이 진행되고 있다. 본 연구는 AR층과 window층 역할을 동시에 만족하는 일체화된 window층 두께를 설계하고 성장한 후, window층의 열처리 전후의 구조적, 광학적 및 전기적 특성을 비교 평가하였다. Macleod simulation을 이용하여 window 층을 설계한 후, RF magnetron sputtering법을 이용하여 상온성장 시킨 후 N2 분위기에서 후열처리 조건에 따른 박막의 구조적, 전기적 및 광학적 특성을 변화를 X-ray diffractometer, Field emission-scanning electron microscope, Atomic force microscopy, 4 point probe, Hall 측정, 투과도 및 반사도등으로 비교 평가하였다. -
본 연구에서는 Titanium (Ti) source/drain 전극 접합이 차세대 비정질 InGaZnO (IGZO) 기반 박막형 트랜지스터에 미치는 영향을 화학적, 구조적, 전기적 특성 분석을 통하여 관찰하고 Ti/IGZO 접합 특성을 설명할 수 있는 메커니즘을 제시하였다. IGZO 기반 박막형 트랜지스터 소자의 구동 특성은 transmission line method (TLM) 패턴 공정을 이용하여 정량적으로 분석되었다. 비정질 IGZO 기반의 박막형 트랜지스터에서 Ti source/drain 전극 접합에 의한 구동 특성 변화 및 영향을 확인하기 위하여 금속/산화물 계면 반응성이 낮은 silver (Ag) source/drain 전극이 reference로 비교되었으며, 그 결과 Ti source/drain 전극 접합이 적용된 비정질 IGZO 트랜지스터의 경우 Ti 금속과 IGZO 산화물 계면에 형성되는 열역학적으로 안정한
$TiO_x$ 층의 형성에 의해 VT ($-{\Delta}0.52V$ ) shift 및 saturation mobility ($8.48cm^2$ /Vs) 상승됨을 확인하였다. 뿐만 아니라 TLM 패턴을 이용한 IGZO 트랜지스터의 전기적 변수 도출 및 수치적 해석으로부터$TiO_x$ 계면층 형성이 Ti 금속과 비정질 InGaZnO 계면에서의 effective contact resistivity를 효과적으로 낮출 수 있음을 확인하였다. Ti source/drain 전극 접합에 의해 발생되는$TiO_x$ 계면층의 화학적, 구조적 특성과$TiO_x$ 계면층 생성에 의한 소자 특성 변화를 연관시켜 해석함으로써, IGZO 기반 박막형 트랜지스터에서의 Ti source/drain 전극 접합이 비정질 IGZO 기반 박막형 트랜지스터에 미치는 영향을 설명하였다. -
The resistance switching memory devices have several advantages to take breakthrough for the limitation of operation speed, retention, and device scale. Especially, the metal-oxide materials such as ZnO are able to fabricate on the flexible and visible transparent plastic substrate. Also, the quantum dots (QDs) embedded in dielectric layer could be improve the ratio between the low and the high resistance becauseof their Coulomb blockade, carrier trap and induced filament path formation. In this study, we irradiated 0.2-MeV-electron beam on the ZnO/QDs/ZnO structure to control the defect and oxygen vacancy of ZnO layer. The metal-oxide QDs embedded in ZnO layer on Pt/glass substrate were fabricated for a memory device and evaluated electrical properties after 0.2-MeV-electron beam irradiations. To formation bottom electrode, the Pt layer (200 nm) was deposited on the glass substrate by direct current sputter. The ZnO layer (100 nm) was deposited by ultra-high vacuum radio frequency sputter at base pressure
$1{\times}10^{-10}$ Torr. And then, the metal-oxide QDs on the ZnO layer were created by thermal annealing. Finally, the ZnO layer (100 nm) also was deposited by ultra-high vacuum sputter. Before the formation top electrode, 0.2 MeV liner accelerated electron beams with flux of$1{\times}10^{13}$ and$10^{14}$ electrons/$cm^2$ were irradiated. We will discuss the electrical properties and the physical relationships among the irradiation condition, the dislocation density and mechanism of resistive switching in the hybrid memory device. -
GaN based light emitting diodes (LEDs) are important devices that are being used extensively in our daily life. For example, these devices are used in traffic light lamps, outdoor full-color displays and backlight of liquid crystal display panels. To realize high-brightness GaN based LEDs for solid-state lighting applications, the development of p-type ohmic electrodes that have low contact resistivity, high optical transmittance and high refractive index is essential. To this effect, indiumtin oxide (ITO) have been investigated for LEDs. Among the transparent electrodes for LEDs, ITO has been one of the promising electrodes on p-GaN layers owing to its excellent properties in optical, electrical conductivity, substrate adhesion, hardness, and chemical inertness. Sputtering and e-beam evaporation techniques are the most commonly used deposition methods. Commonly, ITO films on p-GaN by sputtering have better transmittance and resistivity than ITO films on p-GaN by e-bam evaporation. However, ITO films on p-GaN by sputtering have higher specific contact resistance, it has been demonstrated that this is due to possible plasma damage on the p-GaN in the sputtering process. In this paper, we have investigated the advanced sputtering using plasma damage-free p-electrode. Prepared the ITO films on the GaN based LEDs by e-beam evaporation, normal sputtering and advanced sputtering. The ITO films on GaN based LEDs by sputtering showed better transmittance and sheets resistance than ITO films on the GaN based LEDs by e-beam evaporation. Finally, fabricated of GaN based LEDs by using advanced sputtering. And compared the electrical properties (measurement by using C-TLM) and structural properties (HR-TEM and FE-SEM) of ITO films on GaN based LEDs produced by e-beam evaporation, normal sputtering and advanced sputtering. As a result, It is expected to form plasma damage free-electrode, and better light output power and break down voltage than LEDs by e-beam evaporation and normal sputter.
-
Moon, Sunwoo;Kim, Kyeonghun;Kim, Sungmin;Jang, Jinhyeok;Lee, Seungmin;Kim, Jung-Su;Kim, Donghwan;Han, Seung-Hee 313
For high-performance TFT (Thin film transistor), poly-crystalline semiconductor thin film with low resistivity and high hall carrier mobility is necessary. But, conventional SPC (Solid phase crystallization) process has disadvantages in fabrication such as long annealing time in high temperature or using very expensive Excimer laser. On the contrary, MIC (Metal-induced crystallization) process enables semiconductor thin film crystallization at lower temperature in short annealing time. But, it has been known that the poly-crystalline semiconductor thin film fabricated by MIC methods, has low hall mobility due to the residual metals after crystallization process. In this study, Ni metal was shallow implanted using PIII&D (Plasma Immersion Ion Implantation & Deposition) technique instead of depositing Ni layer to reduce the Ni contamination after annealing. In addition, the effect of external magnetic field during annealing was studied to enhance the amorphous silicon thin film crystallization process. Various thin film analytical techniques such as XRD (X-Ray Diffraction), Raman spectroscopy, and XPS (X-ray Photoelectron Spectroscopy), Hall mobility measurement system were used to investigate the structure and composition of silicon thin film samples. -
Recently, flexibility is one of the hottest issues in the field of electronic devices. For flexible displays or solar cells, a development of transparent conductive electrodes (TCEs) with flexibility, bendability and foldability is an essential element. Hundreds of nanometers indium-tin-oxide (ITO) films have been widely used and commercialized as a transparent electrode, but their brittleness make them difficulty to apply flexible electronics. Many researchers have been studying for flexible TCEs such as a few layers of graphene sheets, carbon nanotube networks, conductive polymer films and combinations among them. Although gained flexibility, their transmittance and resistivity have not reached those of commercialized ITO films. Metal grids electrode cannot act as TCEs only, but they can be used to lower the resistance of TCEs with few losses of transmittance. However, the possibility of device shortage will be rise at the devices with metal grids because a surface flatness of TCEs may be deteriorated when metal grids are introduced using conventional methods. In our research, we have developed hybrid TCEs, which combined tens of nanometers ITO film and metal grids which are embedded in flexible substrate. They show
$13{\Omega}$ /${\Box}f$ sheet resistance with 94% of transmittance. Moreover, the sheet resistance was maintained up to 1 mm of bending radius. Also, we have verified that flexible organic light emitting diodes and organic solar cells with the TCEs showed similar performances compared to commercial ITO (on glass substrate) devices. -
Gautam, Sanjeev;Lim, Weon Cheol;Kang, Hee Kyung;Lee, Ki Soo;Song, Jaebong;Song, Jonghan;Asokan, K.;Chae, Keun Hwa 315
We report the electronic structure modification in the swift heavy ion (SHI) irradiated N-doped ZnO thin films prepared by RF sputtering from ZnO target in different ratio of Ar/$N_2$ gas mixture using highly pure$N_2$ gas. The different N-ZnO thin lms were then irradiated with 120 MeV Ag ion beam with different doses ranging from$1{\times}10^{11}$ to$5{\times}10^{12}$ ions/$cm^2$ and characterized by XRD and near edge X-ray absorption ne structure (NEXAFS) at N and O K-edges. The NEXAFS measurements provide direct evidence of O 2p and Zn 3d orbital hybridization and also the bonding of N ions with Zn and O ions. The minimum value of resistivity of$790{\Omega}cm$ , a Hall mobility of$22cm^2V^-1s^-1$ and the carrier concentration of$3.6{\times}10^{14}cm^{-3}$ were yielded at 75%$N_2$ . X-ray diffraction (XRD) measurements revealed that N-doped ZnO films had the preferential orientation of (002) plane for all samples, while crystallinity start decreasing at 32.5%$N_2$ . The average crystallite size varies from 5.7 to 8.2 nm for 75% and then decreases to 7.8 nm for 80%$Ar:N_2$ ratio. -
실리콘(Si)은 이미지 센서, 포토검출기, 태양전지등 반도체 광전소자 분야에서 널리 사용되고 있는 대표적인 물질이다. 이러한 소자들은 광추출 또는 광흡수 효율을 향상시키는 것이 매우 중요하다. 그러나 Si의 높은 굴절율은 표면에서 30% 이상의 반사율을 발생시켜 소자의 성능을 저하시킨다. 따라서, 표면에서의 광학적 손실을 줄이기 위한 효과적인 무반사 코팅이 필요하다. 최근, 우수한 내구성과 광대역 파장 및 다방향성에서 무반사 특성을 보이는 서브파장 주기를 갖는 나노격자(subwavelength grating, SWG) 구조의 형성 및 제작에 관한 연구가 활발히 진행되고 있다. 이러한 구조는 경사 굴절율 분포를 가지는 유효 매질을 형성시킴으로써 Fresnel 반사율을 감소시킬 수 있어 반도체 소자 표면에서의 광손실을 줄일 수 있다. 그러나, SWG나노구조는 식각에 의한 표면 결함(defects)들이 발생하게 된다. 이러한 결함은 표면에서의 재결합 손실을 발생시켜 소자의 성능을 크게 저하시킨다. 이러한 문제를 해결하기 위해, 표면 보호막 및 무반사 코팅 층을 목적으로 하는 산화막을 표면에 형성시키기도 한다. 따라서 본 실험에서는 레이저간섭리소그라피 및 건식 식각을 이용하여 Si 기판에 SWG 나노구조를 형성하였고, 제작된 샘플 표면 위에 실리콘 산화막(SiOx)을 furnace를 이용하여 형성시켰다. 제작된 샘플들의 표면 및 식각 profile은 scanning electron microscope를 사용하여 관찰하였으며, UV-vis-NIR spectrophotometer 를 사용하여 빛의 입사각에 따른 반사율을 측정하였고, 표면 접촉각 측정 장비를 이용하여 표면 wettability를 조사하였다.
-
Park, Dong-U;Han, Im-Sik;Kim, Chang-Su;No, Sam-Gyu;Ji, Yeong-Bin;Tae, In;Lee, Gi-Ju;Kim, Jin-Su;Kim, Jong-Su 317
Terahertz (THz) wave는 광학 영역과 방송파 영역 사이에 광대역 주파수 스펙트럼을 차지하고 있다. X선과는 달리 비이온화 광원으로 직진성, 투과성, 낮은 에너지 (meV)를 가지고 있어 비파괴적이고 무해한 장점을 지니고 있다. 본 연구에서는 In0.53Ga0.47As:Be/In0.52Al0.48As의 multi quantum well (MQW)을 Semi-insulting InP:Fe substrate 위에 active layer의 두께와 적층을 변화주어서 성장하였고Au (200 nm)/Ti (30 nm)의 금속전극으로 공정을 하였다. Ti:Sapphire femtosecond pulse laser를 조사하여 THz time-domain spectrometer 시스템을 이용하여 광전도검출법으로 THz 검출 특성을 연구하였다. THz 검출은 짧은 전하수명과 높은 저항을 요구한다. LTInGaAs의 경우 AsGa antisite로 인하여 짧은 전하수명을 얻게 되면 n-type의 높은 전하밀도를 가지게 되어서 저항이 낮아지게 된다. 높은 저항을 만들기 위하여 Be doping을 이용하여 과잉의 전자들을 보상하고 InAlAs layer를 삽입시켜 보다 높은 저항을 얻었다. LT-InGaAs:Be는 LT-GaAs보다 1/70 정도의 amplitude를 보이는데 LT-InGaAs/InAlAs MQW의 경우 LT-GaAs 대비 약 3/4 정도의 큰 amplitude를 얻었다. 또 active layer의 두께가 얇고 적층이 많을수록 신호가 커지는 것을 알 수 있었다. 이는 상대적으로 band gap이 큰 InAlAs층이 더 높은 저항을 만든 것으로 사료된다. -
Even though the fabrication methods of metal oxide based thin film capacitor have been well established such as RF sputtering, Sol-gel, metal organic chemical vapor deposition (MOCVD), ion beam assisted deposition (IBAD) and pulsed laser deposition (PLD), an applicable capacitor of printed circuit board (PCB) has not realized yet by these methods. Barium Strontium Titanate (BST) and other high-k ceramic oxides are important materials used in integrated passive devices, multi-chip modules (MCM), high-density interconnect, and chip-scale packaging. Thin film multi-layer technology is strongly demanded for having high capacitance (120 nF/
$mm^2$ ). In this study, we suggest novel multi-layer thin film capacitor design and fabrication technology utilized by plasma assisted deposition and photolithography processes. Ba0.6Sr0.4TiO3 (BST) was used for the dielectric material since it has high dielectric constant and low dielectric loss. 5-layered BST and Pt thin films with multi-layer sandwich structures were formed on Pt/Ti/$SiO_2$ /Si substrate by RF-magnetron sputtering and DC-sputtering. Pt electrodes and BST layers were patterned to reveal internal electrodes by photolithography. SiO2 passivation layer was deposited by plasma-enhanced chemical vapor deposition (PE-CVD). The passivation layer plays an important role to prevent short connection between the electrodes. It was patterned to create holes for the connection between internal electrodes and external electrodes by reactive-ion etching (RIE). External contact pads were formed by Pt electrodes. The microstructure and dielectric characteristics of the capacitors were investigated by scanning electron microscopy (SEM) and impedance analyzer, respectively. In conclusion, the 0402 sized thin film multi-layer capacitors have been demonstrated, which have capacitance of 10 nF. They are expected to be used for decoupling purpose and have been fabricated with high yield. -
Film thickness monitoring with plasma impedance monitoring (PIM) is demonstrated for small area
$SiO_2$ RF plasma etching processes in this work. The chamber conditions were monitored by the impedance signal variation from the I-V monitoring system. Moreover, modified principal component analysis (mPCA) was applied to estimate the$SiO_2$ film thickness. For verification, the PIM was compared with optical emission spectroscopy (OES) signals which are widely used in the semiconductor industry. The results indicated that film thickness can be estimated by 1st principal component (PC) and 2nd PC. Film thickness monitoring of small area$SiO_2$ etching was successfully demonstrated with RF plasma harmonic impedance monitoring and mPCA. We believe that this technique can be potentially applied to plasma etching processes as a sensitive process monitoring tool. -
열전재료는 열과 전기의 변환이 상호 가역적으로 일어나는 현상을 갖는 재료로서, 사용온도별로 여러 가지 재료가 개발되고 있다. 중온 영역에서 우수한 열전특성을 보이는 skutterudite는 격자 내에 2개의 공극을 갖고 있고 이에 적절한 원자를 충진하여 포논산란을 유도하고, PGEC(phonon-glass and electron-crystal) 개념을 적용하여 재료의 열적인 성질과 전기적인 성질을 동시에 제어함으로써 열전성능의 향상을 도모할 수 있는 재료이다. 본 연구에서는 챔버 내부 기체를 연속적으로 뽑아내어 진공도를 유지하는 방식이 아닌, 석영관을 앰플화한 진공밀폐 용해법을 사용하였다. 진공밀폐 용해법은 성분원소의 산화와 휘발을 억제하는데 있어 매우 유용한 공정이다. 용해를 통해 얻어진 잉곳을 용해와 동일한 방법으로 석영관에 밀봉하여 873 K에서 100시간 동안 진공열처리를 실시하였다. 또한, 합성된 잉곳의 기계적 특성 향상을 위해
$75{\mu}m$ 이하로 파쇄하여 진공 열간 압축 소결하였다. La가 충진된$La_zCo_4Sb_{12}$ Skutterudites 단일상을 합성하여 La의 충진량(z)에 따른 열전특성과 전자이동특성을 조사하였다. -
Yun, Jae-Sik;Jo, Yang-Rae;Kim, Hyeong-Cheol;Samuel, Tweneboah-Koduah;Lee, Yeon-Seung;Na, Sa-Gyun 322
최근 전자제품들의 소형화, 경량화, 다기능화가 활발히 진행됨에 따라, 고성능의 고출력용 인쇄회로기판(PCB)의 개발이 요구되고 있다. PCB는 전자제품의 각 부품을 전기적으로 연결하는 통로로서 전자제품의 소형화, 다기능화에 따라 고집적화가 요구되고 있다. 하지만 모든 전자장비의 고장의 85% 정도가 발열에 의한 것으로, PCB의 고집적화에 따른 발열문제가 매우 중요한 이슈가 되고 있다. 최근에는 이러한 문제점을 해결하기 위해 PCB의 방열층으로 양극 산화막을 금속 기판 위에 형성하고 이 절연층 위에 금속층을 회로로서 형성하는 방열 PCB 기판에 대한 연구가 활발히 진행되고 있다. 최근까지, 금속층 회로 형성을 위해 무전해 Ni 도금에 대한 연구가 활발히 이루어져 왔다. 하지만 주로 화학적, 전기화학적 관점에서 많은 연구자들에 의해 조사 연구되어 왔다. 본 실험에서는 anodized Al 절연층 위의 회로전극 부분으로 스크린 방법으로 Ag paste를 패턴 인쇄한 뒤, 무전해도금 방식으로 저렴한 Ni 전면 회로전극을 형성하여 전기전도도를 높이고, 저항을 낮출 수 있는 회로로서 기판의 손상을 최소화하고 선택적으로 Ag 패턴에만 Ni 전극회로를 형성시키는 것을 목표로 연구하였다. Ni-B 무전해 도금시 도금조의 온도는$65^{\circ}C$ , 무전해 도금액의 pH는 ~7 (중성)로 유지하였다. Al2O3 기판을 이용한 Ag Paste 패턴 위에 증착된 Ni-B 박막의 특성을 분석하기 위해 X-ray diffraction (XRD), AFM (Atomic Force Microscopy), SEM (Scanning Electron Microscope), XPS (X-ray Photoelectron Spectroscopy)을 이용하여 Ni-B 박막의 특성을 분석하였다. -
Samuel, Tweneboah-Koduah;Jo, Yang-Rae;Yoon, Jae-Sik;Lee, Youn-Seoung;Kim, Hyung-Chul;Rha, Sa-Kyun 323
High power light-emitting diodes (LEDs) are widely used in many device applications due to its ability to operate at high power and produce high luminance. However, releasing the heat accumulated in the device during operating time is a serious problem that needs to be resolved to ensure high optical efficiency. Ceramic or Aluminium base metal printed circuit boards are generally used as integral parts of communication and power devices due to its outstanding thermal dissipation capabilities as heat sink or heat spreader. We investigated the characterisation of electroless plating of Ni-B film according to plating bath temperature, ranging from$50^{\circ}C$ to$75^{\circ}C$ on Ag paste/anodised Al ($Al_2O_3$ )/Al substrate to be used in metal PCB for high power LED packing systems. X-ray diffraction (XRD), Field-Emission Scanning Electron Microscopy (FE-SEM) and X-ray Photoelectron Spectroscopy (XPS) were used in the film analysis. By XRD result, the structure of the as deposited Ni-B film was amorphous irrespective of bath temperature. The activation energy of electroless Ni-B plating was 59.78 kJ/mol at the temperature region of$50{\sim}75^{\circ}C$ . In addition, the Ni-B film grew selectively on the patterned Ag paste surface. -
자동차의 경량화 요구에 따라 비중이 큰 기존의 철계 금속재에서 비중이 낮은 알루미늄 합금 및 마그네슘 합금의 경량 금속 재료로 대체 적용하는 것이 요즘의 추세이다. 마그네슘 판재 성형의 경우 윤활제로 인한 마그네슘 판재의 부식이 발생할 수 있다. 이를 개선하기 위해 윤활제의 사용을 최소화 혹은 제로화가 가능하게 하는 진공 플라즈마 표면처리 기술이 시급하다. 본 연구는 무윤활 마그네슘 판재성형을 위한 금형 표면처리 기술로서 각각 질화처리, 비정질 탄소 코팅 공정기술에 관한 연구를 수행하였다. 플라즈마 질화처리는 기존의 질화방법에 비교하여 비교적 저온에서 짧은 시간에 표면에 백화현상이 발생하지 않는 대면적 플라즈마 질화공정 기술 구현이 가능하였으며, 비정질 탄소 코팅 공정은 모재와의 밀착력을 높이기 위한 공정 조건을 연구하였다. 각각의 표면처리된 금형을 이용하여 성형테스트를 실시하고 이 때의 마그네슘 판재의 성형성을 관찰하였다. 따라서 본 연구의 최종 목표는 무윤활 상태에서 마그네슘 판재가 성형이 가능한 금형 진공 표면처리 방법을 개발하는 것이다.
-
본 연구에서는 선형 대향 타겟 스퍼터(Linear Facing Target Sputtering: LFTS) 시스템을 이용하여 성막한 Ti-doped In2O3 (TIO) 투명 전극의 전기적, 광학적 특성을 연구하였다. LFTS 시스템을 이용하여 유리기판 위에 TIO 박막을 증착시킬 때, 타겟과 기판 사이의 거리(Target-to-Substrate Distance)를 30 mm, 타겟과 타겟 사이의 거리(Target-to-Target Distance)를 65 mm, Ar/
$O_2$ 가스의 비율 100:1로 각각 고정한 후, TIO 타겟에 인가되는 DC 파워와 공정압력을 변수로 TIO 박막을 하였다. LFTS 공정을 이용한 TIO 투명전극의 성막 공정 중 DC파워와 공정압력 변화에 따른 구조적, 표면적 특성 변화는 field-effect scanning electron microscopy (FE-SEM) 과 x-ray diffractometry (XRD) 분석을 통해 관찰되었다. 이렇게 증착된 200 nm 두께의 TIO 투명전극은 급속열처리 시스템으로 700도에서 후 열처리를 진행하였으며 상온에서 217.5 ohm/sq의 면저항을 나타내는 TIO박막이 열처리후 35 ohm/sq로 면저항이 급격히 감소됨을 확인하였다. 뿐만 아니라 열처리후 가시광선 영역 (400~800 nm)에서의 TIO 박막의 평균 투과율이 81.02%에서 83.4%로 향상됨을 UV/visible spectrometry 분석을 통해 확인하였다. 본 연구에서는 다양한 분석을 통해 TIO 박막의 특성과 ITO와 구별되는 다양한 장점을 소개한다. -
본 연구에서는 회전 원통형 마그네트론 스퍼터링 시스템(Cylindrical Magnetron Sputtering)을 이용하여 성막한 Sn-doped
$In_2O_3$ (ITO) 투명전극의 두께 변화에 따른 전기적, 광학적, 구조적 특성을 연구하였다. 회전 원통형 마그네트론 스퍼터링 시스템을 이용한 ITO 투명전극은 박막의 두께가 50~1,000 nm의 두께로 증가함에 따라 비저항 값은 일정하게 유지되나 면저항 값이$37.8{\Omega}$ /square로부터$1.5{\Omega}$ /square로 점차적으로 감소됨을 확인할 수 있었다. 또한 ITO 박막의 두께 증가가 50 nm에서 1,000 nm로 증가함에 따라 400~800nm 파장 범위에서 71~83%의 높은 광투과도를 나타내었다. 두께 변화에 따른 광학적 특성 변화를 설명하기 위해 Spectroscopic ellipsometry 분석을 실시하였으며 이를 기반으로 박막 두께와 투과도의 상관관계를 설명하였다. 한편, 원통형 마그네트론 스퍼터로 성장시킨 ITO 박막은 두께가 50~200 nm의 범위에서는 (222) 방향으로 우월 성장하였으나, 200-1000 nm 두께 범위에서는 우월 성장방향이 (400)과 (622)로 바뀜을 X-ray diffraction (XRD) 분석을 통하여 확인하였다. 이를 통해 박막의 두께변화에 따른 전기적/광학적 특성의 변화는 박막의 구조와 매우 밀접한 상관관계가 있음을 알 수 있었다. -
본 연구에서는
$SiO_2$ Target과$In_2O_3$ Target으로 co-sputtering방법을 이용해 증착한 Si-doped$In_2O_3$ (ISO) 박막의 Si 도핑 농도에 따른 전기적, 광학적, 구조적 특성에 대해 연구하였고, 이를 유기태양 전지(OPVs) 에 적용함으로써 그 가능성을 타진하였다.$In_2O_3$ target의 DC power를 100 W로 고정시킨 채$SiO_2$ target의 RF power 크기를 20~60 W 변화시키면서 상온에서 실험을 진행한 결과 최적 조건은 박막의 두께가 200 nm일 때 Working pressure는 3 mTorr이고, RF power는 50 W이었다. 이 조건으로 제작된 ISO 박막은 550 nm에서 81.51%의 광투과율과 51.91 Ohm/sq.의 비교적 낮은 면저항이 나타남을 Hall measurement 및 UV/Vis spectroscopy 분석을 통해 알 수 있었다. 또한 X-ray diffraction 분석법과 Transmission Electron Microscope 분석법을 통해$SiO_2$ 도핑 power가 50 W 이상으로 증가할 경우 ISO 박막의 결정성이 감소하여 완벽한 비정질상의 ISO 투명박막이 형성됨을 확인할 수 있었다. 비정질 특성을 갖는 ISO 투명 전극을 이용하여 유기 박막형 태양전지를 제작한 결과 Voc (0.576 V), Jsc (7.671 mA/$cm^2$ ), FF (62.96%), PCE (2.78%)의 특성을 나타냄으로서 co-sputtering 공정을 통해 최적화된 ISO 박막을 유기 박막형 태양전지에 적용함으로써 광전소자로의 적용 가능성을 확인할 수 있었다. -
본 연구에서는 선형 대향 타겟 스퍼터 시스템을 이용하여 Hetero sputtering 방법으로 증착한 AlGaZnO (AGZO) 박막의 두께에 따른 특성을 연구하였다. DC Power 250 W, Working pressure 0.3 mTorr, Ar 20 sccm의 고정된 성막 조건하에서, AGZO 박막의 두께가 25 nm에서 1 um로 증가함에 따른 전기적, 광학적, 구조적, 표면 특성을 Hall measurement, UV/visible spectrometry, Ellipsometry, XRD, FESEM 분석을 통해 분석하고 이를 설명할 수 있는 메커니즘을 제시하였다. 선형 대향 타겟 스퍼터의 장점으로 인해 상온에서도 우수한 특성을 갖는 AGZO 박막을 성장 시킬 수 있었으며 AGZO 박막의 전기적, 광학적특성은 다른 산화물 투명 전극 박막과 마찬가지로 두께에 매우 큰 영향을 받는 것을 알 수 있었다. 이러한 두께에 따른 특성 변화는 상온에서도 Columnar 구조를 가지는 AGZO의 구조적 특성과 밀접한 연관이 있으며 특히 결정립 크기가 AGZO의 광학적, 전기적 특성에 큰 영향을 미침을 XRD 분석을 통해 확인하였다. 또한 AGZO 두께에 따른 결정성의 차이가 박막의 n값에도 영향을 미침을 엘립소미터 분석을 통해 확인할 수 있었다. Scherrer formula을 활용하여 계산한 결과 AGZO 박막의 두께 증가에 따라 결정성 향상 및 결정립의 크기가 증가함을 알 수 있었으며, 이는 FESEM 분석을 통해서도 확인할 수 있었다.
-
본 연구에서는 PEDOT:PSS와 crystalline-ITO (c-ITO) 박막 계면에서의 화학적 반응을(박리 및 용해 특성)을 관찰하기위해 spin-coating 및 droplet dropping을 통하여 PEDOT:PSS 용액을 코팅하고 이후 화학적 거동에 따른 전기적, 광학적 및 구조적 특성 변화를 관찰하였다. 강산성을 띄는 PEDOT:PSS (Al 4083) 박막의 코팅 전, 0.4T sodalime glass 위에 열처리를 통하여 성막된 c-ITO 투명전극을 15분 동안 상압 오존 공정을 통하여 계면처리함으로써 다른 변수의 영향을 배제하였으며, 표면 처리 후 spin-coating 및 droplet dropping method를 통하여 PEDOT:PSS를 코팅하여 c-ITO와 PEDOT:PSS 계면사이의 화학적 반응의 영향을 시간 경과에 따라 분석하였다. PEDOT:PSS 코팅 후 솔밴트 제거를 위해 hot plate를 이용하여
$110^{\circ}C$ 로 열처리되었다. Spin-coating 방법과는 달리 droplet dropping 방법을 통해 형성된 c-ITO 투명전극/PEDOT:PSS 계면에서는 spin coating에서 적용된 동일한 공정변수적용에도 불구하고 PEDOT:PSS의 산성으로 인한 ITO 투명전극 표면에서의 화학적 조성변화가(In, Sn, O의 조성의 변화) 발생됨을 x-ray photoelectron spectroscopy 결과를 통해 확인하였다. 뿐만 아니라 계면 조성반응 변화에 따른 전기적 특성 및 광학적 투과율의 열화가 발생됨을 Hall measurement 측정과 UV/Vis spectrometer 결과를 통하여 도출하였다. 본 결과를 통해 c-ITO/PEDOT:PSS 사이에서 발생되는 내산특성/계면 화학변화가 유기태양전지에서의 산화물 투명전극과 유기물 계면 열화현상에 영향을 받을 수 있음을 나타낸다. -
Lee, Jeong-Hwan;Park, Jae-Seong;Park, Seong-Eun;Lee, Dong-Ik;Hwang, Do-Yeon;Kim, Seong-Jin;Sin, Han-Jae;Seo, Chang-Taek 330
소 분위기에서 플라즈마 표면 처리의 경우 기판 표면에 존재하는 수소와 탄소 유기물들이 산소와 반응하여$H_2O$ 와$CO_2$ 등으로 제거되며 표면에 오존 결합을 유도하여 표면 에너지를 증가시키는 것으로 알려져 있다. ZnO 나노구조물을 성장시키는 방법으로는 MOCVD (Metal-Organic Chemical Vapor Deposited), PLD (Pulsed Laser Deposition), VLS (Vapor-Liquid-Solid), Sputtering, 습식화학합성법(Wet Chemical Method) 방법 등이 있다. 그중에서도 습식화학합성법은 쉽게 구성요소를 제어할 수 있고, 저비용 공정과 낮은 온도에서 성장 가능하며 플렉서블 소자에도 적용이 가능하다. 그러므로 본 연구에서는 플라즈마 표면처리에 따라 표면에너지를 변화하여 습식화학합성법으로 성장시킨 ZnO nanorods의 밀도를 제어하고 photolithography 공정 없이 패터닝 가능성을 유 무를 판단하는 연구를 진행하였다. 기판은 Si wafer (100)를 사용하였으며 세척 후 표면에너지 증가를 위한 플라즈마 표면처리를 실시하였다. 분위기 가스는 Ar/$O_2$ 를 사용하였으며 입력전압 400 W에서 0, 5, 10, 15, 60초 동안 각각 실시하였다. ZnO nanorods의 seed layer를 도포하기 위하여 Zinc acetate dehydrate [Zn$(CH_3COO)_2{\cdot}2H_2O$ , 0.03 M]를 ethanol 50 ml에 용해시킨 후 스핀코팅기를 이용하여 850 RPM, 15초로 5회 실시하였으며$80^{\circ}C$ 에서 5분간 건조하였다. ZnO rods의 성장은 Zinc nitrate hexahydrate [$Zn(NO_3)_2{\cdot}6H_2O$ , 0.025M], HMT [$C6H_{12}N_4$ , 0.025M]를 deionized water 250 ml에 용해시켜 hotplate에 올리고$300^{\circ}C$ 에서 녹인 후$200^{\circ}C$ 에서 3시간 성장시켰다. ZnO nanorods의 성장 공정은(Fig. 1)과 같다. 먼저 플라즈마 처리한 시편의 표면에너지 측정을 위해 접촉각 측정 장치[KRUSS, DSA100]를 이용하였다. 그 결과 0, 5, 10, 15, 60 초로 플라즈마 표면 처리했던 시편이 각각 Fig. l, 2와 같이$79^{\circ}$ ,$43^{\circ}$ ,$11^{\circ}$ ,$6^{\circ}$ ,$7.8^{\circ}$ 로 측정되었으며 이것을 각각 습식화학합성법으로 ZnO nanorods를 성장 시켰을 때 Fig. 3과 같이 밀도 차이를 확인할 수 있었다. 이러한 결과를 바탕으로 기판의 표면에너지를 제어하여 Fig. 4와 같이 나타나며 photolithography 공정없이 ZnO nanorods를 패터닝을 할 수 있었다. 본 연구에서는 플라즈마 표면 처리를 통하여 표면에너지의 변화를 제어함으로써 ZnO nanorods 성장의 밀도 차이를 나타냈었다. 이러한 저비용, 저온 공정으로$O_2$ , CO,$H_2$ ,$H_2O$ 와 같은 다양한 화학종에 반응하는 ZnO를 이용한 플렉시블 화학센서에 응용 및 사용될 수 있고, 플렉시블 디스플레이 및 3D 디스플레이 소자에 활용 가능하다. -
The poor conductivity of poly (3,4-ethylene dioxythiophene): poly (styrenesulfonate) (PEDOT:PSS) film hinders to use for a flexible electrode in solar cells. In this report we demonstrate that the conductivity of PEDOT:PSS film can be enhanced by modifying structures in a mixture of PEDOT: PSS aqueous solution and various organic solvents such as polar protic (2-propanol, methanol, ethanol, formic acid) and aprotic solvents (acetone and acetonitrile). To comparatively study the structural effects on the resulted electrical properties, the films are spin-coated on glasses and ITO. At the same time, a contact angle goniometer is used for clarifying a mechanism of wettability of PEDOT (hydrophobic) and PSS (hydrophilic) on the observed conductivity. The structures and electrical properties are investigated by FE-SEM (Field Emission Scanning Electron Microscopy), AFM (Atomic Force Microscopy), and 4-point probe, respectively.
-
Ha, Jae-Du;Hwang, Jeong-U;Gang, Sang-U;No, Sam-Gyu;Lee, Sang-Jun;Kim, Jong-Su;Krishna, Sanjay;Urbas, Augustine;Ku, Zahyun 334
In the past decade, the infrared detectors based on intersubband transition in quantum dots (QDs) have attracted much attention due to lower dark currents and increased lifetimes, which are in turn due a three-dimensional confinement and a reduction of scattering, respectively. In parallel, focal plane array development for infrared imaging has proceeded from the first to third generations (linear arrays, 2D arrays for staring systems, and large format with enhanced capabilities, respectively). For a step further towards the next generation of FPAs, it is envisioned that a two-dimensional metal hole array (2D-MHA) structures will improve the FPA structure by enhancing the coupling to photodetectors via local field engineering, and will enable wavelength filtering. In regard to the improved performance at certain wavelengths, it is worth pointing out the structural difference between previous 2D-MHA integrated front-illuminated single pixel devices and back-illuminated devices. Apart from the pixel linear dimension, it is a distinct difference that there is a metal cladding (composed of a number of metals for ohmic contact and the read-out integrated circuit hybridization) in the FPA between the heavily doped gallium arsenide used as the contact layer and the ROIC; on the contrary, the front-illuminated single pixel device consists of two heavily doped contact layers separated by the QD-absorber on a semi-infinite GaAs substrate. This paper is focused on analyzing the impact of a two dimensional metal hole array structure integrated to the back-illuminated quantum dots-in-a-well (DWELL) infrared photodetectors. The metal hole array consisting of subwavelength-circular holes penetrating gold layer (2DAu-CHA) provides the enhanced responsivity of DWELL infrared photodetector at certain wavelengths. The performance of 2D-Au-CHA is investigated by calculating the absorption of active layer in the DWELL structure using a finite integration technique. Simulation results show the enhanced electric fields (thereby increasing the absorption in the active layer) resulting from a surface plasmon, a guided mode, and Fabry-Perot resonances. Simulation method accomplished in this paper provides a generalized approach to optimize the design of any type of couplers integrated to infrared photodetectors. -
Park, Hye-Seon;Yang, Ji-Hun;Jeong, Jae-Hun;Song, Min-A;Jeong, Jae-In;Sin, Seung-Yong;Mun, Gyeong-Il 335
최근 다기능 소재의 개발이 필요함에 따라 서로 상반되는 2가지 이상의 물성을 동시에 구현할 수 있는 소재의 개발이 요구되고 있다. 4 성분계 물질을 단일 타겟으로 제조함으로써 다수의 타겟을 이용하는 기존 PVD 방법의 복잡성과 재형성 등의 문제점을 해결하고 다기능성을 구현할 수 있는 코팅막을 제조할 수 있게 된다. 본 연구에서는 제조된 4 성분계 모물질을 UBM 최근 다기능 소재의 개발이 필요함에 따라 서로 상반되는 2가지 이상의 물성을 동시에 구현할 수 있는 소재의 개발이 요구되고 있다. 4 성분계 물질을 단일 타겟으로 제조함으로써 다수의 타겟을 이용하는 기존 PVD 방법의 복잡성과 재형성 등의 문제점을 해결하고 다기능성을 구현 할 수 있는 코팅막을 제조할 수 있게 된다. 본 연구에서는 제조된 4 성분계 모물질을 UBM 스퍼터링법을 이용하여 질화 공정을 도출하였고 질소 함량에 따른 물리적 특성 및 박막의 특성에 대해 연구하였다. BMG (Bulk Metallic Glass) 타겟을 이용하여 마그네트론 스퍼터링법으로 박막을 코팅하였다. 시편은 Si wafer, SUS 그리고 부식 특성 평가를 실시하기 위하여 냉연강판을 사용하였다. 시편은 아세톤, 알코올로 각각 10분간 초음파 세척한 후 진공장비에 장착하여 Ar 분위기에서 글로우 방전으로 청정을 30분간 실시하였다. 시편청정이 끝나면 ~$10^{-6}$ Torr까지 진공 배기를 실시하고 Ar 가스를 주입하여 2.5 mTorr로 진공도를 유지하여 스퍼터링으로 박막 코팅을 실시하였다. 스퍼터링 파워는 약 0.6 kW (2.0 A)으로 고정하였고 질소 유량은 0~10 SCCM으로 변화시켜 BMG 박막을 코팅하였다. 질소가 첨가된 BMG 박막에서는 시편의 색상이 노란빛으로 나타났으며 이것은 타겟의 조성 중 가장 많이 함유되어있는 Zr이 질화되어 색상의 변화가 일어난 것으로 판단된다. BMG 코팅을 위해서 진공용기로 주입한 질소의 유량이 소량인 경우에도 BMG 코팅층에 비교적 많은 양의 질소가 존재하였고 일정량 이상에서는 BMG 코팅층에 존재하는 질소의 양이 포화되는 현상을 보였다. 질소 유량 3, 4 SCCM의 BMG 코팅층에서 ZrN (111), ZrN (200) Peak이 관찰되었다. BMG 코팅층의 경도 측정결과 Bias 50 V 인가 시 ~22 Gpa로 경도가 가장 높았다. BMG 코팅층의 내부식 특성을 평가하기 위해 염수분무 시험을 실시하였고 ~$10{\mu}m$ 의 두께를 갖는 BMG 코팅층에서 염수분무 시작 후 48시간 만에 적청이 발생하였다. -
Zinc oxide (ZnO) based transparent oxide semiconductors have been studied due to their high transmittance and electrical conductivity. Pure ZnO have unstable optical and electrical properties at high temperatures but doped ZnO thin films can have stable optical and electrical properties. In this paper, transparent oxide semiconductors of Y-doped ZnO thin films prepared by sol-gel method. The ionic radius of
$Y^{3+}$ (0.90 A) is close to that of$Zn^{2+}$ (0.74 A), which makes Y suitable dopant for ZnO thin films. The Sn-doped ZnO thin films were deposited onto quartz substrates with different atomic percentages of dopant which were Y/Zn = 0, 1, 2, 3, 4, and 5 at.%. These thin films were pre-heated at$150^{\circ}C$ for 10 min and then annealed at$500^{\circ}C$ or 1 h. The structural and optical properties of the Y-doped ZnO thin films were investigated using field-emission scanning electronmicroscopy (FE-SEM), X-ray diffraction (XRD), UV-visible spectroscopy, and photoluminescence (PL). -
Kim, Soaram;Nam, Giwoong;Park, Hyunggil;Yoon, Hyunsik;Kim, Byunggu;Kim, Jin Soo;Kim, Jong Su;Leem, Jae-Young 337
ZnO seed layers were deposited on a quartz substrate using the sol-gel method, and B-doped ZnO (BZO) nanorods with different B concentrations ranging from 0 to 2.5 at.% were grown on the ZnO seed layers by the hydrothermal method. The structural, optical, electrical propertiesof the ZnO and BZO nanorods were investigated using field-emission scanning electron microscopy, X-ray diffraction (XRD), photoluminescence (PL), ultraviolet-visible spectroscopy, and hall effect. The ZnO and BZO nanorods grew well aligned on the surface of the quartz substrates. From the XRD data, it can be seen that the B doping is responsible for the distortion of the ZnO lattice. The PL spectra show near-band-edge emission and deep-level emission, and they also show that B doping significantly affects the PL properties of ZnO nanorods. The optical band gaps are changed by B doping, and thus the Urbach energy value changed with the optical band gap of the ZnO nanorods. From the hall measurements, it can be observed that the values of electrical resistivity, carrier concentration, and mobility are changed by B doping. -
Monolithic three-dimensional integrated circuits (3D-ICs) 구현 시 bonding 과정에서 발생되는 aluminum (Al) 이나 copper (Cu) 등의 interconnect metal의 확산, 열적 스트레스, 결함의 발생, 도펀트 재분포와 같은 문제들을 피하기 위해서는 저온 공정이 필수적이다. 지금까지는 polymer 기반의 bonding이나 Cu/Cu와 같은 metal 기반의 bonding 등과 같은 저온 bonding 방법이 연구되어 왔다. 그러나 이와 같은 bonding 공정들은 공정 시 void와 같은 문제가 발생하거나 공정을 위한 특수한 장비가 필수적이다. 반면, 두 물질의 합금을 이용해 녹는점을 낮추는 eutectic bonding 공정은 저온에서 공정이 가능할 뿐만 아니라 void의 발생 없이 강한 bonding 강도를 얻을 수 있다. Aluminum-germanium (Al-Ge) 및 aluminum-indium (Al-In) 등의 조합이 eutectic bonding에 이용되어 각각
$424^{\circ}C$ 및$454^{\circ}C$ 의 저온 공정을 성취하였으나 여전히$400^{\circ}C$ 이상의 eutectic 온도로 인해 3D-ICs의 구현 시에는 적용이 불가능하다. 이러한 metal 조합들에 비해 indium (In)과 tin (Sn)은 각각$156^{\circ}C$ 및$232^{\circ}C$ 로 굉장히 낮은 녹는점을 가지고 있기 때문에 In-Sn 조합은 약$120^{\circ}C$ 정도의 상당히 낮은eutectic 온도를 갖는다. 따라서 본 연구팀은 In-Sn 조합을 이용하여$200^{\circ}C$ 이하에서monolithic 3D-IC 구현 시 사용될 eutectic bonding 공정을 개발하였다. 100 nm SiO2가 증착된 Si wafer 위에 50 nm Ti 및 410 nm In을 증착하고, 다른Si wafer 위에 50 nm Ti 및 500 nm Sn을 증착하였다. Ti는 adhesion 향상 및 diffusion barrier 역할을 위해 증착되었다. In과 Sn의 두께는 binary phase diagram을 통해 In-Sn의 eutectic 온도인$120^{\circ}C$ 지점의 조성 비율인 48 at% Sn과 52 at% In에 해당되는 410 nm (In) 그리고 500 nm (Sn)로 결정되었다. Bonding은 Tbon-100 장비를 이용하여$140^{\circ}C$ ,$170^{\circ}C$ 그리고$200^{\circ}C$ 에서 2,000 N의 압력으로 진행되었으며 각각의 샘플들은 scanning electron microscope (SEM)을 통해 확인된 후, 접합 강도 테스트를 진행하였다. 추가로 bonding 층의 In 및 Sn 분포를 확인하기 위하여 Si wafer 위에 Ti/In/Sn/Ti를 차례로 증착시킨 뒤 bonding 조건과 같은 온도에서 열처리하고secondary ion mass spectrometry (SIMS) profile 분석을 시행하였다. 결론적으로 본 연구를 통하여 충분히 높은 접합 강도를 갖는 In-Sn eutectic bonding 공정을$140^{\circ}C$ 의 낮은 공정온도에서 성공적으로 개발하였다. -
We study on the optical and electrical properties of indium-free ZTO(ZnSnO)/Ag/ZTO (ZAZ) multilayer electrodes for the low-cost transparent electrode. In the first step, each single layer was deposited using rf magnetron in-line sputter with various working pressure based on
$O_2$ /$Ar+O_2$ ratio (0~3%) and power at room temperature. Secondly, we studied the optical and electrical properties by analyzing the refractive index, extinction coefficient, transmittance and resistivity of each layer. Finally, we optimized the thickness of each layer using macleod simulation program based on the analyzed optical properties and fabricated the multilayer electrode. As a result, We achieved a low sheet resistance of$11{\Omega}$ /sq and anaverage transmittance of 80% in the visible region of light (380~780 nm). This indicates that indium-free ZAZ multilayer electrode is a promising low-cost and low-temperature processing electrode scheme. -
양자점(Quantum dots; QDs)은 단전자 트랜지스터, 레이저, 발광다이오드, 적외선 검출기와 같은 고효율 광전소자 응용을 위해 활발한 연구가 진행되고 있다. II-VI 족 화합물 반도체는 III-V 족 화합물 반도체와 비교했을 때 더 큰 엑시톤 결합에너지(exciton binding energy)를 가지는 우수한 특성을 보이고 있으며 이러한 성질을 가지는 II-VI 족 화합물 반도체 중에서도 넓은 에너지 갭을 가지는 CdTe 양자점은 녹색 영역대의 광전자 소자로서 활용되고 있다. 기존의 CdTe/ZnTe 양자점을 성장하기 위해 ZnTe와 격자부정합이 적은 GaAs 기판을 이용한 연구가 주를 이룬 반면 Si기판을 이용한 연구는 미흡하다. 하지만 Si 기판은 GaAs 기판에 비해 값이 싸고, 여러 분야에 응용이 가능하며 대량생산이 가능하다는 이점을 가지고 있어 초고속, 초고효율 반도체 광전소자의 제작을 가능케 할 것으로 기대된다. 또한 양자점의 고효율 광전소자에 응용을 위해서는 Si 기판 위에 양자점의 크기를 효율적으로 조절하는 연구 뿐 아니라 양자점의 크기에 따른 운반자 동역학에 대한 연구도 중요하다. 본 연구에선 분자선 에피 성장법(Molecular Beam Epitaxy; MBE)과 원자층 교대 성장법(Atomic Layer Epitaxy; ALE)을 이용하여 Si 기판 위에 성장한 CdTe/ZnTe 양자점의 크기에 따른 광학적 특성을 연구하였다. 저온 광 루미네센스(PhotoLuminescence; PL) 측정 결과 양자점의 크기가 증가함에 따라 더 낮은 에너지영역으로 피크가 이동하는 것을 확인하였다. 그리고 온도 의존 광루미네센스 측정 결과 양자점의 크기가 증가함에 따라 열적 활성화 에너지가 증가하는 것을 관찰하였는데, 이는 양자점의 운반자 구속효과가 증가하였기 때문이다. 또한 시분해 광루미네센스 측정 결과 CdTe/ZnTe 양자점의 크기가 증가함에 따라 소멸 시간이 긴 값을 갖는 것을 관찰하였는데, 이는 양자점의 크기가 증가함에 따라 엑시톤 진동 세기가 감소하였기 때문이다. 이와 같은 결과 Si 기판 위에 성장한 CdTe/ZnTe 양자점의 크기에 따른 열적 활성화 에너지와 운반자 동역학에 대해 이해 할 수 있었다.
-
AlSb is a promising material for optical devices, particularly for high-frequency and nonlinear-optical applications. And AlSb offers significant potential for devices such as quantum-well lasers, laser diodes, and heterojunction bipolar transistors. In this work we study molecular beam epitaxy (MBE) growth of an unstrained AISb film on a GaAs substrate and identify the real-time monitoring capabilities of in situ spectroscopic ellipsometry (SE). The samples were fabricated on semi-insulating (0 0 1) GaAs substrates using MBE system. A rotating sample stage ensured uniform film growth. The substrate was first heated to
$620^{\circ}C$ under As2 to remove surface oxides. A GaAs buffer layer approximately 200 nm- thick was then grown at$580^{\circ}C$ . During the temperature changing process from$580^{\circ}C$ to$530^{\circ}C$ , As2 flux is maintained with the shutter for Ga being closed and the reflection high-energy electron diffraction (RHEED) pattern remaining at ($2{\times}4$ ). Upon reaching the preset temperature of$530^{\circ}C$ , As shutter was promptly closed with Sb shutter open, resulting in the change of RHEED pattern from ($2{\times}4$ ) to ($1{\times}3$ ). This was followed by the growth of AlSb while using a rotating-compensator SE with a charge-coupled-device (CCD) detector to obtain real-time SE spectra from 0.74 to 6.48 eV. Fig. 1 shows the real time measured SE spectra of AlSb on GaAs in growth process. In the Fig. 1 (a), a change of ellipsometric parameter${\Delta}$ is observed. The${\Delta}$ is the parameter which contains thickness information of the sample, and it changes in a periodic from 0 to 180o with growth. The significant change of${\Delta}$ at~0.4 min means that the growth of AlSb on GaAs has been started. Fig. 1b shows the changes of dielectric function with time over the range 0.74~6.48 eV. These changes mean phase transition from pseudodielectric function of GaAs to AlSb at~0.44 min. Fig. 2 shows the observed RHEED patterns in the growth process. The observed RHEED pattern of GaAs is ($2{\times}4$ ), and the pattern changes into ($1{\times}3$ ) with starting the growth of AlSb. This means that the RHEED pattern is in agreement with the result of SE measurements. These data show the importance and sensitivity of SE for real-time monitoring for materials growth by MBE. We performed the real-time monitoring of AlSb growth by using SE measurements, and it is good agreement with the results of RHEED pattern. This fact proves the importance and the sensitivity of SE technique for the real-time monitoring of film growth by using ellipsometry. We believe that these results will be useful in a number of contexts including more accurate optical properties for high speed device engineering. -
Lee, Chae-Min;O, Hyo-Jin;Kim, Hun-Bae;Park, Ji-Su;Park, Dae-Won;Jeong, Dong-Geun;Kim, Dae-Gyeong;Chae, Hui-Yeop 344
칩의 크기가 감소함에 따라 RC (Resistance, Capacitance) 지연, 전력소비증가 및 신호잡음 등이 문제가 되어왔다. RC지연 문제는 배선에 알루미늄 보다 비저항이 낮은 구리를 사용하고 절연막으로 유전상수가 낮은 물질을 사용하여 개선될 수 있다. 이와 같은 맥락에서 점차 저유전상수 박막의 필요성은 증가하고 있다. 그러므로 이를 개선하기 위해 저 유전상수 값을 가지는 물질을 개발 혹은, UV나 플라즈마 그리고 열을 이용하여 처리하는 연구가 절실히 요구되고 있으며, 현재 많은 연구가 진행되고 있다. 이 논문에서 저유전박막은 HDP-CVD (High Density Plasma Chemical Vapor Deposition) 시스템에서 (CyOz)-SiHx와 O2의 비율을 각각 변화시키면서 증착 되었다. (CyOz)-SiHx와 O2의 비율은 60/150, 60/180, 60/210, 60/240로 증가하면서 증착하였다. 그리고 surface profilometer을 이용하여 박막의 증착율을 측정하고 LCR meter를 이용하여 정전용량을 측정하여 유전상수 값을 얻었다. 박막의 화학적 조성과 구조는 FTIR (Fourier Transform Infrared Spectroscopy)로 측정하였다. 박막의 유동 특성은 SEM (Scanning electron microscope) 이미지로 살펴보았다. -
InGaN material is being studied increasingly as a prospective material for solar cells. One of the merits for solar cell applications is that the band gap energy can be engineered from 0.7 eV for InN to 3.4 eV for GaN by varying of indium composition, which covers almost of solar spectrum from UV to IR. It is essential for better cell efficiency to improve not only the crystalline quality of the epitaxial layers but also fabrication of the solar cells. Fabrication includes transparent top electrodes and surface texturing which will improve the carrier extraction. Surface texturing is one of the most employed methods to enhance the extraction efficiency in LED fabrication and can be formed on a p-GaN surface, on an N-face of GaN, and even on an indium tin oxide (ITO) layer. Surface texturing method has also been adopted in InGaN-based solar cells and proved to enhance the efficiency. Since the texturing by direct etching of p-GaN, however, was known to induce the damage and result in degraded electrical properties, texturing has been studied widely on ITO layers. However, it is important to optimize the ITO thickness in Solar Cells applications since the reflectance is fluctuated by ITO thickness variation resulting in reduced light extraction at target wavelength. ITO texturing made by wet etching or dry etching was also revealed to increased series resistance in ITO film. In this work, we report a new way of texturing by deposition of thickness-optimized ITO films on ITO nano dots, which can further reduce the reflectance as well as electrical degradation originated from the ITO etching process.
-
To obtain high conversion efficiency in InGaN-based solar cells, it is critical to grow high indium (In) composed InGaN layer for increasing sun light absorption wavelength rage. At present, most InGaN-based solar cells adopt InGaN/GaN multi-quantum-well (MQW) structure for high crystalline quality of InGaN with high In composition. In this study, we fabricated and compared the performances of two types of InGaN/GaN MQW solar cells which have the 15% (SC 15) and 25% (SC 25) of In composition at quantum well layer. Although both devices showed similar dark current density and leakage current, SC 15 showed better performance under AM 1.5G illumination as shown in Fig. 1. It is interesting to note that SC 25 showed severe current density decrease as increasing voltages. As a result, it lowered short circuit current density and fill factor of the device. However, SC 15 showed steady current density and over 75 % of fill factor. To investigate these differencesmore clearly, we analyzed their photoluminescence (PL) spectra under various applied voltages as shown in Fig. 2. At the same time, photocurrent, which was generated by PL excitation, was also measured as shown in Fig. 3. Further, we investigated the relationship between piezoelectric field and performance of InGaN based solar cell varying indium composition.
-
질화물 기반 물질은 발광다이오드의 효율 향상과 함께 널리 연구되는 물질의 하나이다. 그러나, 고유의 물성적 특성으로 인한 압전전기장 효과는 넓은 가시광영역에서 궁극적 효율 달성을 위한 장애가 되고 있다. 이를 극복하기 위한 방법 중 하나는 나노 구조이며, 특히 비극성면을 통한 나노구조의 구현은 압전전기장 효과를 제거할 수 있는 장점이 있다. 그러나, 현재까지 이를 위한 질화물 나노로드의 구현은 보통의 경우 발생하는 반극성면의 발현으로 인해 기술적 어려움이 많았다. 이를 위해 제시되는 방법 중 하나인 반복적 성장 기법을 통한 본 그룹의 성공적 나노로드의 구현과 함께, nucleation 조건의 변화에 따른 성장 과정을 분석하여 미래의 고효율 3차원 나노구조 발광 소자를 위한 단서를 제공하고자 한다. Fig. 1은 수소(a)와 질소(b)를 850도부터 1,050도까지 성장 온도를 달리하여 성장했을 때의 모양 변화를 나타내며 이를 통한 GaN nanorod 성장 영향에 대하여 논하고자 한다.
-
Heo, Seong-Eun;Kim, Won-Jun;Kim, Chang-Min;Lee, Hwang-Ho;Lee, Byeong-Ho;Lee, Yeong-Min;Kim, Deuk-Yeong 350
Sol-gel법을 이용하여 p-Si 기판위에 yttrium이 도핑된 ZnO (YZO)를 성장하였다. ZnO의 precursor로는 zinc acetate dihydrate를, yttrium의 source로는 yttrium acetate hydrate를 사용하였으며, 용매와 안정제로는 각각 2-methoxy ethanol과 monoethanolamine (MEA)를 사용하였다. yttrium의 doping 농도에 따른 영향을 알아보기 위하여 1~4 at.%로 제작된 YZO sol을 각각 p-type Si 기판에 성장하였으며, 이 후 furnace를 이용하여 500oC에서 1시간 동안 열처리하였다. 성장된 YZO 박막의 표면과 두께를 SEM을 통하여 확인하였으며, XRD를 통한 구조적인 특성을 분석한 결과 모든 박막에서 뚜렷한 c-축 배양성을 갖는 ZnO (0002)피크를 확인하였다. Hall effect를 통하여 YZO는 모두 n-type 특성을 나타낸다는 것을 확인하였으며, 광학적인 특성은 PL을 통해서 분석하였다. n-YZO/p-Si 이종접합의 전류-전압 특성을 분석한 결과 뚜렷한 정류특성을 나타내었다. -
한국 전체 에너지 사용량 중약 24%의 에너지가 건축물 부분에 소비되고 있다. 건축물의 벽체나 유리창 등을 통해서 에너지 손실이 이루어지는데 유리창은 벽체에 비해 약 10배 이상 낮은 단열 특성을 가지고 있기 때문에 유리창을 통한 열손실량은 더 크다. 이러한 유리창 부분의 열손실 문제를 해결할 수 있는 방안으로 좋은 단열 특성 및 낮은 방사율을 가지고 있는 Low-e coating 방법을 사용하였다. 본 실험에서는 XG glass 기판 위에 IGZO/Ag/IGZO OMO 구조의 다층 박막을 증착하였다. RF magnetron sputtering방법을 이용하여 OMO 구조의 상부와 하부의 Oxide layer로 IGZO 박막을 증착하였다. 사용된 IGZO 타겟은
$In_2O_3$ (99.99%),$Ga_2O_3$ (99.99%), ZnO (99.99%)의 분말을 각각 1:1:1 mol% 조성비로 혼합하여 소결하여 제작하였다. Thermal Evaporator 장비를 이용하여 OMO 구조의 Metal layer로 Ag (99.999%)를 증착하였다. 실험 기판은 크기$30{\times}30mm$ 의 0.7T XG glass를 사용하였다. OMO 구조의 산화층 IGZO 박막은 상/하층 동일 조건으로 기판 온도는 실온으로 고정하였으며, 초기 압력$3.0{\times}10^{-6}$ Torr, 증착 압력$3.0{\times}10^{-2}$ Torr, RF 파워 50W, Ar 유량 50 sccm로 고정시키고 증착 시간이 변화하면서 박막을 증착하였다. OMO 구조의 Metal layer로 Ag 증착 조건은 초기 진공도가 약$6.0{\times}10^{-6}$ Torr 이하로 유지하고 기판을 2 Rpm의 속도로 회전시켰다. 이후 0.3 V로 Ag를 10분간 가열하여 충분히 녹인 후 Film Thickness Monitor로 두께를 확인하였다. OMO 다층 박막의 산화물층 변화에 따라 로이다층 박막의 구조적, 광학적 및 전기적 특성을 분석하였다. XRD 분석결과에 의하여 Bragg's 법칙을 만족하는 피크가 나타나지 않는 비정질 구조임을 확인할 수 있으며, AFM 분석결과에 통해서 최소 1.3 nm의 Roughness를 나타내었다. UV-Visible-NIR 분광광도계를 이용하여 다층 박막은 가시광선 영역에서 평균 80%의 광 투과성을 보여 IR 영역에서 평균 30% 투과하고 좋은 차단 특성을 나왔다. Low-e 특성을 갖는 유리창을 통해서 에너지 절약을 이룰 수 있는 것을 확인할 수 있었다. -
국내 에너지 소비량의 21.6%가 건물 분야에 소비되고 있다. 창호는 벽체에 비해 8~10배 이상 낮은 단열 특성을 가지기 때문에 열 손실량이 크다. 유리는 창호를 이루는 요소 중 가장 큰 면적을 차지하고 있으며, 창호의 단열성능을 2배로 향상시키면 30% 이상 건물의 에너지 절감 효과를 가질 수 있다. 창호의 단열 성능을 향상시키기 위해서 Low-e(emissivity) 기술 연구가 진행 중이다. 이번 실험에서는 RF 마그네트론 스퍼터링 시스템을 사용하여 XG 유리기판 위에 ZnO박막을 증착하고, evaporator 장비를 사용하여 metal층인 Ag를 증착하였다. 그리고 다시 한번 ZnO박막을 증착하였다. Low-e 연구에 활용할 수 있는지를 확인하기 위해 XRD, AFM, 투과도를 측정하였다. ZnO박막의 증착 조건은 초기압력
$3.0{\times}10^{-6}$ Torr, 공정압력$2.0{\times}10^{-2}$ Torr, RF파워 30 W, Ar gas는 50 sccm, 증착온도는 상온으로 하였다. Metal층인 Ag를 증착하기 위해 evaporator의 증착 조건은 Rotate rate 2 rpm, voltage 0.3V, 공정압력$5.0{\times}10^{-6}$ Torr이며, 변수로 Ag두께를 3,5,7,9,11,13,15 nm로 하였다. AFM 측정결과 Ag두께가 증가할수록 RMS roughness값이 높아졌으며, 최소 0.71 nm의 거칠기를 가지는 것을 확인하였다. XRD분석결과 37도 부근의 피크가 발생하여 ZnO 박막이 결정질 구조임을 확인할 수 있었다. 그리고 UV-Visible-NIR 분광 광도계를 이용하여 광학적 투과를 측정한 결과 Ag두께가 13 nm일 때 가시광 영역의 투과도가 최대 75%, 적외선 영역의 투과도가 최소 28%로 좋은 차단 특성을 가지는 것을 확인하였다. 위 결과들로 ZnO 박막이 Low-e 기술에 활용될 수 있음을 확인하였다. -
최근 고밀도 메모리 반도체의 재료와 빠른 응답을 요구하는 나노입자를 이용한 비휘발성 메모리 소자의 제작에 대한 연구가 활발히 진행되고 있다. 특히, 비휘발성 메모리 소자 중 하나인 저항 변화 메모리 소자는 인가되는 전압에 따라 저항이 급격히 변화하여 적어도 서로 다른 두 저항 상태를 스위칭할 수 있는 물질을 이용하는 소자이다. 따라서 본 연구에서는 화합물 중에서 비휘발성 메모리 장치의 전기적 특성을 향상시킬 수 있는 실리사이드 계열의 바나듐 실리사이드(
$V_3Si$ ) 박막을 열처리 과정을 통하여 수 nm 크기의 나노입자로 제작하여, 그래핀을 하부 전극으로 하는 저항 변화 메모리 소자를 제작하였다. p-type (100) 실리콘 기판에 단일층으로 형성되어 있는 그래핀 상에 약 10 nm 두께의 저항 변화층($SiO_2$ )을 각각 초고진공 스퍼터링 방법으로 성장시킨 후$V_3Si$ 나노입자를 제작하기 위해서$V_3Si$ 금속 박막을 스퍼터링 방법으로 4~6 nm의 두께로 저항 변화층 사이에 증착시켰으며, 급속 열처리 방법으로 질소 분위기에서$800^{\circ}C$ 로 5초 동안 열처리하여$V_3Si$ 나노 입자를 형성하였다. 마지막으로 200 nm 두께의 Pt을 증착하였다. 하부 전극으로 형성되어 있는 그래핀은 라만 분광법을 이용하여 확인하였으며, 제작된 소자의 전기적인 측정은 Agilent E4980A LCR meter, 1-MHz HP4280A와 HP 8166A pulse generator, HP4156A precision semiconductor parameter analyzer을 이용하여 전기적인 특성을 확인하였다. -
최근 디스플레이 분야에서 amorphous InGaZnO (a-IGZO) thin film transistors (TFTs)는 a-Si:H에 비해 비정질 상태에서도 비교적 높은 이동도를 가지고 다결정 Si 반도체에 비해 저온공정이 가능하고 대면적화가 용이한 장점 때문에 주목받고 있다. 또한 넓은 밴드갭을 가지기 때문에 가시광선 영역에서 투명하여 투명소자에도 응용이 가능하다. 본 연구에서는 RF magnetron sputtering법을 이용하여 RF power의 변화에 따라 IGZO 박막의 positive bias stress (PBS)에 대한 안정성을 조사하였다. 소결된 타겟으로는 In:Ga:ZnO를 각각 2:2:1 mol%의 조성비로 소결하여 이용하였고, 공정 조건은 초기 압력 Torr, 증착 압력 Torr, Ar:O2=18:12 sccm로 고정하였다. 공정 변수로는 130 W, 150 W, 170 W, 200 W로 변화를 주어 실험을 진행하였다. PBS 측정은 gate bias를 10 V로 고정하여 stress 시간을 각각 0, 30, 100, 300, 1,000, 3,000, 7,000초를 적용하였다. 측정 결과 RF power가 증가할수록 문턱전압의 변화량이 증가하는 것을 보였다. 130 W의 경우 4.47 V의 변화량을 보였지만 200 W의 경우는 10.01 V로 증가되어 나타났다. 따라서 RF power을 낮추어 만들어진 소자의 경우 RF power를 높여 만들어진 소자에 비해 PBS에 대한 안정성이 더 높은 결과를 확인하였다.
-
Monolithic 3D-IC는 현대 집적회로에서 interconnect로 인해 발생되는 여러 문제들을 해결하기 위해 새롭게 제시되고 있는 기술적 개념으로 구현 시 하위 소자 및 interconnet들에 영향을 주지 않는 저온공정이 필수적이다. 특히 germanium (Ge)은 낮은 녹는점 및 높은 캐리어 이동도 덕분에 3D-IC 구현 시 상위 소자의 channel 물질에 적합한 것으로 알려져 있다. 최근 이러한 Ge을 결정화하기 위해 solid phase crystallization (SPC), metal induced crystallization (MIC), laser annealing과 같은 결정화 방법들이 보고되고 있다. 현재까지 SPC 방법에 의해 얻어진 poly-Ge의 도핑농도 및 이동도와 같은 전기적 특성에 대한 분석은 수행된 바 있으나 3D-IC 공정에 적용이 가능한 MIC 기술을 통해 얻어진 poly Ge 필름에 대한 전기적 특성분석은 부족한 상황이다. 본 연구는 SPC 뿐만 아니라 MIC 방법을 통해
${\alpha}$ -Ge를 결정화시키고 얻어진 poly-Ge 필름의 전기적 특성을 XRD 및 hall effect measurement를 통해 분석하였다. 특히 일반적으로 Ge 내에서 p-type dopant로 동작을 하는 defect과 n-type dopant인 phosphorus 관계를 고려하여 여러 온도에서 SPC 및 MIC에 의해 얻어진 phosphorus doped poly-Ge 필름들의 전기적 특성을 분석하였다. -
The non-linear characteristics of ON states are important for the application to the high density cross-point memory industry because the sneak current in neighbor cells occurred during reading, erasing, and writing process. Kw of above 20 in ON states, which is the writing current @ Vwrite/the current @ 1/2Vwrite, was required in cross-point ReRAM memory industry. The high current density non-linear IV curve of ZnSe selector was shown and the ALD HfO2 switching device has the linear properties of ON states and the compliance current of 100 uA. To evaluate the performance of the selection device, we connected itto HfO2 switching device in series. The bottom electrode of the selection device was connected to the top electrode of the RRAM. All of the bias was applied with respect to the top electrode of the selection device, whereas the bottom electrode of the RRAM was grounded. In the cross-point application, 1/2Vwrite and -1/2Vwrite were applied to the word-line and bit-line, respectively, which were connected to the selected cell, and a zero bias was applied to the unselected word-lines and bit-lines. The current @ 1/2Vwrite of the unselected cells was blocked by the selection device, thus eliminating the sneak path and obtaining a writing voltage margin. Using this method, the writing voltage margin was analyzed on the basis of the memory size.
-
The effect of electrode and deposition methods on non-linear interfacial resistive switching in HfO2 based
$250{\times}250$ nm2 cross-point device was studied. HfO2 based device has the interfacial resistive switching properties of non-linearity and self-compliance current switching. The operating current in HfO2 based device was increased with negatively increasing the heat of formation energy in top electrode. Also, it was investigated that the operating current in HfO2 based device was changed with deposition methods of O3 reactant ALD, H2O reactant ALD and dc reactive sputtering, resulting the magnitude of the operating current and on/off ratio in order of HfO2 films deposited by dc reactive sputtering, H2O reactant ALD, and O3 reactant ALD. To investigate the effect of electrode and deposition methods on operating current of non-linear interfacial resistive switching in the cross-point device, X-ray photoelectron spectroscopy was measured. Through the analysis of O 1s spectra, non-lattice oxygen concentration, which is closely related to oxygen vacancies, was increased in order of Pt, TiN, and Ti top electrodes and in order of O3 reactant ALD, H2O reactant ALD, and O3 reactant ALD, and dc reactive sputtering deposition method. From all results, non-lattice oxygen concentration in ultra-thin HfO2 films play a crucial role in the operating current and memory states (LRS & HRS) in the non-linear interfacial resistive switching. -
비냉각 적외선 검출소자는 빛이 전혀 없는 환경에서도 사물을 감지하는 열상장비의 핵심소자이다. 마이크로볼로미터 적외선 검출기는 상온에서 동작하며, 온도안정화를 위해 TEC를 장착하여 진공패키지로 조립된다. 패키지는 진공을 유지할 수 있도록 일반적으로 메탈로 제작되며, 단가 감소 및 생산성 증대를 위해 wafer level packaging 방법을 이용한다. 마이크로볼로미터의 특성은 패키지의 진공 변화에 매우 민감하다. 센서의 감도를 증가시키기 위해서는 진공환경을 유지해야 한다. 볼로미터 소자의 특성은 상압에서 열전도는 기판과 멤브레인 사이의 에어갭을 통해 열손실을 야기하므로 센서의 반응도가 현저히 줄어든다. 에어갭이 1 um 정도 되더라도 그 사이에 존재하는 열전도가 가능하므로 진공을 유지하여 열고립 상태를 증대시킬 수 있다. 이에 본 연구에서는 소자의 동작시 압력, 즉 진공도가 볼로미터 소자의 반응도 특성에 미치는 영향을 조사하였다. 마이크로볼로미터 소자는
$2{\times}8$ 어레이 형태로 제작하였으며, metal pad를 각 단위셀에 배치하였으며, 공통전극으로 한 개의 metal pad를 넣어 설계하였다. 흡수체로써 VOx를 사용하였으며, 열 고립구조를 위해 2.5 um 공명 흡수층의 floating 구조로 멤브레인을 형성하였다. 진공패키지는 메탈패키지를 제작하여 볼로미터 칩을 TEC 위에 장착하였으며, 신호의 감지를 위해 가변저항을 매칭시켰다. 반응도는 신호 대 잡음 값을 획득하여 소자에 도달하는 적외선 에너지에 대해 반응하는 값을 계산에 의해 얻어내는 것이다. 픽셀 크기는$50{\times}50$ um이며, 패키지 조립 공정 후 온도변화에 따른 저항 측정을 통해 TCR 값을 얻었다. 이때 TCR은 약 -2.5%/K으로 나타났다.$2{\times}8$ 의 4개 단위소자에 대해 측정한 값은 균일하게 TCR 값이 나타났다. 광반응 특성은 볼로미터 단위소자에 대해서 먼저 고진공(5e-6 torr) 하에서 측정하였으며, 반응도는 25,000 V/W의 값을 나타내었고, 탐지도는 약 2e+8$cmHz_{1/2}$ /W로 나타났다. 패키지의 압력 조절을 위해 TMP 및 로터리 펌프를 이용하여 100 torr에서 1e-4 torr의 범위에서 압력조절 밸브를 이용하여 질소가스의 압력으로 진공도를 변화시켰다. 적외선 반응신호는 압력이 증가함에 따라 감소하였으며, 2e-1 torr의 압력에서 신호의 크기가 감소하기 시작하여 5 torr에서 반응도의 1/2 값을 나타냄을 알 수 있었다. 30 torr 이상에서는 신호가 잡음값 과거의 동일하여 신호대 잡음비가 1로 나타남을 알 수 있었다. 또한 진공도 변화에 대해, 흑체온도에 따른 반응도 및 탐지도의 특성을 조사한 결과를 발표한다. 반응도의 증가를 위해 진공도는 진공도는 1e-2 torr 이하의 압력을 유지해야 함을 본 실험을 통해 알 수 있었다. -
Son, Yeong-Ho;Park, Jung-Jin;Lee, Jang-Hui;Choe, Seung-Hun;Choe, Jeong-Gyu;Kim, Jin-Ha;Lee, Dong-Min;Jeong, Ui-Cheon;Chae, Jin-Gyeong;Lee, Jong-Geun;Jeong, Myeong-Hyo 362
터치패널은 키보드나 마우스와 같은 입력장치를 사용하지 않고, 스크린에 손가락, 펜 등을 접촉하여 입력하는 방식이다. 누구나 쉽게 입력할 수 있는 장점으로 인해 기존에는 현금인출기, 키오스크 등 공공분야에 주로 많이 사용되어 왔으나, 최근의 터치스크린은 휴대폰, 게임기, 네비게이션, 노트북 모니터 등 개인정보기기의 입력장치로 활용분야가 넓어져가고 있다. 기존 터치패널은 유리 기판 위에 ITO박막(투명전도막)을 진공코팅하여 사용하여 왔지만, 최근 터치패널은 경량화를 고려하여 PET 필름 기판 위에 ITO 박막을 진공코팅하여 사용하고 있다. PET 필름의 유연성 때문에 ITO 코팅된 필름을 PC 혹은 강화유리 위에 OCA 물질을 이용하여 다시 고정하여야 한다. 이때 터치패널 제작시 생산공정이 늘어나 생산성이 떨어지고, 터치패녈의 광투과율도 떨어지는 2차적인 문제가 발생한다. 이를 해결코자하는 터치페널 업체의 Needs가 있고, 최근에 이를 해결하기 위하여 강화유리와 플라스틱 기판 위에 ITO 박막을 직접 진공코팅 하는 공정개발이 진행되고 있다. ITO 박막은 진공코팅 중에 열을 가하여 결정화를 이루어야 하는데, 강화유리와 플라스틱은 기판의 열에 약한 특성을 고려하여, 열을 가하지 않고 ITO 박막을 진공 코팅하여야 한다. 이러한 ITO 박막의 진공코팅 공정에는 In-line magnetron sputtering system이 사용된다. 본 연구에서는 In-line magnetron sputtering system을 사용하여 강화유리와 플라스틱 기판 위에 정전용량방식 터치패널용 패턴 인비저블 ITO 투명전도막을 제작하고 그 특성을 조사하였다. ITO 박막의 면저항은 150 Ohm/cm2, 최고 광투과율은 90.56% (@583), 그리고 550 nm에서 광투과율은 90.46%로 ITO 박막 코팅 전후에 투과율 차이가 0.4임을 확인하였다. 정전용량방식의 터치패널에서는 ITO 박막 코팅 전후에 투과율 차이가 1이하의 특성, 즉 패턴 인비저블의 특성을 필요로 하는데, 이는 ITO 박막 패턴후에 패턴이 보이지 않게 하기 위해서이며, 이러한 시장의 Needs를 고려하면 본 연구에서 매우 중요한 연구 성과를 얻었다고 말할 수 있다. 그리고 강화유리와 플라스틱 기판 위에 여러 종류의 ITO 투명전도막을 제작하고, 또한 감성터치에 적용되는 ITO 투명전도막을 제작하여, 그 특성을 조사하여 이를 논하고자한다. -
Choe, Seung-Hun;Lee, Jong-Geun;Choe, Jeong-Gyu;Kim, Jin-Ha;Lee, Dong-Min;Lee, Jang-Hui;Jeong, Ui-Cheon;Chae, Jin-Gyeong;Park, Jung-Jin;Jeong, Myeong-Hyo;Son, Yeong-Ho 363
태양광 발전산업에서 현재 주류인 결정 실리콘 태양전지의 변환효율은 꾸준히 향상되고 있으나, 태양전지의 가격이 매년 서서히 하강되고 있는 실정에서 결정질 실리콘 가격의 상승 등으로 부가가치 창출에 어려움이 있으며, 생산 원가를 낮출 수 있는 태양전지 제조기술로는 2 세대 태양전지로 불리는 박막형이 현재의 대안이며, 특히 에너지 변환 효율과 생산 원가에서 장점이 있는 것이 CIGS 박막 태양전지로 판단된다. 화합물반도체 베이스인 CIGS 박막태양전지는 연구실에서는 세계적으로 20.3% 높은 효율을 보고하고 있으며, 모듈급에서도 13% 효율로 생산이 시작되고 있다. 국내에서도 연구실 규모 뿐만 아니라 대면적(모듈급) CIGS 박막태양전지 증착용 장비, 제조공정 등의 기술개발이 진행되고 있다. CIGSe2를 광흡수층으로 하는 CIGSe2 박막 태양전지의 구조는 여러 층의 단위박막(하부전극, 광흡수층, 버퍼층, 상부투명전극)을 순차적으로 형성시켜 만든다. 이중에 소다라임유리를 기판으로 하는 하부전극은 Mo 재료를 스퍼터링 방법으로 증착하여 주로 사용한다. 하부전극은 우수한 전기적 특성이 요구되며, 주상조직으로 성장하여야 하며, 고온 안정성 확보를 위하여 기판과의 밀착성이 좋아야하고 또한 레이저 패턴시 기판에서 잘 떨어져야 하는 특성을 동시에 가져야 한다. 본 연구에서는 대면적 CIGSe2 박막태양전지에서 요구되는 하부전극 Mo 박막의 제작과 그 특성에 대해 평가하고, 최종적으로 대면적 CIGSe2 박막태양전지 공정에 적용 그 결과를 논하고자 한다. -
Son, Yeong-Ho;Park, Jung-Jin;Choe, Seung-Hun;Kim, Jin-Ha;Lee, Dong-Min;Choe, Jeong-Gyu;Lee, Jang-Hui;Jeong, Ui-Cheon;Chae, Jin-Gyeong;Lee, Jong-Geun;Jeong, Myeong-Hyo;Heo, Yeong-Jun;Kim, In-Su 364
현재 투명전극은 주로 ITO를 사용하고 있으며, ITO는 인듐산화물(In2O3)과 주석산화물(SnO2)이 9대 1의 비율로 혼합된 화합물로 인듐이 주성분이다. 따라서 ITO 사용량의 증가는 인듐의 수요 증가를 이끌어 2003년 이후 인듐 잉곳의 가격이 급등하였다. LCD에 응용되는 금속재료의 가격추이를 비교해보면, 인듐이 가장 큰 변화를 보이고 있으며, 2005년 인듐 가격은 2002년 대비 1,000% 이상 상승하였다가 2007년 이후 500%p 하락하여 2008년 2월 22일 기준으로 톤당 49만 달러에 거래되고 있다. 같은 기간 동안 알루미늄의 가격은 76.6% 상승하였으며 구리는 394%, 주석은 331% 상승하였다. 이러한 인듐의 가격 상승폭은 동일한 기간 동안 다른 금속 재료와 비해 매우 크며, 단위 질량당 가격도 20배 이상 높은 수준이다. ITO의 주성분인 인듐의 이러한 가격의 급등 및 향후 인듐의 Shortage 예상으로 인해 ITO 대체재 확보의 필요성이 증가되고 있다. 태양광 발전산업에서 현재 주류인 결정질 실리콘 태양전지의 변환효율은 꾸준히 향상되고 있으나, 태양전지의 가격이 매년 서서히 하강되고 있는 실정에서 결정질 실리콘 가격의 상승 등으로 고부가 가치 산업유지에 어려움이 있으며, 생산 원가를 낮출 수 있는 태양전지 제조기술로는 2세대 태양전지로 불리는 박막형이 현재의 대안으로 자리매김하고 있으며, 박막태양전지 산업분야가 현재의 정부정책 지원 없이 자생력을 갖추고 또한 시장 경쟁력을 확보하기 위해서는 박막태양전지 개발과 더불어 저가의 재료개발도 시급한 상황이다. 본 연구에서는 In-line magnetron sputtering system을 사용하여 소다라임 유리기판 위에 박막태양전지용 투명전도성 ZnO(Al) 박막 및 ZnO(AlGa) 박막을 각각 제작하였다. 각각 박막의 표면특성 및 성장구조, 결정성을 조사하였고, 또한 전기적 특성, 홀이동도와 개리어농도, 박막의 두께, 광투과율 특성을 연구하였다. ZnO(Al)박막, ZnO(AlGa)박막 대한 각각 특성을 평가하고 그 결과들을 논하고자 한다. -
본 실험에서는 RF magnetron sputter장비와 evaporator장비를 이용하여 다층박막 IGZO/Ag/IGZO를 제작하였다. 소결된 타겟은 In:Ga:ZnO 1:1:1mol%로 조성된 타겟을 사용하였으며, Ag는 99.999%의 순도를 가진다.다층박막 OMO구조의 Oxide layer는sputter장비를 이용 IGZO막을 제작하였으며, Metal layer는 evaporator 장비를 이용 Ag막을 제작하였다. 변수로는 Metal layer 두께에 따른 구조적, 광학적 특성 변화를 연구하였다. Oxide layer의 RF sputter 공정 조건으로는 초기압력
$3.0{\times}10^{-6}$ Torr 이하로 하였으며, 증착압력$2.0{\times}10^{-2}$ Torr, RF power 30 W, Ar 50 sccm으로 고정시켰으며, Metal layer의 evaporator 공정조건으로는$5.0{\times}10^{-6}$ 이하, 전압은 0.3V, 기판 회전속도는 2RPM 두께는 Thickness moniter로 3. 5. 7. 9. 11. 13. 15 nm를 확인하며 증착하였다. 분석결과로는 AFM측정결과 거칠기는 2 nm이하의 거칠기를 확인했으며, XRD측정결과 Bragg's 법칙($2\;dsin{\Theta}=n{\lambda}$ )를 만족하는 피크를 찾을 수 없어 비정질 구조임을 확인할 수 있었다. 투과도 측정결과 가시광 영역에서 최대 80% 이상의 투과율을 보여주었으며, IR영역에서는 30% 이하의 투과율을 보여주었다. 에너지 밴드갬 계산결과 4.5~4.6 eV를 갖는 것을 확인하였으며, Low-e 분야에 사용가능함을 보여주었다. -
건식 박막증착 공정인 플라즈마 중합법을 이용하여 유기 재료인 Styrene을 절연 박막으로 제작하였다. 플라즈마 중합된 Styrene (ppS) 절연 박막의 정밀한 공정 제어를 위해 bubbler와 circulator를 이용하여 습식 공정과 비교하여도 절연 특성이 뛰어난 pps 절연 박막을 증착하고, 이를 활용하여 gate 전극으로 ITO, insulator layer로 pps, floating gate로 Au, tunneling layer로 ppMMA와 pps, semiconductor로 Pentacene, source/drain 전극으로 Au를 사용한 비휘발성 메모리 소자를 제작하였다. ppMMA와 pps의 서로 다른 tunneling layer의 두께 변화에 따른 비휘발성 메모리 특성 변화를 연구하였다.
-
Commercial applications of indium tin oxide (ITO) can be separated into two useful areas. As it is perceived to bear electrical properties and optical transparency at once, its chance to apply to promising fields, usually for an optical device, gets greater in the passing time. ITO is one of the transparent conducting oxides (TCO), and required to carry the relative resistance less than
$10^{-3}{\Omega}$ /cm and transmittances over 80 % in the visible wavelength of light. Because ITO has considerable refractive index, there exist applications for anti-reflection coatings. Anti-reflection properties require gradual change in refractive index from films to air. Such changes are obtained from film density or nano-clustered fractional void. Glancing angle deposition (GLAD) method is a well known process for adjusting nanostructure of the films. From its shadowing effects, GLAD helps to deposit well-controlled porous films effectively. In this study, we are comparing the reference sample to samples coated with controlled ITO multilayer accumulated by an e-beam evaporation system. At first, the single ITO layer samples are prepared to decide refractive index with ellipsometry. Afterwards, ITO multilayer samples are fabricated and fitted by multilayer ellipsometric model based on single layer data. The structural properties were measured by using atomic force microscopy (AFM), and by scanning X-ray diffraction (XRD) measurements. The ellipsometry was used to determine refractive indices and extinction coefficient. The optical transmittance of the film was investigated by using an ultraviolet-visible (UV-Vis) spectrophotometer. -
Sin, Han-Jae;Hwang, Do-Yeon;Lee, Jeong-Hwan;Lee, Dong-Ik;Park, Seong-Eun;Park, Jae-Seong;Kim, Seong-Jin;Lee, Yeong-Ju;Seo, Chang-Taek 368
투명 전극은 전기전도도를 갖는 동시에 가시광선을 투과하는 소재를 말하며, 구체적으로는 빛의 파장이 400~700 nm 영역대의 가시광선을 80% 이상 투과하며 전기전도도가 비저항으로$10^{-3}{\Omega}cm$ 이하이거나 면저항이$10^3{\Omega}$ /${\Box}$ 소재를 의미한다. 투명 전극은 전기전도도에 따라 사용되는 용도가 다양하다. LCD, PDP, OLED 와 같은 평판디스플레이 및 3D 디스플레이의 투명전극으로 사용되는 핵심재료일 뿐만 아니라 터치스크린, 투명필름, 대전방지막, 열반사막, EMI 방지막, 태양전지 분야에 광범위하게 이용되고 있다. 일반적으로, 투명전극 박막에 가장 많이 사용되고 있는 소재는 ITO (indium tin oxide)이나, 주성분인 In의 사용량 증가로 상용 ITO 타겟 가격이 급등하고 있음으며, 고가의 ITO 타겟을 대체하기 위한 저가의 투명전극 소재 개발이 절대적으로 요구되며, 신규 소재 개발을 통한 기술력 우위 선점이 필수적으로 요구되는 상황이다. 본 연구에서는 기존에 디스플레이 분야에서 널리 활용되는 고가의 ITO를 대체하기 위한 다성분 금속산화물 투명전극 스퍼터링 타겟 제조기술을 개발하기 위한 연구로서, Metal이 첨가된 In-Ga-Zn-O기반의 3성분계 투명도전성 소재를 조성설계, 고밀도 균질 타겟 제조 및 투명전극 박막을 형성하는 연구를 실시하였다. 고체산화물 산화인듐(In2O3)분말, 산화갈륨(Ga2O3) 분말그리고 산화아연(ZnO)분말과 Metal을 몰비로 칭량한 후 분말을 폴리에틸렌제 포트에 넣고 에탄올을 충분히 채운 후 지르코니아(ZrO2) 볼(ball)을 이용하여 24 h 동안 볼 밀링(ball milling) 방법으로 혼합한 뒤,$120^{\circ}C$ 의 플레이트위에서 마그네틱 바로 stirring하면서 건조하였다. 이 분말을 건조기에서 완전히 건조한 후 알루미나 유발을 이용해서 pulverizing한 후 sieving기를 이용하여 분말의 조립화를 하였다. 이 분말을 금형에 넣고 300 kg/$cm^2$ 의 압력으로 press하여 성형한 뒤 대기중에서 소결하였다 소결을 위한 승온 온도는$10^{\circ}C$ /min이었고 소결은$1,450^{\circ}C$ 에서 6 h 동안 하였다. IGZO target의 조성 비율은 1:1:12 (mol%)를 사용하였으며, 첨가한 Metal은 Boron (B), Germanium (Ge), Barium (Ba)을 사용하여 타겟을 제작하였다. M-IGZO 박막은RF magnetron Sputter를 이용하여 증착하였으며, 앞선 실험에서 제작한 타겟을 사용하여 M-IGZO박막을 투명전극으로 사용하기 위한 각각의 특성을 파악하였다. 모든 박막은 상온에서 증착을 하였으며, 증착된 박막두께를 측정하기 위해${\alpha}$ -step IQ를 사용하였고, 광학적 특성을 분석하기 위해 UV-Visible spectrophotometer 로 투과율을 측정하였다. 그리고 전기적 특성을 측정하기 위해 Hall effect measurement 및 4-probe를 사용하였으며, 결정성 분석을 위하여 XRD를 이용하여 분석하였다. 표1은 M-IGZO타겟을 사용하여 증착시간에 따른 면저항 특성을 나타내었다. Ge, B, Ba이 첨가된 IGZO 박막은 증착시간이 증가할수록 면저항이 낮아짐을 알 수 있었다. 또한, Ge이 첨가된 IGZO 박막이 다른 금속이 첨가된 IGZO 박막의 면저항보다 현저히 낮음을 알 수 있었다. Fig. 1(a), (b), (c)는 각 타겟을 동일한 조건으로 증착을 하여 광학적특성을 나타내는 그래프이다. GZO 박막의 광학적 특성을 보면 가시광 영역에서 평균 투과율은 모두 80% 이상으로 우수한 광투과 특성을 보여 투명전자소자로 사용가능하다. 특히, 자외선 영역을 모두 차단하는 UV cut 능력이 우수함을 알 수 있었다. 따라서, 금속이 첨가된 IGZO 박막을 태양전지용 투명전극으로 사용할 경우, 자외선에 의하여 수명이 단축되는 현상을 줄여줄 수 있음을 기대할 수 있으며 내구성 향상에 크게 기여할 것으로 보인다. Fig. 2는 Ge=0, 0.5, 5%인 IGZO 투명전극을 총 40회 반복하여 증착을 실시한 후 각각의 면저항을 측정한 결과이다. 실험결과에 따르면 Ge가 0%, 5%인 IGZO 투명전극은 증착을 거듭할수록 면저항이 증가하는 결과를 나타내었으며, 0.5%인 IGZO 투명전극은 점차 안정화되어가는 결과를 나타내었다. 따라서 안정화 되었을 때 평균 면저항은 26ohm/sq.로 나타났으며, 광투과율은 Fig. 3과 같이 가시광영역에서 평균 80%이상의 결과를 보였으며, 550 nm에서는 86.36%의 우수한 특성을 나타내었다. 본 연구에서는 Metal이 첨가된 In-Ga-Zn-O기반의 3성분계 투명도전성 소재 target을 제작하여 RF magnetron sputter로 박막을 형성한 후 특성을 비교하였다. M-IGZO target 중 Ge (0.5%)을 첨가한 IGZO 타겟을 사용한 투명전극이 가장 우수한 특성을 보였으며, 제작된 M-target의 In 비율이 30% 정도로 기존의 ITO (90%) 대비하여 투명전극 제작 단가를 절감할 수 있다. -
사파이어 단결정 웨이퍼는 제조과정에서 결정 성장 조건 및 기계적 연마에 의하여 내부적인 결함이 발생할 수 있다. 사파이어 단결정은 일반적으로 LED용 기판 재료로 사용되며, 내부결함이 발생 시 기판 위의 GaN 등 layer의 결함도 함께 증가하므로 기판의 결함을 줄이는 과정이 중요한 이슈이다. 이 과정에 X-선 토포그래피는 단결정의 내부 결함을 모니터링 하는데 있어서 매우 유용한 방법이다. 이에 본 연구에서는 사파이어 단결정 웨이퍼에 내재하는 결함 형태를 X-선 Lang 토포그래피 방법(X-ray Lang Topography)으로 이미징하여 관찰, 분석하였다. Lang 토포그래피 방법은 X-선 투과법으로 넓은 부분을 우수한 강도와 분해능으로 내부 결함을 관찰할 수 있는 장점을 지니고 있다. X-선 source는 Mo
$k{\alpha}$ 1을 사용하였으며, 시료는 c-plane 사파이어 웨이퍼를 사용하였다. 사파이어 웨이퍼의 (110), (102) 회절면의 X-선 토포그래피 이미지를 통해 전위 결함의 유형에 따른 이미지 패턴의 형성 메커니즘에 대해 연구하였고, 측정 회절면과 두께, 표면 데미지에 따른 전위 결함 이미지의 변화를 확인하였다. X-선 토포그래피 이미지를 통해 단결정 c-plane 사파이어 웨이퍼의 전위 결함의 형성 메카니즘 연구와 유형별 이미지와 회절면, 두께, 표면 데미지에 따른 이미지 변화 등을 확인하였다. -
기존의 MOSFET 구조의 소자는 비례 축소에 의한 단 채널효과, 누설전류, 신뢰성 문제 같은 어려움에 직면해 있다. 이로 인해 20 nm 이하 소자 크기에서 기존의 MOSFET을 대체할 여러가지 차세대 소자에 대한 연구가 활발히 진행 되고 있다. 그 중에서 FinFET 소자는 비례 축소에 용이하고 누설전류 문제에 대한 장점으로 인해 활발한 연구가 진행되고 있다. 기존의 FinFET 소자에 대한 연구는 FinFET 구조를 이용한 메모리 소자의 전기적 특성의 향상, fin의 크기에 따른 소자의 특성 변화와 FinFET 구조의 물질 변화에 따른 전기적 특성 변화에 대한 연구가 많이 이루어져 왔다. 실제 공정에서의 fin의 형태 변화에 따른 전기적 특성변화에 대한 연구가 필요하다. 본 연구에서는 fin의 모서리의 모양의 변화에 따른 FinFET 소자의 전기적 특성 변화를 관찰하였고 전하 수송 메커니즘을 규명하였다. 실제 FinFET 소자의 공정에서 fin의 형태는 이상적인 직육면체 모양이 아니라 옆면이 기울고 모서리가 곡선이 되게 된다. 이로 인한 전자의 이동도 변화로 인해 소자의 성능이 변화하게 된다. FinFET의 경우 채널을 구성하는 fin의 각 면의 Si의 orientation이 다르다. 또한 fin의 모서리의 모양이 변화 함에 따라 채널영역의 orientation이 변화 하게 된다. 이에 따라 fin의 모서리 모양의 변화에 따른 소자의 전기적 특성 변화를 multi-orientation mobility model을 포함한 three-dimensional TCAD 시뮬레이션을 통해 계산하였다. 옆면과 윗면이 만나는 모서리의 모양의 곡률의 크기를 증가하여 다양한 fin의 형태에서 전기적 특성을 관찰하였다. Fin의 옆면과 윗면이 만나는 모서리의 곡률이 증가함에 따라 depletion 영역의 크기 변화와 채널에서의 전자의 밀도와 이동도의 변화를 관찰하였고 이를 토대로 fin의 형태 변화가 FinFET 소자의 전기적 특성에 미치는 영향을 조사하였다.
-
높은 효율의 InGaN/GaN 전광소자는 현대 조명 산업에 필수적인 역할을 하고 있다. 그러나 전광소자의 효율을 높이는 데에는 여러가지 한계들이 있다. 예를 들면 높은 전류에서의 효율저하, GaN 의 전위결함에 의한 비발광 재결합의 발생 등이 있다. 이러한 한계를 극복하고자 InGaN/GaN 전광소자의 효율을 높이기 위해 사파이어 기판의 표면을 거칠게 바꾸는 방법, 무분극 전광소자, 표면 플라즈몬 등 여러가지 많은 방법들이 개발되고 있다. c-plane InGaN/GaN LED 기반의 표면 플라즈몬 실험은 많은 연구가 수행되고 있으나, m-plane InGaN/GaN LED 기반의 표면 플라즈몬은 아직 연구가 진행되지 않았다. 본 실험의 목적은 표면 플라즈몬 효과를 이용하여 semi-polar InGaN/GaN LED의 광효율을 개선하는 것이다. 유기금속화학 증착 장비로 m-plane sapphire위에
$6{\mu}m$ 의 GaN 버퍼층을 증착하고 표면의 평탄화를 위해$2{\mu}m$ 의 n-GaN을 증착하였다. 그 위에 3개의 다중양자우물 층을 증착하였고, 10 nm의 도핑이 되지않은 GaN를 증착하였다. 표면 플라즈몬 현상을 일으키기 위해 Ag박막을 10, 15, 20 nm 증착하여 급속 열처리 방법으로$300^{\circ}C$ 에서 20분 열처리 하였다. 형성된 나노입자를 측정하기 위해 주사전자현미경으로 표면을 분석하였다. 표면플라즈몬에 의한 InGaN/GaN 광 세기를 측정하고자 여기 파장이 385 nm인 photoluminescence (PL) 를 사용하였다. 또한 내부양자효과의 증가를 확인하기 위해 PL을 이용하여 온도를 10~300 K까지 20 K 간격으로 광세기를 측정하였다. 향상된 내부 양자효과가 표면 플라즈몬에 의한 것임을 증명하기 위해 time-resolved PL을 이용하여 운반자 수명시간을 구하였다. -
Al/Ni 나노 멀티 포일은 상온에서 외부 방전 및 촉발에 따라 급속한 자기 발열 반응이 일어나는 특성을 보여, 외부 촉발을 통해 상온에서 온도를 높일 수 없는 접합이나 마이크로 수준의 미세 접합이 가능한 접합재료로서 활용이 상당히 기대되는 재료이다. 본 연구에서는 스퍼터링법을 이용하여 한 층이 20 nm 이하에서 Al과 Ni의 혼합 기준을 달리한 Al/Ni 나노 멀티 900층을 제조와 제조된 반응성 포일이 자기 발열 반응에 따른 미세구조에 대해 조사하였다. 박막의 증착은 3~10 mTorr의 공정압력 으로 Al 타겟 전류 1.7 A, Ni 타겟 전류 1.4 A로 하여 증착시간을 조절하여 제조하였다. SEM과 EDX를 통하여 Al/Ni 나노 멀티 포일의 성장구조와 각 원소의 함량을 조사하였다. XRD 미세결정구조 분석은 제조된 반응성 포일과 외부 촉발시킨 후 자기 발열 반응에 의해 형성되는 혼합 상에 대한 조사를 실시하였다. 혼합기준이 1:1의 Al/Ni 나노 멀티 포일에서 약
$980^{\circ}C$ 의 발열이 발생하는 것을 Pyrometer를 통해 측정하였으며, 자기 발열 반응 후의 혼합 상은 AlNi이 형성되었다. Ni rich 포일에서는 약$730^{\circ}C$ 의 발열이 발생하였고, 혼합상으로 주로 AlNi이 형성되었고 Al3Ni2도 나타났으며, 반응에 참여하지 못한 Ni이 남아있는 것을 관찰하였다. Al rich 포일에서는 약$720^{\circ}C$ 의 발열과 함께 AlNi,$AlNi_3$ 이 형성되었고 반응에 참여하지 못한 Al이 미세하게 나타났다. -
반도체산업이 발전함에 따라 패턴이 점점 더 복잡해 지고 있다. 이에 따라 웨이퍼 위에 올려지는 layer도 개수도 많아지고 점점 더 두꺼워진다. 예전에는 수백 nm였지만 최근에는 um단위까지 두꺼워지고 있다. 하지만 mask 역할을 하는 ACL과 substrate (SiO2)의 selectivity는 일정하기 때문에 mask 역할을 하는ACL layer 역시 두꺼워지는 것이 불가피하다. 이로인해 예전에는 없었던 문제들이 발생하기 시작한다. Mask 역할을 하는 ACL layer가 얇고 패턴 크기가 클 때에는 아무런 문제도 없었지만 ACL layer도 두꺼워 지고 패턴 크기도 수십 nm로 작아졌기 때문에 ACL 역시 식각 공정을 할 때 어려움이 생기기 시작한다. 이를 해결하기 위한 하나의 방법으로 자화된 ICP 챔버 substrate에 Dual bias frequency 인가하여 식각해 보고 이와같이 하였을 때 식각특성을 분석해 보았다. 자화된 ICP 챔버에서 substrate에 dual bias frequency를 인가함으로써 ion energy와 ion flux에 변화가 생기게 되고 이로 인해 다른 식각 특성이 나타나게 되었다. Dual bias frequency의 비율을 변화시켜 보고 변화에 따른 식각 특성을 분석해 보았다. 이와 같은 과정을 통하여 높은 주파수와 낮은 주파수의 각각의 변화에 따른 식각특성의 변화에 대한 이해를 할 수 있었다.
-
칼코겐화물의 일부는 전류 등의 에너지 입력에 따라 결정구조가 비정질 및 결정 사이에서 가역적으로 변화하며 상변화에 따라 전기 저항이 바뀌는 특성을 가지고 있다. 이와 같은 칼코겐화물 상변화 재료의 장점을 이용하여 프로그래머블 스위치를 구현할 수 있다. 그러나 상변화 재료만을 이용하는 프로그래머블 스위치는 전기신호 누설의 문제점이 발생한다. 이러한 문제점을 해결하기 위해서 지난 연구에서는 문턱 스위칭 칼코겐화물을 포함하는 다층구조 스위치를 제안하였다. 본 연구에서는 프로그래머블 스위치의 구성물질로서 문턱 스위칭 특성을 보이는 GeTe 박막의 특성을 보고한다. RF magnetron sputtering 방식을 이용하여 GeTe 박막을 증착하고 온도에 따른 결정화 양상 및 표면 형상 변화를 관찰하였다. GeSbTe 박막의 경우
$100^{\circ}C$ 근방에서 결정화가 시작되었고, 온도가 증가할수록 결정화가 급격히 진행되었다. 반면 GeTe 박막에서는 온도 증가에 따른 결정화가 거의 일어나지 않았다. 이러한 결과로부터 GeTe 합금 박막은 프로그래머블 스위치의 구성요소로서 문턱 스위칭에 적합한 물질임을 확인할 수 있었다. -
본 논문에서는 Normal ink jetting 공법으로 OTFT를 제작할 때 coffee stain effect에 의해서 반도체 소자의 특성이 저하되는 것을 극복하기 위해서 동일한 위치에 동일한 부피로 Droplet을 형성하는 Multiple ink jetting 공법을 통해 TIPS pentacene 결정의 Morphology와 전기적 특성이 어떻게 변화하는지 알아 보았다. Multiple ink jetting의 drop 횟수가 증가할수록 coffee stain effect에 의해서 형성된 가운데 영역의 Dendrite grain이 점점 작아지다가 7 Drops 이후로는 Big grain 만 남게 되었다. Active layer의 표면 Roughness는 drop 횟수가 증가할수록 낮아지다가 일정 count 이후로는 다시 높아지는 것을 확인할 수 있었다. 전계 이동도(mobility)는 drop 횟수가 증가할수록 커지다가 일정 count 이후로는 saturation되는 것을 확인할 수 있었다. Multiple ink jetting에 의해서 만들어진 OTFT 소자의 전계 이동도(mobility)는 1 drop과 10 drops에서 각각 0.0059, 0.036 cm2/Vs 로 6배 정도 차이가 있었다. 이것은 첫 drop에 의해 만들어진 가운데 Dendrite grain 영역이 Multiple ink jetting을 반복하면서 점점 작아지게 되어 사라지고 두꺼운 Grain 영역만 남게 된 것으로 판단된다. Vth 와 On/Off ratio는 1 drop과 10 drops에서 각각 -3 V, -2 V 그리고
$3.3{\times}10^3$ ,$1.0{\times}10^4$ 를 보였다. OTFT의 substrate로 Flexible한 polyethersulfone (PES) 기판을 사용하였고, 절연체로 Spin coating된 Poly-4-vinylphenol (PVP)가 사용되었으며, Gate 및 Source/Drain 전극은 Au를 50 nm 두께로 증착하였다. Channel의 width와 length는 각각 100 um, 40 um 였고, Gate 전극 위에 Active layer를 형성한 Bottom gate 구조로 제작되었다. Ink jet으로 제작된 TIPS pentacene의 결정성은 x-ray diffraction (XRD)와 광학 현미경으로 분석하였고 Thickness profile은 알파스텝 측정기를 이용하였으며, OTFT의 전기적 특성은 Keithley-4,200을 사용하여 측정하였다. -
본 논문에서는 외기 환경 요인 중에서 H2O와 O2의 영향으로 성능이 저하되는 유기박막트랜지스터(OTFT)의 수명시간 향상을 위하여 필요한 passivation layer의 효과에 대하여 알아 보았다. OTFT에 기존의 액상 공정이나 증착 공정으로 단일 passivation layer또는 다층 passivation layer를 형성하는 방식과는 다르게 향후에 산업 전반에 적용이 기대되는 것을 고려하여 제작 공정의 간편성을 위하여 film 형태로 되어 있는 열경화성 epoxy resin film으로 passivation layer를 구현하는 방법을 사용하여 OTFT의 storage stability를 평가하였다. passivation layer가 없는 OTFT와 열경화성 epoxy resin film으로 passivation된 OTFT의 전기적 특성이 서로 비교 평가되었으며 또한 30일 동안 온도
$25^{\circ}C$ 상대습도 40%의 환경을 갖는 Desicator 안에서 소자를 보관하여 시간에 따른 전기적 특성 변화를 검증하여 epoxy resin film의 passivation layer으로의 적용가능성을 검증하였다. 결과적으로 30일 후의 passivation layer가 없는 OTFT의 전기적 특성은 매우 낮게 떨어진 반면에 epoxy resin film으로 passivation layer가 구현된 OTFT의 mobility는$0.060cm^2$ /Vs, VT는 -0.18 V, on/off ratio는$3.7{\times}10^3$ 으로 초기의 소자 특성이 잘 유지되는 결과를 얻었다. OTFT는 Flexible한 polyethersulfone (PES)기판에 게이트 전극이 하부에 있는 Bottom gate 구조로 제작되었고 채널 형성을 위한 유기반도체 재료로 6,13-bis (triisopropylsilylethynyl) (TIPS) pentacene이 사용되었고 spin coating된 Poly-4-vinylphenol (PVP)가 게이트 절연체로 사용되었다. 이때 Au전극은 Shadow mask를 이용하여 증착하였다. 또한 OTFT의 채널 길이$100{\mu}m$ , 채널 폭$300{\mu}m$ 의 영역에 Drop casting법을 사용하여 채널을 형성하였다. 물리적 특성은 scanning electron microscopy (SEM), scanning probe microscopy (SPM), x-ray diffraction (XRD)를 사용하여 분석하였고, 전기적 특성은 Keithley-4200을 사용하여 추출하였다. -
유기물/무기물 나노복합체를 사용한 비휘발성 메모리 소자는 낮은 공정 가격 및 높은 유연성 때문에 많은 연구가 진행되고 있다. 그러나 나노복합체를 사용한 비휘발성 메모리 소자의 형성 및 전기적 특성에 대한 연구는 많지만, 나노 입자가 포함된 고분자층을 이용한 플렉서블 유기 메모리 소자의 전기적 특성 및 동작 메커니즘에 대한 연구는 미미하다. 이 연구에서는 나노입자와 고분자가 혼합된 나노복합체를 유연성 있는 indium-tin-oxide (ITO)가 코팅된 polyethylene terephthalate (PET) 기판 위에 형성하여 비휘발성 메모리 소자를 제작하여 유연성 있는 기판이 휘어짐에 따른 전기적 특성과 기억 메커니즘을 설명하였다. 나노입자가 포함된 고분자층은 스핀코팅 방법을 이용하여 쉽게 형성한 후, 그 위에 금속 마스크를 사용하여 상부 Al 전극을 형성하였다. Al/나노입자가 포함된 고분자층/ITO/PET 메모리 소자의 전류-전압 (I-v) 특성에서 낮은 전도도와 높은 전도도를 갖고 있는 쌍안정성 동작을 관측할 수 있었다. 같은 조건에서 나노입자가 포함되지 않은 메모리 소자를 제작하여 측정한 I-V 특성은 쌍안정성 동작이 일어나지 않은 것을 관측하였다. 실험적 결과를 바탕으로 나노입자가 쌍안정성을 일으키는 메모리 저장 물질임을 확인할 수 있었다. 유연성 있는 기판의 휘어짐에 따른 I-V 특성과 스트레스에 의한 전도도 상태 유지 능력 측정을 수행하여 기판 휘어짐에 따른 전기적 특성과 안정성이 변화되는 것을 관측하였다. 측정된 I-V와 스트레스에 의한 전도도 상태 유지 능력 측정 결과를 기반으로 기억 메커니즘과 기판의 휘어짐에 따른 안정성을 설명하였다.
-
Park, Youn Ho;Koo, Hyun Cheol;Shin, Sang-Hoon;Song, Jin Dong;Kim, Hyung-Jun;Chang, Joonyeon;Han, Suk Hee;Choi, Heon-Jin 382
Gate-controlled spin-orbit interaction parameter is a key factor for developing spin-Field Effect Transistor (Spin-FET) in a quantum well structure because the strength of the spin-orbit interaction parameter decides the spin precession angle [1]. Many researches show the control of spin-orbit interaction parameter in n-type quantum channels, however, for the complementary logic device p-type quantum channel should be also necessary. We have calculated the spin-orbit interaction parameter and the effective mass using the Shubnikov-de Haas (SdH) oscillation measurement in a GaSb two-dimensional hole gas (2DHG) structure as shown in Fig 1. The inset illustrates the device geometry. The spin-orbit interaction parameter of$1.71{\times}10^{11}$ eVm and effective mass of 0.98$m^0$ are obtained at T=1.8 K, respectively. Fig. 2 shows the gate dependence of the spin-orbit interaction parameter and the hole concentration at 1.8 K, which indicates the spin-orbit interaction parameter increases with the carrier concentration in p-type channel. On the order hand, opposite gate dependence was found in n-type channel [1,2]. Therefore, the combined device of p- and n-type channel spin transistor would be a good candidate for the complimentary logic device. -
본 연구에서는 e-beam 증착을 이용하여 Al, Mg 단일 금속으로 다층형 Al-Mg 코팅층을 제조하여 특성 분석 및 내식성을 평가하였다. Al-Mg 코팅층은 99.99%의 Al, 99.9%의 Mg grain을 사용하여 E-Beam 가열을 통해 냉연강판 위에 코팅하였다. 증발물질과 기판과의 거리는 48 cm이며, 기판은 세척을 실시한 후 진공 챔버에 장착하고 ~10-5 Torr 까지 진공배기를 실시하였다. 진공챔버가 기본 압력까지 배기되면 아르곤 가스를 주입하고 기판홀더에 800 V의 직류 전압을 인가하여 약 30분간 글로우 방전 청정을 실시하였다. 기판의 청정이 끝나면 아르곤 가스를 차단하고 코팅층의 구성형태에 따라 Al 또는 Mg을 코팅하였다. 다층형 Al-Mg 코팅층은 2층에서 최대 6층까지 제조하였으며
$3{\mu}m$ 의 두께를 기준으로 Al과 Mg 코팅층의 두께비가 각각 1:1 과 2:1이 되도록 코팅하였다. 6층 이상에서는 코팅층의 두께 제어가 쉽지 않기 때문에 층수는 6층으로 제한하였다.다층형 Al-Mg 코팅층을 주사전자현미경으로 관찰한 결과, Al-Mg 코팅층간의 계면을 관찰할 수 있었다. 또한 글로우방전분광기로 Al-Mg 코팅층을 관찰한 결과, Al과 Mg 코팅층이 균일한 다층 구조를 형성하고 있는 것을 확인할 수 있었다. 다층의 Al-Mg가 코팅된 강판을 염수분무시험을 통해서 내부식 특성을 확인하였다. Al-Mg 코팅 강판의 염수분무시험 결과, Al-Mg 코팅층의 층수가 증가할 수록 내부식 특성이 향상되는 것을 확인할 수 있었으며, 이러한 현상은 Al-Mg 코팅층이 다층으로 형성되어 있어 부식 생성물을 효과적으로 차단하여 강판의 부식을 방지한 것으로 판단된다. -
음극 아크로 증착한 TiAlN 박막의 표면형상과 물리적 특성을 관찰하였다. 음극 아크로 박막을 코팅할 경우, 거대 입자가 박막 표면에 존재하여 박막의 품질을 저하시킨다. 본 연구에서는 거대입자의 생성을 막기 위한 기판 청정공정을 도출하였다. 먼저 글로우 방전을 이용하여 기판을 청정한 후
$N_2$ 가스를 주입하여 TiAlN 박막을 코팅하였다. 글로우 방전의 경우 Ar 가스주입 후 공정압력은$1.9{\sim}2.1{\times}10^{-2}$ Torr, 전압 -800 V에서 30분 동안 청정을 실시하였다. 다른 청정 방법으로는 Ar과$N_2$ 가스를 동시에 주입하여 아크를 발생시키고 시편에 전압을 -400 V 인가하여 청정을 실시한 후 인가전압만을 -100 V로 낮추어 TiAlN을 코팅하였다. 글로우 방전 청정과 Ar과$N_2$ 의 혼합가스로 아크를 발생시켜 청정을 실시한 후 코팅된 시편의 박막 표면형상과 물리적 특성을 비교해 본 결과, 앞서 서술한 두 종류의 청정공정 모두 거대입자의 수가 주목할 만하게 줄어들었다. 글로우 방전과 Ar과$N_2$ 의 혼합가스로 발생시킨 아크로 청정을 실시하고 코팅한 TiAlN 박막은 거대입자의 제거와 함께 박막의 경도가 최대 47 GPa까지 향상되는 경향을 보였다. -
반도체 소자의 소형화, 고집적화로 박막의 다층화 및 선폭의 감소 등의 복잡한 제조 공정이 불가피하고, 따라서 공정 중 실리콘 웨이퍼와 금속 박막사이의 확산을 방지하기 위한 많은 연구가 이루어지고 있다. 하지만 현재까지의 연구는 확산방지막의 nano-mechanics 특성 분석에 대한 연구는 전무하다. 본 논문에서 tungsten (W)을 주 물질로, nitrogen (N)을 첨가한 확산방지막을 질소 유량을 2.5, 5, 7.5, 10 sccm으로 변화시켜가면서 rf magnetron sputter 방법으로 tungsten-nitride (W-N) 박막을 증착하였다. 박막의 기본 물성인 증착율, 비저항 및 결정학적 특성을
${\beta}$ -ray, 4-point probe, X-ray diffraction (XRD)를 이용하여 측정하였고, 측정결과 증착 중 질소 유량이 증가할수록 W-N 박막의 비저항은 증가하였고 반대로 증착율과 결정성은 감소하였다. 이는 기존의 연구 결과와 비교하여 일치한 결과로 증착된 박막이 신뢰성을 가짐을 확인하였다. 이후 가장 관심사인 nano-mechanics 특성은 nano-indenter를 이용하여 측정하였다. 측정 결과 시료는 증착 중 질소 유량이 2.5 sccm인 시료를 기준으로 5 sccm 포함된 박막에서 load force-depth 그래프가 급격히 변화하는 경향을 나타내었고, 표면강도(surface hardness)는 10.07 GPa에서 15.55 GPa로 증가하였다. 이후 질소 유량이 7.5 sccm과 10 sccm에서는 12.65 GPa와 12.77 GPa로 질소 유량이 5 sccm 포함된 박막보다 상대적으로 감소하였다. 이는 박막내 결정상으로 존재하는 질소와 비정질 상태로 존재하는 질소의 비율에 의한 것이고, 압축력에 기인하는 스트레스 증가로 판단된다. -
Currently, the flash memory and the dynamic random access memory (DRAM) have been used in a variety of applications. However, the downsizing of devices and the increasing density of recording medias are now in progress. So there are many demands for development of new semiconductor memory for next generation. Magnetic random access memory (MRAM) is one of the prospective semiconductor memories with excellent features including non-volatility, fast access time, unlimited read/write endurance, low operating voltage, and high storage density. MRAM is composed of magnetic tunnel junction (MTJ) stack and complementary metal-oxide semiconductor (CMOS). The MTJ stack consists of various magnetic materials, metals, and a tunneling barrier layer. Recently, MgO thin films have attracted a great attention as the prominent candidates for a tunneling barrier layer in the MTJ stack instead of the conventional Al2O3 films, because it has low Gibbs energy, low dielectric constant and high tunneling magnetoresistance value. For the successful etching of high density MRAM, the etching characteristics of MgO thin films as a tunneling barrier layer should be developed. In this study, the etch characteristics of MgO thin films have been investigated in various gas mixes using an inductively coupled plasma reactive ion etching (ICPRIE). The Cl2/Ar, CH3OH/Ar, and CH4/Ar gas mix were employed to find an optimized etching gas for MgO thin film etching. TiN thin films were employed as a hard mask to increase the etch selectivity. The etch rates were obtained using surface profilometer and etch profiles were observed by using the field emission scanning electron microscopy (FESEM).
-
현대 사회에서 고집적 및 고성능의 전자소자의 필요성은 지속적으로 요구되고 있으며, 투명하거나 플렉서블한 특성의 필요성에 따라 이에 대한 기술개발이 이루어지고 있다. 특히, 이러한 특성을 만족하면서 대면적화 및 저온 공정의 특성을 지니는 유기물 반도체가 주목받고 있고, 이를 이용하여 OLED (Organic Light Emitting Diode), OTFT (Organic Thin Film Transistor)와 같은 다양한 유기물 반도체 소자가 개발되고 있다. 대표적인 예로는이 있다. 유기물 반도체 소자의 특성을 이용한 메모리 소자 또한 연구 및 개발이 지속되고 있으며, 유연성과 낮은 공정가격 등의 특성을 가지는 나노 입자들이 기존 Floating Gate의 대체물로 각광받고 있다. 본 논문에서는 MIS (Metal/Insulator/Semiconductor) 구조를 제작하고, Insulator 내부에Core/Shell 구조를 가지는 CdSe/ZnS 나노 입자를 부착하여 메모리 소자의 특성 확인 및 단위 면적당 개수에 따른 특성 변화를 확인하고자 하였다. 합성된 PVP (Poly 4-Vinyl Phenol)를 Insulator 층으로 사용하였으며 단위 면적당 나노 입자의 개수를 조절하여 제작된 MIS 소자를 Capacitance versus Voltage (C-V) 측정을 통하여 변화특성을 확인하였다.
-
HfOx (Hafnium oxide)는 ~25의 고유전상수, 5.25 eV의 비교적 높은 Band-gap을 갖는 물질로 MOSFET (metal-oxide semiconductor field-effect-transistor) 구조의 Oxide 박막을 대체 가능한 물질로 연구가 지속되고 있다. 현재까지 진행된 대다수의 연구는 증착 조건에 따른 박막의 결정학적 및 전기적 특성에 대한 주제로 진행되었고 다양한 연구 결과가 보고된바 있다. 하지만 기존의 연구 기법은 박막의 nanomechanics 특성에 대한 연구가 부족하여 이를 보완하기 위한 연구가 절실하다. 따라서 본 연구에서는 HfOx 박막 내 포함된 산소가 고온 열처리 과정에서 빠져나감으로 인한 박막의 nanomechanics 특성을 확인하고자 하였다. 시료는 rf magnetron sputter를 이용하여Si (silicon) 기판위에 Hafnium target으로 산소유량(5, 10, 15 sccm)을 달리하여 증착하였고, 이후 furnace에서
$400^{\circ}C$ 에서$1,000^{\circ}C$ 까지 질소분위기에서 20분간 열처리를 실시하였다. 실험결과 시료의 전기적 특성을 I-V 곡선을 측정하여 확인하였고, 증착 시 산소 유량이 5 sccm에서 15 sccm으로 증가함에 따라서 누설전류 특성은 급격히 향상되었고, 열처리 온도가 증가함에 따라 감소하는 특성을 나타내었다. 또한 시료의 nanomechanics 특성을 확인하기 위하여 nano-indenter를 이용하여 시료의 표면강도(surface hardness)와 탄성계수(elastic modulus)를 확인하였다. 측정결과 5 sccm 시료의 표면강도와 탄성계수는 상온에서 열처리 온도가 증가함에 따라 각각 7.75 GPa에서 9.19 GPa로, 그리고 133.83 GPa에서 126.64 GPa로 10, 15 sccm의 박막의 비하여 상대적으로 균일한 특성을 나타내었다. 이는 증착 시 박막 내 과포화된 산소가 열처리 과정에서 빠져나감으로 인한 것이며, 또한 과포화된 정도에 따라 더 적은 열처리 에너지에 의하여 박막을 빠져나감으로 인한 것으로 판단된다. 또한 열처리 과정에서 산소가 빠져나가는 상대적인 flux의 영향으로 인하여 박막의 mechanical한 균일도의 변화가 나타났다. -
유기물을 이용하여 제작한 비휘발성 메모리 소자는 저전압 구동, 간단한 공정과 플렉서블 모바일에 응용 가능성 때문에 많은 연구가 진행되고 있다. 나노복합체를 사용하여 제작한 비휘발성 메모리 소자의 전기적 특성에 대한 연구가 많이 진행되었으나 고분자를 저장매체로 사용한 메모리 소자의 전기적 특성에 대한 연구는 미흡하다. 본 연구에서 poly (methylmethacrylate) (PMMA)와 poly (3-hexylthiophene) (P3HT) 혼합한 용액을 이용하여 제작한 메모리 소자의 전기적 특성을 연구하였다. P3HT와 PMMA를 같이 클로로벤젠에 용해한 후 초음파 교반기를 사용하여 두 물질을 고르게 섞었다. Indium-tin-oxide가 코팅된 유리 기판 위에 제작한 고분자 용액을 스핀 코팅하고, 열을 가해 용매를 제거하였다. P3HT박막 위에Al을 상부전극으로 열증착하여 소자를 제작하였다. 제작된 소자의 전류-전압(I-V) 측정결과는 같은 전압에서 전도도가 큰 ON 상태와 전도도가 작은 OFF 상태의 큰 ON/OFF 전류비율을 가진 전류의 히스테리시스를 보여주었다. P3HT를 포함하지 않은 소자의 I-V 측정결과는 전류의 히스테리시스 특성이 보이지 않았고 이것은 P3HT 박막이 메모리 특성을 나타내는 저장매체가 됨을 알 수 있었다. 소자의 전류-시간 특성 측정 결과는 전류의 ON/OFF 비율이 시간에 따라 큰 감쇠 현상 없이 오랫동안 지속적으로 유지됨을 보여줌으로 소자의 동작 안정성을 알 수 있었다.
-
반도체 집적회로의 고집적화 및 고성능화를 위한 기본 소자(MOSFET)의 미세화 및 단위공정의 물리적 한계를 극복하기 위해 기존의 Top-down 방식에서 buttom-up 방식의 공정에 대한 연구가 진행되고 있다. 그 중 nanoparticles를 이용한 나노소자 제작 연구가 이루어지고 있다. 하지만 이러한 nanoparticles를 이용한 나노소자의 제작에 있어서 원하는 위치에 nanoparticles를 배열하고 정렬하는데 어려움을 겪고 있다. 이 문제를 해결하기 위해서 자기조립 특성을 가지고 있는 DNA분자와 기능화를 통하여 표면에 positive charge를 띄고있는 Gold nanoparticles를 상호결합 시키는 실험을 하였다. Au-DNA nanowire는 backbone에 있는 phosphate부분에서 negative charge를 띠고 있는 DNA와 positive charge를 띠고 있는 Gold nanoparticles가 결합하는 원리로 형성된다. 그렇지만 Gold particles를 표면이 아닌 DNA에만 붙이는 것은 아직 해결해야 할 부분으로 남아있다. 본 연구에서는 이 문제를 해결하기 위하여 pH 조절을 통하여 기능화된 Gold particles의 charge의 변화를 주고 이를 Zeta potential 측정기로 측정한 후에 이 particles와 DNA를 결합시켜서 FE-SEM과 AFM 으로 확인하는 실험을 하였다.
-
나노입자를 포함하는 합성물은 전자소자와 광전소자의 응용 가능성 때문에 많은 연구가 진행되고 있다. 복합층을 사용한 소자의 전기적 성질에 대한 연구는 많이 진행되었으나, SiC/SiNx 다층 복합층 소자에 대한 광학적 특성에 대한 연구는 상대적으로 미흡하다. 본 연구는 SiC/SiNx 다층 복합층을 사용하여 스퍼터링 방법으로 형성하고 열처리를 사용하여 복합층의 미세구조와 광학적 특성을 조사하였다. SiNx층을 p-형 Si 기판 위에 성장한 후 SiC층을 형성하였다. 3번의 주기적인 성장으로 다층구조를 형성하고, 30분 동안 열처리 하였다. 투과전자현미경상은 SiC/SiNx 복합층에 SiC 나노입자가 형성한 것을 확인하였다. 광류미네센스 스펙트럼 결과는 형성한 SiC/SiNx 복합층을 열처리할 때 SiC층에서 나타나는 주된 피크 위치가 변위되는 것을 보였다. 광류 미네센스 스펙트럼 결과에서 나타난 주된 피크가 열치리에 따라 변화하는 원인을 규명하였다.
-
The spin-orbit interaction has received great attention in the field of spintronics, because of its property and applicability. For instance, the spin-orbit interaction induces spin precession which is the key element of spin transistor proposed by Datta and Das, since frequency of precession can be controlled by electric field. The spin-orbit interaction is classified according to its origin, Dresselhaus and Rashba spin-orbit interaction. In particular, the Rashba spin-orbit interaction is induced by inversion asymmetry of quantum well structure and the slope of conduction band represents the strength of Rashba spin-orbit interaction. The strength of spin-orbit interaction is experimentally obtained from the Shubnikov de Hass (SdH) oscillation. The SdH oscillation is resistance change of channel for perpendicular magnetic field as a result of Zeeman spin splitting of Landau level, quantization of cyclotron motion by applied magnetic field. The frequency of oscillation is different for spin up and down due to the Rashba spin-orbit interaction. Consequently, the SdH oscillation shows the beat patterns. In many research studies, the spin-orbit interaction was treated as a tool for electrical manipulation of spin. On the other hands, it can be considered that the Rashba field, effective magnetic field induced by Rashba effect, may interact with external magnetic field. In order to investigate this issue, we utilized InAs quantum well layer, sandwiched by InGaAs/InAlAs as cladding layer. Then, the SdH oscillation was observed with tilted magnetic field in y-z plane. The y-component (longitudinal term) of applied magnetic field will interact with the Rashba field and the z-component (perpendicular term) will induce the Zeeman effect. As a result, the strength of spin-orbit interaction was increased (decreased), when applied magnetic field is parallel (anti-parallel) to the Rashba field. We found a possibility to control the spin precession with magnetic field.
-
GaN는 LED, 태양전지, 그리고 전자소자 등에 쓰이는 물질로, 관련 연구가 활발히 진행되고 있으며, 이와 더불어 top-down방식을 활용한 소자제작 방법 또한 발달되고 있다. 하지만, 일반적으로 LED 제작에 사용되는 c-plane GaN의 경우, c축 방향으로 발생하는 분극의 영향을 받게되며, 분극은 LED내 양자우물의 밴드를 기울게 하여 전자와 홀의 재결합률을 감소시켜 낮은 내부양자효율을 야기한다. 이러한 문제를 해결하기 위해 여러 가지 방법들이 제시되었으며, 그 중에서도 a면, 혹은 m면과 같은 nonpolar면을 사용하는 GaN LED가 주목받고 있다. 본 연구에서는, top-down방식을 통해 약
$2{\mu}m$ 크기의 diameter를 갖는 micro-sized column LED를 구현하였으며, 식각 후 드러나는 semipolar면을 wet treatment를 통해 제거하여 nonpolar면을 드러나게 하였으며, 이 면에 Ni/Au를 contact하여, 전기적, 광학적 특성을 논하였다. Fig. 1은 I-V 특성 그래프이며, Fig. 2는 EL측정 결과(광학적 특성)이다. -
The resistive random access memory (ReRAM) has several advantages to apply next generation non-volatile memory device, because of fast switching time, long retentions, and large memory windows. The high mobility of monolayered graphene showed several possibilities for scale down and electrical property enhancement of memory device. In this study, the monolayered graphene grown by chemical vapor deposition was transferred to
$SiO_2$ (100 nm)/Si substrate and glass by using PMMA coating method. For formation of metal-oxide nanoparticles, we used a chemical reaction between metal films and polyamic acid layer. The 50-nm thick BPDA-PDA polyamic acid layer was coated on the graphene layer. Through soft baking at$125^{\circ}C$ or 30 min, solvent in polyimide layer was removed. Then, 5-nm-thick indium layer was deposited by using thermal evaporator at room temperature. And then, the second polyimide layer was coated on the indium thin film. After remove solvent and open bottom graphene layer, the samples were annealed at$400^{\circ}C$ or 1 hr by using furnace in$N_2$ ambient. The average diameter and density of nanoparticle were depending on annealing temperature and times. During annealing process, the metal and oxygen ions combined to create$In_2O_3$ nanoparticle in the polyimide layer. The electrical properties of$In_2O_3$ nanoparticle ReRAM such as current-voltage curve, operation speed and retention discussed for applictions of transparent and flexible hybrid ReRAM device. -
Lee, Kangil;Kim, Beomsik;Kim, Juhwan;Park, Soojeong;Lee, Sunyoung;Denny, Yus Rama;Kang, Hee Jae;Yang, Dong-Seok 397
The electrical, electronic, optical properties and the local structure of Nickel Oxide (NiO) thin film have been investigated by X-ray photoelectron spectroscopy (XPS), Reflection Electron Energy Loss Spectroscopy (REELS), UV-spectrometer,Hall Effect measurement and X-ray absorption spectroscopy (XAS). The XPS results show that the Ni 2p spectra for all films consist of$Ni2p_{3/2}$ at around 854.5 eV which indicate the presence of Ni-O bond from NiO phase and for the annealed film at temperature above$200^{\circ}C$ shows the coexist Ni oxide and Ni metal phase. The REELS spectra showed that the band gaps of the NiO thin films were abruptly decreased with increasing temperature. The values of the band gaps are consistent with the optical band gaps estimated by UV-Spectrometer. The optical transmittance spectra shows that the transparency of NiO thin films in the visible light region was deteriorated with higher temperature due to existence of$Ni^0$ . Hall Effect measurement suggest that the NiO thin films prepared at relatively low temperatures (RT and$100^{\circ}C$ ) are suitable for fabricating p-type semiconductor which showed that the best properties was achieved at$100^{\circ}C$ , such as a low resistivity of$7.49{\Omega}.cm$ . It can be concluded that the annealing process plays a crucial role in converting from p type to n type semiconductor which leads to reducing electrical resistivity of NiO thin films. Furthermore, the extended X-ray absorption fine structure (EXAFS) spectrum at the Ni K-edge was used to address the local structure of NiO thin films. It was found that the thermal treatments increase the order in the vicinity of Ni atom and lead the NiO thin films to bunsenite crystal structure. Moreover, EXAFS spectra show in increasing of coordination number for the first Ni-O shell and the bond distance of Ni-O with the increase of substrate temperature. -
Denny, Yus Rama;Lee, Sunyoung;Lee, Kangil;Kang, Hee Jae;Yang, Dong-Seok;Heo, Sung;Chung, Jae Gwan;Lee, Jae Cheol 398
The electronic properties and the local structure of tantalum oxide thin film with variation of oxygen flow rate ranging from 9.5 to 16 sccm (standard cubic centimeters per minute) have been investigated by X-ray photoelectron spectroscopy (XPS), Reflection Electron Energy Loss Spectroscopy (REELS), and X-ray absorption spectroscopy (XAS). The XPS results show that the Ta4f spectrum for all films consist of the strong spin-orbit doublet$Ta4f_{7/2}$ and$Ta4f_{5/2}$ with splitting of 1.9 eV. The oxygen flow rate of the film results in the appearance of new features in the Ta4f at binding energies of 23.2 eV, 24.4 eV, 25.8, and 27.3 eV, these peaks attribute to$Ta^{1+}$ ,$Ta^{2+}$ ,$Ta^{4+}$ /$Ta^{2+}$ , and$Ta^{5+}$ , respectively. Thus, the presence of non-stoichiometric state from tantalum oxide ($TaO_x$ ) thin films could be generated by the oxygen vacancies. The REELS spectra suggest the decrease of band gap for tantalum oxide thin films with increasing the oxygen flow rate. The absorption coefficient${\mu}$ and its fine structure were extracted from the fluorescence mode of extended X-ray absorption fine structure (EXAFS) spectra. In addition, bond distances (r), coordination numbers (N) and Debye-Waller factors (${\sigma}^2$ ) each film were determined by a detailed of EXAFS data analysis. EXAFS spectrapresent both the increase of coordination number of the first Ta-O shell and a considerable reduction of the Ta-O bond distance with the increase of oxygen flow rate. -
Metal silicides는 Si 기반의microelectronic devices의 interconnect와 contact 물질 등에 사용하기 위하여 그 형성 mechanism과 전기적 특성에 대한 연구가 많이 이루어지고 있다. 이 중 Rare-earth(RE) silicides는 저온에서 silicides를 형성하고, n-type Si과 낮은 Schottky Barrier contact (~0.3 eV)을 이룬다. 또한 낮은 resistivity와 Si과의 작은 lattice mismatch, 그리고 epitaxial growth의 가능성, 높은 thermal stability 등의 장점을 갖고 있다. RE silicides 중 ytterbium silicide는 가장 낮은 electric work function을 갖고 있어 n-channel schottky barrier MOSFETs의 source/drain으로 주목받고 있다. 또한 Silicon 기반의 CMOSFETs의 성능 향상 한계로 인하여 germanium 기반의 소자에 대한 연구가 이루어져 왔다. Ge 기반 FETs 제작을 위해서는 낮은 source/drain series/contact resistances의 contact을 형성해야 한다. 본 연구에서는 저접촉 저항 contact material로서 ytterbium germanide의 가능성에 대해 고찰하고자 하였다. HRTEM과 EDS를 이용하여 ytterbium germanide의 미세구조 분석과 면저항 및 Schottky Barrier Heights 등의 전기적 특성 분석을 진행하였다. Low doped n-type Ge (100) wafer를 1%의 hydrofluoric (HF) acid solution에 세정하여 native oxide layer를 제거하고, 고진공에서 RF sputtering 법을 이용하여 ytterbium 30 nm를 먼저 증착하고, 그 위에 ytterbium의 oxidation을 방지하기 위한 capping layer로 100 nm 두께의 TiN을 증착하였다. 증착 후, rapid thermal anneal (RTA)을 이용하여 N2 분위기에서
$300{\sim}700^{\circ}C$ 에서 각각 1분간 열처리하여 ytterbium germanides를 형성하였다. Ytterbium germanide의 미세구조 분석은 transmission electron microscopy (JEM-2100F)을 이용하였다. 면 저항 측정을 위해 sulfuric acid와 hydrogen peroxide solution (H2SO4:H2O2=6:1)에서 strip을 진행하여 TiN과 unreacted Yb을 제거하였고, 4-point probe를 통하여 측정하였다. Yb germanides의 면저항은 열처리 온도 증가에 따라 감소하다 증가하는 경향을 보이고,$400{\sim}500^{\circ}C$ 에서 가장 작은 면저항을 나타내었다. HRTEM 분석 결과, deposition 과정에서 Yb과 Si의 intermixing이 일어나 amorphous layer가 존재하였고, 열처리 온도가 증가하면서 diffusion이 더 활발히 일어나 amorphous layer의 두께가 증가하였다.$350^{\circ}C$ 열처리 샘플에서 germanide/Ge interface에서 epitaxial 구조의 crystalline Yb germanide가 형성되었고, EDS 측정 및 diffraction pattern을 통하여 안정상인 YbGe2-X phase임을 확인하였다. 이러한 epitaxial growth는 면저항의 감소를 가져왔으며, 열처리 온도가 증가하면서 epitaxial layer가 증가하다가 고온에서 polycrystalline 구조의 Yb germanide가 형성되어 면저항의 증가를 가져왔다. Schottky Barrier Heights 측정 결과 또한 면저항 경향과 동일하게 열처리 증가에 따라 감소하다가 고온에서 다시 증가하였다. -
육각형 구조를 지닌 2차원의 물질인 그래핀은 높은 열전도도, 투과도, 이동도와 기계적, 화학적 안정도등 많은 장점을 가졌기 때문에 활발한 연구가 이루어지고 있다. 그래핀의 이런 많은 장점으로 그래핀을 투명전극으로 사용하기 위한 연구가 이루어지고 있지만, 투과도와 이동도를 극대화하기 위하여 단층 그래핀을 사용하게 되면 면저항과 그래핀의 탄화문제를 극복하기 힘들어지고, 면저항과 그래핀의 탄화문제를 위해 다층 그래핀을 사용하게 되면 투과도과 이동도가 떨어지는 단점을 가지게 된다. 즉, 그래핀을 알맞게 적용하기 위해서는 단층 혹은 다층 그래핀을 용도에 맞게 사용할 수 있도록 계획을 수립하는 것이 좋을 것이다. 본 연구에서는 높은 투과도와 이동도를 가진 단층 그래핀을 기존에 투명전극으로 널리 사용되고 있는 ITO와 P형 갈륨나이트라이드 발광다이오드 사이에 삽입층으로 사용함으로써 기존 투명전극으로 ITO를 사용한 발광다이오드보다 약 45%의 발광세기를 향상시킬 수 있었다. 또한, 소비전력을 고려한 발광세기는 약 33% 정도 향상되었다. 이런 발광효율향상을 가져올 수 있었던 이유는 ITO의 단점인 낮은 이동도를 그래핀의 높은 이동도로 보상해주며, 그래핀의 높은 투과도 때문에 그래핀을 한 층 더 삽입하였지만 투과도 면에서 감소가 없었기 때문이다.
-
최근 scaling down의 한계에 부딪힌 DRAM과 Flash Memory를 대체하기 위한 차세대 메모리(Next Generation Memory)에 대한 연구가 활발히 진행되고 있다. ITRS (international technology roadmap for semiconductors)에 따르면 PRAM (phase change RAM), RRAM (resistive RAM), STT-MRAM (spin transfer torque magnetic RAM) 등이 차세대 메모리로써 부상하고 있다. 그 중 RRAM은 간단한 구조로 인한 고집적화, 빠른 program/erase 속도 (100~10 ns), 낮은 동작 전압 등의 장점을 갖고 있어 다른 차세대 메모리 중에서도 높은 평가를 받고 있다 [1]. 현재 RRAM은 주로 금속-산화물계(Metal-Oxide) 저항 변화 물질을 기반으로 연구가 활발하게 진행되고 있다. 하지만 근본적으로 공정 과정에서 산소에 의한 오염으로 인해 수율이 낮은 문제를 갖고 있으며, Endurance 및 Retention 등의 신뢰성이 떨어지는 단점이 있다. 따라서, 본 연구진은 산소 오염에 의한 신뢰성 문제를 근본적으로 해결할 수 있는 다양한 금속-질화물(Metal-Nitride) 기반의 저항 변화 물질을 제안해 연구를 진행하고 있으며, 우수한 열적 안정성(
$ > 450^{\circ}C$ , 높은 종횡비, Cu 확산 방지 역할, 높은 공정 호환성 [2] 등의 장점을 가진 WN 박막을 저항 변화 물질로 사용하여 저항 변화 메모리를 구현하기 위한 연구를 진행하였다. WN 박막은 RF magnetron sputtering 방법을 사용하여 Ar/$N_2$ 가스를 20/30 sccm, 동작 압력 20 mTorr 조건에서 120 nm 의 두께로 증착하였고, E-beam Evaporation 방법을 통하여 Ti 상부 전극을 100 nm 증착하였다. I-V 실험결과, WN 기반의 RRAM은 양전압에서 SET 동작이 일어나며, 음전압에서 RESET 동작을 하는 bipolar 스위칭 특성을 보였으며, 읽기 전압 0.1 V에서 ~1 order의 저항비를 확보하였다. 신뢰성 분석 결과,$10^3$ 번의 Endurance 특성 및$10^5$ 초의 긴 Retention time을 확보할 수 있었다. 또한, 고저항 상태에서는 Space-charge-limited Conduction, 저저항 상태에서는 Ohmic Conduction의 전도 특성을 보임에 따라 저항 변화 메카니즘이 filamentary conduction model로 확인되었다 [3]. 본 연구에서 개발한 WN 기반의 RRAM은 우수한 저항 변화 특성과 함께 높은 재료적 안정성, 그리고 기존 반도체 공정 호환성이 매우 높은 강점을 갖고 있어 핵심적인 차세대 메모리가 될 것으로 기대된다. -
Song, Sang-U;Lee, Gyeong-Ju;No, Ji-Hyeong;Park, On-Jeon;Kim, Hwan-Seon;Ji, Min-U;Mun, Byeong-Mu 405
Ga-doped ZnO (GZO)는$300^{\circ}C$ 이상의 온도에서는 전기적으로 불안정하기 때문에 CIGS, CdTe, DSC와 같은 태양전지의 높은 공정온도 때문에 사용이 제한적이다. ZTO thin film은 Al2O3, SiO2, TiO2, ZnO tihin film과 비교하여 산소 및 수분에 대하여 투과성이 상대적으로 낮은 것으로 알려져 있다. 따라서 GZO single layer에 비하여 ZTO-GZO multi-layer를 구성하여 TCO를 제작하면, 높은 공정온도에서도 사용 가능하다. 실제 제작된 GZO single layer (300 nm)에서 비저항이$7.69{\times}10^{-4}{\Omega}{\cdot}cm$ 에서$500^{\circ}C$ 에서 열처리 후$7.76{\times}10^{-2}{\Omega}{\cdot}cm$ 으로 급격하게 상승한다. ZTO single layer (420 nm)는 as-grown에서는 측정 불가했지만,$400^{\circ}C$ 에서 열처리 후$3.52{\times}10^{-1}{\Omega}{\cdot}cm$ $500^{\circ}C$ 에서 열처리 후$4.10{\times}10^{-1}{\Omega}{\cdot}cm$ 으로 열처리에 따른 큰 변화가 없다. 또한 ZTO-GZO multi-layer (720 nm)의 경우 비저항이$2.11{\times}10^{-3}{\Omega}{\cdot}cm$ 에서$500^{\circ}C$ 에서 열처리 후$3.67{\times}10^{-3}{\Omega}{\cdot}cm$ 으로 GZO에 비하여 상대적으로 변화폭이 작다. 또한 ZTO의 두께에 따른 영향을 확인하기 위하여 ZTO를 2 scan, 4 scan, 6 scan 공정 진행 및$500^{\circ}C$ 에서 열처리 후 ZTO, ZTO-GZO thin film의 비저항을 측정하였다. ZTO의 경우$3.34{\times}10^{-1}{\Omega}{\cdot}cm$ (2 scan),$3.62{\times}10^{-1}{\Omega}{\cdot}cm$ (4 scan),$4.1{\times}10^{-1}{\Omega}{\cdot}cm$ (6 scan)으로 큰 차이가 없으며, ZTO-GZO에서도$3.73{\times}10^{-3}{\Omega}{\cdot}cm$ (2 scan),$3.42{\times}10^{-3}{\Omega}{\cdot}cm$ (4 scan),$3.67{\times}10^{-3}{\Omega}{\cdot}cm$ (6 scan)으로 큰 차이가 없음을 확인하였다. 염료감응 태양전지에 적용하여 기존에 사용되는 FTO대신에 ZTO-GZO를 사용하며, 가격적 측면, 성능적 측면에서 개선 가능할 것으로 생각된다. -
Thin transparent conductive oxides (TCOs) having a thickness lower than 30 nm have been widely usedin touch screen panels. However the resistivity of the TCO films significantly increases as the thickness decreases, due to the poor crystallinity at very thin thickness of TCO films. In this study, we have investigated the effect of electron beam irradiation during the sputtering on the electrical properties and transmittance of 30 nm-thick ITO films, which have a different SnO2 atomic percent, prepared by magnetron sputtering at room temperature. Fig. 1 shows the variation of resistivity of ITO films with a different SnO2 atomic percent for both the normal ITO films and electron beam irradiated ITO films. As shows in Fig. 1, the electron beam irradiation to the ITO (SnO2 weight percent 10%) films during the sputtering resulted in a significantly decreased in resistivity from
$7.4{\times}10^{-4}{\Omega}-cm$ to$1.5{\times}10^{-4}{\Omega}-cm$ and it also increased in transmittance from 84% to 88% at a wavelength of 550 nm. These results can be attributed to energy transfer from electron to ad-atoms of ITO films during the electron beam irradiated sputtering, which can enhance the crystallinity of 30 nm-thick ITO films. It is strongly indicate that electron beam irradiation can greatly improve the electrical properties and transmittance of very thin ITO films for touch screen panels, flexible displays and solar cells. -
최근, 집적 소자의 미세화에 따라 늘어난 배선 신호 지연 및 상호 간섭, 그리고 소비 전력의 증가는 초고집적 소자 성능 개선에 한계를 가져온다. 이에 따라 기존의 알루미늄(Al)/실리콘 절연 산화막은 구리(Cu)/저유전율 박막(low-k)으로 대체되고 있고, 이는 소자 성능 개선에 큰 영향을 미친다. 그러나 Cu는 Si과 low-k 내부로 확산이 빠르게 일어나 소자의 비저항을 높이고, 누설 전류를 일으키는 등 소자의 성능을 저하시킬 수 있는 문제점을 가지고 있다. 이러한 Cu의 확산을 막기 위하여 Ta, TaN 등과 같은 확산방지막에 대한 연구가 활발히 진행되어 왔으나, 배선 공정의 집적화와 low-k 대체에 따른 공정 및 신뢰성 문제로 인해 새로운 확산방지막의 개발이 필요하게 되었다. 이를 위해, 본 연구에서는 Cu-V 합금을 사용하여 low-k 기판 위에 확산방지막을 자가 형성 시키는 공정에 대한 연구를 진행하였다. 다양한 low-k 기판에서 열처리조건에 따른 Cu-V 합금의 특성을 확인하기 위해 4-point probe를 통한 비저항 평가와 XRD (X-ray diffraction) 분석이 이뤄졌다. 또한, TEM (transmission electron microscope)을 이용하여
$300^{\circ}C$ 에서 1 시간 동안 열처리를 거쳐 자가형성된 V-based interlayer가 low-k와 Cu의 계면에서 균일하게 형성된 것을 확인하였다. 형성된 V-based interlayer의 barrier 특성을 평가하고자 Cu-V합금/low-k/Si 구조와 Cu/low-k/Si 구조의 leakage current를 비교 분석하였다. Cu/low-k/Si 구조는 비교적 낮은 온도에서 leakage current가 급격히 증가하는 양상을 보였으나, Cu-V 합금/low-k/Si 구조는$550^{\circ}C$ 의 thermal stress 에서도 leakage current의 변화가 거의 없었다. 이러한 결과를 바탕으로 열처리를 통해 자가형성된 V-based interlayer의 Cu/low-k 간 확산방지막으로서 가능성을 검증하였다. -
Next-generation nonvolatile memory (NVM) has attracted increasing attention about emerging NVMs such as ferroelectric random access memory, phase-change random access memory, magnetic random access memory and resistance random access memory (RRAM). Previous studies have demonstrated that RRAM is promising because of its excellent properties, including simple structure, high speed and high density integration. Many research groups have reported a lot of metal oxides as resistive materials like TiO2, NiO, SrTiO3 and ZnO [1]. Among them, the ZnO-based film is one of the most promising materials for RRAM because of its good switching characteristics, reliability and high transparency [2]. However, in many studies about ZnO-based RRAMs, there was a problem to get lower current level for reducing the operating power dissipation and improving the device reliability such an endurance and an retention time of memory devices. Thus in this paper, we investigated that highly reproducible bipolar resistive switching characteristics of W doped ZnO RRAM device and it showed low resistive switching current level and large ON/OFF ratio. This may be caused by the interdiffusion of the W atoms in the ZnO film, whch serves as dopants, and leakage current would rise resulting in the lowering of current level [3]. In this work, a ZnO film and W doped ZnO film were fabricated on a Si substrate using RF magnetron sputtering from ZnO and W targets at room temperature with Ar gas ambient, and compared their current levels. Compared with the conventional ZnO-based RRAM, the W doped ZnO ReRAM device shows the reduction of reset current from ~
$10^{-6}$ A to ~$10^{-9}$ A and large ON/OFF ratio of ~$10^3$ along with self-rectifying characteristic as shown in Fig. 1. In addition, we observed good endurance of$10^3$ times and retention time of$10^4$ s in the W doped ZnO ReRAM device. With this advantageous characteristics, W doped ZnO thin film device is a promising candidates for CMOS compatible and high-density RRAM devices. -
최근 UV LED는 생화학 및 의료 산업에서 많은 각광을 받고 있다. 특히, 360nm 이하의 파장대를 갖는 UV LED는 치료 기술, 센서, 물이나 공기 등의 정화와 같은 목적으로 특별한 관심이 쏠리고 있다 [1]. 이러한 지속적인 연구를 통하여 현재까지 UV LED는 거대한 성장을 이루어 왔다. 하지만 이러한 노력에도 불구하고, 360 nm 이하의 UV LED는 여전히 오믹 접촉과 전류 분산이 원활하지 못하다는 문제점을 가지고 있다. 이것은 UV LED의 외부 양자 효율을 감소시키고, 더 나아가 극도로 낮은 광 추출 효율을 초래한다. 최근 이러한 문제를 해결하고자, 투명 전도성 산화물(TCO)을 금속 전극과 p-AlGaN 사이에 삽입해주는데, 현재 가장 널리 사용되는 TCO 물질은 ITO 이다 [2]. 하지만 ITO 물질은 상대적으로 작은 밴드갭(3.3~4.3 eV)과 단파장 빛이 가지는 큰 에너지로 인하여 deep-UV 영역에서는 빛이 투과하지 못하고 대부분 흡수된다 [3]. 따라서 본 연구에서는 기존의 박막형 ITO 투명 전극에 비해 투과도 손실을 최소화할 수 있는 mesh, grid 기반의 투명전극을 연구하였다. Fig. 1과 같이
$5{\mu}m$ ,$10{\mu}m$ ,$20{\mu}m$ 간격으로 이루어진 mesh, grid 구조의 투명전극을 구현하여 투과도 손실을 최소화하면서 우수한 전기적 특성을 확보하기 위한 구조 최적화 연구를 진행하였다. 본 연구를 위해 mesh, grid 구조의 ITO 전극 패턴을 photolitho 공정으로 형성하였으며, e-beam 증착법으로 60 nm 두께의 ITO 전극을 형성 후 질소 분위기/$650^{\circ}$ 에서 30초 동안 RTA 공정을 진행하였다. Fig. 1에서 볼 수 있듯이 mesh, grid의 간격이 증가할수록 투명 전극이 차지하는 면적이 감소하여 투과도는 향상되는 반면, 투명 전극과 p-GaN과의 접촉 면적 또한 감소하므로 오믹 특성이 저하된다. 따라서 투과도 손실을 최소화하면서 우수한 전기적 특성을 확보하기 위해 mesh는$20{\mu}m$ , grid는$10{\mu}m$ 간격의 구조로 각각 최적화하였다. 그 결과 박막 기반의 ITO 투명전극 대비 최대 약 10% 향상된 투과도를 확보하였으며, I-V Curve 결과를 통하여 p-GaN 기판과 mesh 구조의 ITO 전극 사이에 박막 기반의 투명 전극과 비슷한 수준인$0.35{\mu}A(@5V)$ 의 전기적 특성을 확보하였다. 결과적으로 mesh, grid 기반 투명전극의 구조 최적화를 통하여 p-GaN과 원활한 오믹 접촉을 형성하는 동시에 기존 박막형 ITO 투명 전극 구조보다 높은 투과도를 확보할 수 있었다. -
대면적 터치패널은 현재까지 저항막 방식, 적외선, Camera 방식을 주로 사용하고 있다. 저항막 방식의 Sensitivity, 높은 가격, 적외선 방식의 경우 빛의 간섭에 의한 오동작이 일어날 수 있는 문제를 가지고 있다. 최근의 Mobile용 터치스크린은 정전용량 방식의 터치기술 채택으로 저항막, 적외선, Camera 방식의 모든 단점을 해소할 수 있으나 터치 스크린 면적이 커지게 되면서 요구저항을 맞출 수 없는 문제로 현재 크기의 제한적이다. 본 연구에서는 완전일체형 터치(G2 Touch Hybrid) 방식의 ITO 터치필름을 사용하지 않고, 강화유리 기판을 사용하여 저(低)저항, 고(高)투과, 대형화(15 Inch), 경량화를 고려한 Zero-gap ITO를 코팅한 커버 유리용 투명전극에 대하여 전기적, 광학적, 구조적, 표면적 특성을 분석하였다. ITO 박막의 두께를 최소화하여 패턴 인비저블의 특성을 갖는 것이 필요로 하는데, 이는 ITO박막 패턴후에 패턴이 보이지 않게 하기 위해서이며, 이러한 시장의 요구를 충족하기 위해 RF/DC 고자력 Magnetron Sputtering System을 사용하여 면저항
$80{\Omega}$ /${\Box}$ , 표면특성 Rp-v 2.1 nm, 최고 광투과율 90.5%@550 nm, 반사율 차이 0.5 이하의 특성을 확인하였다. 또한, 저항 경시변화를 줄이기 위해서 Sheath heater를 이용한 진공코팅 중 발생되는 BM Ink out-gassing을 줄여 out-gassing에 의한 박막 손상을 줄일 수 있었으며 진공 성막중 결정성을 갖는 ITO 막을 형성시킬 수 있었다. -
InGaAlAs/InP은
$1.3{\sim}1.55{\mu}m$ 레이저 다이오드 응용을 위한 InGaAsP/InP를 대체하기 위한 물질로 많은 관심을 받아왔다. 디지털 합금 InGaAlAs 다중양자우물(multiple quantum wells: MQWs) 시료는 MBE (molecular beam epitaxy) 장비를 이용하여 n-InP 기판 위에 성장하였다. 양자우물과 장벽은 각각 (InGaAs)0.8(InAlAs)0.2와 (InGaAs)0.4(InAlAs)0.6 SPSs (short-period superlattices)로$510^{\circ}C$ 에서 성장하였다. 발광특성을 향상시키기 위하여 질소분위기에서$700^{\circ}C$ $750^{\circ}C$ 또는$800^{\circ}C$ 에서 30초간 열처리(rapid thermal annealing: RTA)하였다. RTA 온도에 따른 디지털 합금 InGaAlAs MQWs의 발광특성을 분석하기 위해 PL (photoluminescence)과 TRPL(time-resolved PL)을 이용하였다. RTA 온도에 따른 InGaAlAs MQWs 시료의 발광 메카니즘 및 운반자 동력학을 연구하기 위하여 발광파장 및 온도에 따른 TRPL을 측정하였다. 저온(10 K)에서 PL 피크는 RTA 온도를$700^{\circ}C$ 에서$750^{\circ}C$ 로 증가하였을 때 1,242 nm에서 1,245 nm로 장파장 영역으로 이동하였다가$800^{\circ}C$ 에서 열처리하였을 때 단파장 영역으로 이동하여 1,239 nm에서 나타났다. 또한 PL 세기는 RTA 온도를 증가함에 따라 증가함을 보이다가 RTA 온도를$800^{\circ}C$ 로 증가하였을 때 PL 세기는 감소하였다. 발광소자 개발을 위한 InAlGaAs MQWs 시료의 최적의 열처리 조건을 이러한 PL과 TRPL 결과로부터 결정할 수 있다. -
We have investigated the optical and electrical properties of the CIGS thin film solar cells by the electroreflectance (ER), photoreflectance (PR), photoluminescence (PL), and photocurrent (PC) spectroscopies at room temperature. The ER spectrum had two narrow signal regions and one broad signal region. We measured PL and PC to confirm the signals at low energy region (1.02~1.35 eV), so these signals are related to the CIGS thin film, and the high energy region (2.10~2.52 eV) is related to the CdS bandgap energy. The broad signal region (1.35~2.09 eV) is due to the internal electric field by the p-n junction from the comparison between PR and ER spectra, and we calculated the internal electric field by the p-n junction. In the high efficiency solar cell, the CdS signal of ER spectrum is narrower than the lower efficiency solar cells.
-
최근 질화물계 발광다이오드(light emitting diode, LED) 소자는 핸드폰, 스마트 TV 등의 디스플레이 분야와 실내외조명, 감성조명, 특수조명 등의 조명분야에 그 응용분야가 급속히 확대되고 있다. 이러한 LED 소자는 에너지 절감과 친환경에 장점을 가지고, 가까운 미래에 조명시장을 대체할 것으로 예상된다. 이를 만족하기 위해서는 현재보다 더 높은 효율을 갖는 LED 개발이 요구되어지고 있는 상황이다. 일반적으로 질화물계 LED 소자의 효율은 내부양자 효율, 광추출 효율 등으로 나타낼 수 있다. 내부 양자효율은 성장된 결정의 질의 개선 및 다층의 이종접합 또는 다중양자우물 구조와 같이 활성층의 캐리어 농도를 높이는 접합구조로 설계되어 80% 이상의 효율을 나타낸다. 그러나 광추출 효율은 이에 미치지 못하고 있다. 이는 반도체 재료의 높은 굴절률로 인하여 빛이 외부로 탈출하지 못하고 내부로 반사되거나 물질 안에서 흡수가 일어나기 때문이다. 따라서 이러한 문제를 해결하기 위해 많은 연구 그룹들은, 표면에 패턴 형성하여 빛의 전반사를 줄여 그 효율을 올리는 연구결과를 보고하고 있다. 대표적인 방법으로는 wet etching, 전자빔 리소그라피, 나노임프린트 리소그라피, 레이저 홀로 리그라피, 나노스피어 리소그라피 등이 사용되고 있다. 이 중, 나노스피어 리소그라피는 폴리스틸렌 혹은 실리카 등과 같은 나노 크기의 bead를 사용하여 반도체 기판 표면에 단일층으로 고르게 코팅한 마스크로 사용하여 패턴을 주는 방법이다. 이 방법의 장점으로는 대면적에 균일한 패턴을 형성할 수 있고, 공정비용이 저렴하여 양산하기에 적합하다는 특징이 있다. 나노스피어 리소그라피를 통해서 표면에 생성된 패턴 모양의 각도에 따라서, 식각되는 깊이에 변화에 따라 실험한 결과들은 있지만, 아직까지 크기가 다른 나노입자들의 마스크 이용하여 형성된 패턴 밀도에 따른 광 추출 효과에 대한 연구가 많이 미흡하다. 따라서 본 연구에서는 다양한 크기의 실리카로 패턴을 형성시켜 패턴 밀도에 대한 광추출 효율의 효과에 대해서 조사하였다. 실험 방법으론, DI, 에탄올, TEOS, 암모니아의 순서대로 그 혼합 비율을 조정하여 100, 250, 500 nm 크기의 나노입자를 합성하였고 이것을 질화물계 LED의 표면 위에 단일층으로 스핀코팅 방법을 통해 코팅을 하였다. 그 후 ICP-RIE 방법으로 필라 패턴을 형성하였는데, 그 결과 100 nm SiO2 입자를 이용한 경우
$4.5{\times}10^9$ /$cm^2$ , 250 nm의 경우$1.4{\times}10^9$ /$cm^2$ , 500 nm의 경우$0.4{\times}10^9$ /$cm^2$ 의 패턴의 밀도를 보여주었다(Fig. 1). 패턴의 밀도에 따라 전계광학적 특성을 확인하여 보았는데, 그 결과는 평평한 표면과 비교하였을 때 100 nm에서 383%, 250 nm에서는 320%, 500 nm에서는 244% 상승하는 결과를 보여주었다(Fig. 2). 이번 실험을 통해서 LED의 광추출 효율은 표면 모양과 깊이 뿐 아니라 밀도가 커질수록 그 효율이 올라간다는 사실을 알 수 있었다. -
현재, 인듐 주석 산화물(indium tin oxide, ITO) 박막은 가시영역에서 전기적 특성 및 광학적 특성이 우수하기 때문에 평면 디스플레이(flat displays), 박막 트랜지스터(thin film transistors), 태양전지(solar cells) 등을 포함한 광소자에 투명전도성산화물(transparent conducting oxide, TCO) 전극으로 가장 일반적으로 사용되고 있다. 하지만, 이 물질은 밴드갭이 3.4 eV로 다소 작아 다양한 분야의 의료기기, 환경 보호에 응용 가능한 자외선 영역에서 상당히 많은 양의 광흡수가 발생하는 치명적인 문제점을 가지고 있다. 또한, 인듐(Indium)의 급속한 소비는 인듐의 매장량의 한계로 인해 가격을 상승시키는 주요한 원인으로 작용하고 있다. 한편, InGaN 기반의 자외선 발광다이오드 분야에서는 팔라듐(Pd) 기반의 반투명 전극과 은(Ag) 기반의 반사전극을 주로 사용하고 있지만, 낮은 투과도와 낮은 굴절률을 때문에 여전히 자외선 발광다이오드의 광추출 효율(extraction efficiency)에 문제점을 가지고 있다. 따라서 자외선 발광다이오드의 외부양자 효율(external quantum efficiency, EQE)을 높이기 위해 높은 투과도와 GaN와 유사한 굴절률을 가지는 p-형 오믹 전극을 개발해야 한다. 본 연구에서는 초박막의 ITO (16 nm)/Ag (7 nm)/ITO (16 nm) 다층 구조를 갖는 투명전도성 전극을 제작한 후, 열처리 온도에 따른 전기, 광학적 특성에 향상에 대해서 조사하였다. 사용된 산화물/금속/산화물 전극의 구조는 유기발광 다이오드(organic light emitting diode, OLED), 태양전지 등에 많이 사용되는 안정적인 투명 전극을 자외선 LED 소자에 처음 적용하여, ITO의 전체 사용량은 줄이고, ITO 사이에 금속을 삽임함으로써 금속에 의한 전기적 특성 향상과 플라즈몬 효과에 의한 투과도를 높일 수 있는 장점을 가지고 있다. 실험 결과로는,
$400^{\circ}C$ 에서 열처리한 ITO/Ag/ITO 다층 구조는 365 nm에서 84%의 광학적 특성과 9.644 omh/sq의 전기적 특성을 확인하였다. 실험 결과로부터 좀 더 최적화를 수행하면, ITO/Ag/ITO 다층 구조는 자외선 발광다이오드의 투명전도성 전극으로 사용될 수 있을 것이라 기대된다. -
Seo, Dong Hyeok;Kang, Sung Min;Lee, Dong Wha;Ahn, Du Jin;Park, Hee Bin;Ahn, Youn Jun;Kim, Min Soo;Kim, Yu Kyeong;Lee, Ho Jae;Song, Dong Hun;Kim, Jae Hee;Bae, Jin Su;Cho, Hoon Young 420
We investigated oxygen plasma effect on defect states near the interface of AlGaN/GaN High Electron Mobility Transistor (HEMT) structure grown on a silicon substrate. After the plasma treatment, electrical properties were evaluated using a frequency dependant Capacitance-Voltage (C-V) and a temperature dependant C-V measurements, and a deep level transient spectroscopy (DLTS) method to study the change of defect densities. In the depth profile resulted from the temperature dependant C-V, a sudden decrease in the carrier concentration for two-dimensional electron gas (2DEG) nearby 250 K was observed. In C-V measurement, the interface states were improved in case of the oxygen-plasma treated samples, whereas the interface was degraded in case of the nitrogen-plasma treated sample. In the DLTS measurement, it was observed the two kinds of defects well known in AlGaN/GaN structure grown on sapphire substrate, which have the activation energies of 0.15 eV, 0.25 eV below the conduction band. We speculate that this defect state in AlGaN/GaN on the silicon substrate is caused from the decrease in 2DEG's carrier concentrations. We compared the various DLTS signals with filling pulse times to identify the characteristics of the newly found defect. In the filling pulse time range under the 80 us, the activation energies changed as the potential barrier model. On the other hand, in the filling pulse time range above the 80 us, the activation energies changed as the extended potential model. Therefore, we suggest that the found defect in the AlGaN/GaN/Si structure could be the extended defect related with AlGa/N/GaN interface states. -
Choi, Hyun Yul;Seo, Dong Hyeok;Kwak, Dong Wook;Kim, Min Soo;Kim, Yu Kyeong;Lee, Ho Jae;Song, Dong Hun;Kim, Jae Hee;Lee, Jae Sun;Lee, Sung Ho;Yoon, Deuk Gong;Bae, Jin Sun;Cho, Hoon Young 421
Recently, ZnO has received attentionbecause of its applications in optoelectronics and spintronics. In order to investigate deep level defects in ZnO, we used N-doped ZnO with various of the N-doping concentration. which are reference samples (undoped ZnO), 27%, 49%, and 88%-doped ZnO. Photoinduced current transient spectroscopy (PICTS) measurement was carried out to find deep level traps in high resistive ZnO:N. In reference ZnO sample, a deep trap was found to located at 0.31 (as denoted as the CO trap) eV below conduction band edge. And the CN1 and CN2 traps were located at 0.09, at 0.17 eV below conduction band edge, respectively. In the case of both annealed samples at 200 and$300^{\circ}C$ , the defect density of the CO trap increases and then decreases with an increase of N-doping concentration. On the other hands, the density of CN traps has little change according to an increase of N-doping concentration in the annealed sample at$300^{\circ}C$ . According to the result of PICTS measurement for different N-doping concentration, we suggest that the CO trap could be controled by N-doping and the CN traps be stabilized by thermal annealing at$300^{\circ}C$ . -
Byeon, Jun-Seok;Hwang, Sun-Yong;Kim, Tae-Jung;Kim, Yeong-Dong;Aspnes, D.E.;Chang, Y.C.;Yun, Jae-Jin;Lee, Eun-Hye;Bae, Min-Hwan;Song, Jin-Dong 423
3~5 족 반도체 물질인 phosphorus 화합물 중 대표적인 InAlP 삼종화합물은 작은 굴절률, 큰 밴드갭, GaAs와 lattice 일치 때문에 큰 주목을 받고 있고, p-type high electron mobility transistors(p-HEMT), laser diodes 등의 고속 전자소자 및 광전 소자에 응용이 가능한 매우 중요한 물질이다. 최적의 소자 응용기술을 위해서는, 정확한 광물성 연구가 수행되어야 하지만 InxAl1-xP 화합물에 대한 유전율 함수 및 전자전이점 등의 연구는 미흡한 실정이다. 이에 본 연구에서는 1.5~6.0 eV 에너지 영역에서 각기 다른 In 조성비를 갖는 InxAl1-xP 화합물의 가유전율 함수${\varepsilon}={\varepsilon}_1+i{\varepsilon}_2$ 와 전자전이점 데이터를 보고한다. GaAs 기판 위에 molecular beam epitaxy (MBE)를 이용하여 InxAl1-xP (x=0.000, 0.186, 0.310, 0.475, 0.715, 0.831, 1.000) 박막을 성장하였고 타원편광분석기를 이용하여 유전율 함수를 측정하였다. 또한 실시간 화학적 에칭을 통하여 시료 표면에 자연산화막을 제거함으로써 순수한 InAlP의 유전율 함수를 측정할 수 있었고, 측정된 유전율 함수를 이차미분하여 In 조성비에 따른 전자전이점을 얻을 수 있었다. 얻어진 전자전이점 값을 이용하여 linear augmented Slater-type orbital method (LASTO) 를 통해 이론적 전자 밴드 구조 계산을 하였고, 이를 바탕으로$E_0$ ,$E_1$ ,$E_2$ 전이점 지역의 여러 전자전이점($E_1$ ,$E_1+{\Delta}_1$ ,$E_0'$ ,$E_0'+{\Delta}_0'$ ,$E_2$ ,$E_2'$ )의 특성을 정의할 수 있었고,$E_0'$ 과$E_2$ 전이점의 에너지 값이 In 조성비가 증가함에 따라 서로 교차함을 발견할 수 있었다. 타원 편광 분석법을 이용한 유전율 함수 및 전자전이점 연구는 InAlP의 광학적 데이터베이스를 확보하는 성과와 더불어 새로운 디바이스 기술 및 광통신 산업에도 유용한 정보가 될 것이다. -
Ce3+, Sm3+, Eu3+, Tb3+ 등 희토류를 도핑한 여러 종류의 형광체는 백색 LED (white light-emitting diode), 전계방출표시소자(field emission display), 플라즈마디스플레이패널(plasma display panel), 약물 운송(drug delivery) 등 다양한 분야에서 응용되고 있다. 최근에는 졸-겔 방법(sol-gel method)을 이용하여Y2SiO5, Y3-XGdxAl5O12, SrAl2O4 등 여러 종류의 호스트 물질을 합성하여 형광체의 특성을 분석하는 연구가 활발히 진행되고 있다. 이러한 졸-겔 방법은 비교적 낮은 온도에서 간단한 공정으로 좋은 균질성과 높은 생산성을 갖도록 형광체를 제작할 수 있는 장점을 가지고 있다. 이에 본 연구에서는 졸-겔 방법을 이용하여 BaGd2TiO13구조를 제작하였고, 이러한 구조적, 광학적 특성을 분석하기 위하여 열분석기(thermal analyzer), 전계방출형주사전자현미경(field emission scanning electron microscopy), 투과전자현미경(field emission transmission electron microscopy)을 이용하였다. 이러한 졸-겔 방법을 이용하여 제작한 BaGd2TiO13 구조의 형광체 적용 연구를 통한 디스플레이 및 백색 LED 응용에 유용할 것으로 기대된다.
-
IIIN계 물질 기반의 광 반도체는 직접 천이형 넓은 밴드갭 구조를 갖고 있기 때문에 적외선부터 가시광선 및 자외선까지를 포함한 폭 넓은 발광파장 조절이 가능하여 조명 및 디스플레이 관련 차세대 광원으로 많은 관심을 받고 있다. 일반적인 청색 및 녹색 발광영역의 활성층으로는 InGaN/GaN 다중양자우물구조를 사용하고 있으나, 장파장의 녹색 발광을 얻기 위해서는 인듐의 함유량이 증가하여야 한다. 하지만, 인듐의 함유량이 증가함에 따라서 InGaN/GaN 다중양자우물 구조내에서 인듐의 편석현상의 발생이 용이하게 되어 계면 특성을 저하할 뿐 아니라, 비발광 센터를 증가하여 발광 효율을 급격히 감소시키는 원인이 되고 있다. 또한, InGaN과 GaN의 큰 성장온도의 차이에 따라 800도 부근의 저온 영역에서 성장된 InGaN층이 1,000도 이상의 고온 영역에서 GaN층이 성장시 InGaN층의 열화 현상이 급격히 발생되고 있다. 이를 억제하기 위해서 금속유기화학증착법의 성장 변수 최적화, 응력제어, 도핑 등의 편석 억제기술 및 보호층이 사용되고 있다. 본 연구에서는 인듐함유량이 증가된 녹색 InGaN/GaN 다중양자우물구조에서 InGaN 우물층 상하부에 도입된 GaN 보호층에 따라 발생되는 양자우물구조의 광학 및 결정학적 특성 분석을 통해 GaN 보호층의 역할을 분석하고자 한다. 본 연구에서는 금속유기화학증착장치를 이용하여 사파이어 기판위에 GaN 템플릿을 성장하고, n-형 GaN, InGaN/GaN 다중양자우물구조 및 p-형 층을 성장하였다. 앞선 언급하였듯이, InGaN/GaN 다중양자우물구조내에 GaN 보호층의 역할을 규명하기 위하여 샘플 A의 경우는 보호층이 전혀 없는 구조이고, 샘플 B의 경우는 InGaN 우물층의 상단부에만, 샘플 C의 경우에는 우물층 상부 및 하단부 모두에 약 2.0 nm 두께의 GaN 보호층을 형성하였다. 이 보호층의 유무에 따른 다중양자우물구조의 계면 특성을 확인하기 위한 X-선 회절을 이용하였고, 광학적 특성을 확인하고 상온 포토루미네선스법을 이용하여 녹색 발광 파장의 변화 및 발광세기를 관찰하였다. 우선적으로, 상온 포토루미네선스법을 이용하여 각 샘플의 발광특성을 확인한 바 상하부 모두에 GaN 보호층이 존재하는 샘플 C의 경우 약 510 nm 부근에서 발광이 관찰되었지만, 상단부에 GaN 보호층이 존재하는 샘플 B는 약 495 nm영역에 발광이 확인되었다. 특히, 전혀 보호층이 존재하지 않는 샘플 A의 경우 약 440 nm에서 발광하는 현상을 관찰하였다. 이는 우물층 상단부 및 하단부에 존재하는 GaN 보호층이 In의 확산을 억제하는 것으로 판단된다. 또한, 발광파장 및 세기를 확인한 바, 보호층의 존재하지 않을수록 단파장화가 발생함에도 불구하고 발광세기는 급격히 약해지는 것으로 보아 계면특성이 저하되어 비발광센터가 증가되는 것으로 판단된다. 이를 구조적으로 확인하기 위하여 X-선 회절법을 통한
${\omega}$ /$2{\Theta}$ 스캔의 결과는 In의 0차 피크가 GaN 보호층이 없을 경우 GaN의 피크 방향으로 이동하는 것으로 보아 GaN 보호층은 우물층 성장 후 GaN 장벽층을 성장하기 위해 온도를 증가시키는 과정에서 In의 확산되는 것으로 판단된다. 또한, 하부 GaN 보호층의 경우 GaN 장벽층 성장 후 온도를 감소시키는 과정에서 성장되므로, 우물층으로부터 In의 탈착현상이 아닌 장벽층과의 상호 확산으로 판단된다. 또한, 계면특성을 확인하기 위해 InGaN의 X-선 위성 피크를 확인한 바 샘플 A의 경우 매우 넓고 약한 피크가 관찰된 반면, 보호층이 존재하는 샘플 B와 C의 경우 강하고 얇은 피크가 확인되었다. 이는 GaN 보호층의 도입으로 인해 계면특성이 향상되는 것으로 판단된다. 따라서, 우리는 InGaN/GaN 다중양자우물구조에서 GaN 보호층은 상부의 열화 억제 뿐아니라, 하부의 장벽층 및 우물층 사이의 상호확산을 억제하는 GaN 보호층의 도입을 통하여 우수한 계면 특성 및 비발광센터의 억제를 얻을 수 있을 것으로 생각되며, 이는 향후 GaN계 발광다이오드의 전계 발광특성을 증가하여 우수한 발광소자를 개발할 수 있을 것으로 기대된다. -
잉크젯 프린팅 방법은 전도성 고분자 물질을 잉크 재료로 사용하여 전자 소자의 전극 패턴을 형성할 수 있으며 비접촉, drop-on-demand 공정으로 현재 많은 관심을 받고 있는 연구 분야이다. Ag는
$1.59{\mu}m{\cdot}cm$ 의 저항을 나타내는 가장 낮은 저항을 가지고 있는 물질 중의 하나이며, Ag 전도성 잉크는 고전도 패턴의 형성을 위해 현재 많이 사용되고 있는 물질이다. 본 연구에서는 a-IGZO 박막을 채널층으로 사용하여 Ag S/D 전극을 잉크젯 프린팅 방법으로 형성하여 산화물 트랜지스터를 제작하였다. a-IGZO 채널층은$SiO_2$ 가 증착된 Si 기판위에 스퍼터링 방식으로 80 nm의 두께로 형성하였다. Ag S/D 전극은 10 pl의 카트리지가 장착된 Fujifilm Dimatix DMP 2800 장비를 사용하여 형성하였으며, 프린팅 후$130^{\circ}C$ 로 20분간 열처리를 하였다. Fig. 1은 잉크젯 프린팅된 Ag S/D을 가진 a-IGZO의 트랜지스터 특성을 보여준다. 채널 W/L가 90/$50{\mu}m$ 구간에서 드레인 전압이 50 V 일때, 전계효과이동도$0.27cm^2$ /Vs, 문턱전압 6.03 V, 문턱전압 아래의 기울기 값은 2.06 V/dec를 얻었다. 이와 같은 특성은 잉크젯 프린팅 방법으로 Ag S/D 전극을 형성함으로써 산화물 TFT에서 잉크젯 프린팅 방식의 다양한 응용 가능성을 확인할 수 있었다. -
Red color light emitting diodes were fabricated using CdSe/CdS/ZnS quantum dots (QDs). Patterned indium-tin-oxide (ITO) was used as a transparent anode, and oxygen plasma treatment on a surface of ITO was performed. Poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) was spin coated on the ITO surface as a hole injection layer. Then CdSe/CdS/ZnS QDs was spin coated and thermal treatment was performed for the cross-linking of QDs. TiO2 was coated on the QDs as an electron transport layer, and 150 nm of aluminum cathode was formed using thermal evaporator and shadow mask. The device shows a pure red color emission at 606 nm wavelength. Device characteristics will be presented in detail.
-
We present a systematic study of the electrical, optical and electromechanical properties of flexible graphene/metal grid hybrid transparent conductive electrodes using 4-point prove method, ultraviolet/visible spectrometer and inner/outer bending test system. The hybrid electrodes were synthesized by depositing a silver grid on a graphene surface. The sheet resistance of hybrid electrodes was as low as 30 Ω/square, while the transmittance was 90%. The electromechanical properties as a function of the change of bending radius were evaluated by measuring the change in resistance. The result will be presented in detail. We believe that these results will provide useful information for the flexible optoelectronic devices based on graphene transparent electrodes.
-
Field effect transistor based on semiconductor nanowires has been attracting lots of concerns and studies of scientists because of its different characteristic comparing with other morphology like thin film. Nowadays, graphene is introducing a great promise as an active layer in field effect transistor due to its unique electronic and optoelectronic properties. Thus, a mix structure between etched graphene and semiconductor nanowires is believed to expose novel electrical characteristics. In this study, CuO nanowires (20~80 nm in diameter and
$1{\sim}10{\mu}m$ length) were grown during oxidizing Cu foil at$450^{\circ}C$ for 24 h. Besides, 3-layersetched graphene was deposited on Cu foil at$1,000^{\circ}C$ using a feedstock of$CH_4$ /$H_2$ mixed gas in CVD system. A structure of Ni/Au electrode + CuO nanowires + etched graphene was fabricated, afterward. Finally, field effect properties of the device was revealed and compared with individual devices of just nanowires and just graphene. -
Flexible Organic Light Emitting Diode (OLED) displays are required for future devices. It is possible that plastic substrates are instead of glass substrates. But the plastic substrates are permeable to moisture and oxygen. This weak point can cause the degradation of fabricated flexible devices; therefore, encapsulation process for flexible substrate is needed to protect organic devices from moisture and oxygen. Y.G. Lee et al.(2009) [1] reported organic and inorganic multilayer structure as an encapsulation barrier for enhanced reliability and life-time.Flowable Oxide process is a low-temperature process which shows the excellent gap-fill characteristics and high deposition rate. Besides, planarization is expected by covering dust smoothly on the substrate surface. So, in this research, Bi-layer structured is used for encapsulation: Flowable Oxide Thin film by PECVD process and Al2O3 thin film by ALD process. The samples were analyzed by water vapor transmission rate (WVTR) using the Calcium test and film cross section images were obtained by FE-SEM.
-
Kim, Dae-Guk;Sin, Jeong-Uk;Lee, Yeong-Gyu;Lee, Ji-Yun;No, Seong-Jin;Park, Seong-Gwang;Nam, Sang-Hui 432
현대에 이르러 직접방식 엑스선 검출기에서는 기존의 a-Se을 주로 이용하였지만, 고전압 인가에 따른 회로 손상과 짧은 수명, 그리고 누설전류에 따른 안전의 문제 등으로 낮은 에너지 밴드갭과 높은 흡수효율, 비저항 등에 의거한 다양한 대체 물질에 대한 연구가 활발하게 이루어져가고 있다. 본 논문에서는 직접방식 엑스선 검출물질로 전기이동도와 흡수효율이 뛰어나고, 밴드갭이 낮아 태양전지분야 뿐만 아니라 최근 엑스선 검출물질로 각광받고 있는 CdTe를 선정하였다. 연구의 목적은 PVD (Physical Vapor Deposition)방식의 CdTe 검출 물질의 제작과정에서 CdTe가 기화되어 하부전극 기판에 증착될 시, 하부전극 기판 온도에 따른 CdTe의 박막형성과 전기적 측정을 실시하여 그에 따른 최적의 증착조건을 선정하는 것이다. 하부전극 기판으로는 Au/glass를 사용하였으며 증착 시,$200^{\circ}C$ ,$300^{\circ}C$ ,$400^{\circ}C$ 로 나누어 특성을 평가하였다. 시료는 파우더형태의 다결정CdTe를 120 g를 사용하여 증착완료 시, 약$100{\mu}m$ 의 박막두께를 구현하였다. PVD증착의 조건으로는 Mo재질의 보트를 사용하였으며, 증착 시 진공도는$5{\times}10^{-6}$ Torr, 보트온도는 약$350^{\circ}C$ 소요시간은 5시간이었다. 증착이 완료된 CdTe의 표면구조와 전기적 특성평가를 위해 SEM촬영을 실시하였고, 전기적 특성 평가를 위해 CdTe표면에 Au를 PVD방식으로 증착하였다. 실험 결과 SEM촬영을 이용한 표면특성에서는 하부전극 기판의 온도가 높아질수록 표면 결정입자가 증가하는 것을 확인할 수 있었으며, 전기적 특성에서도 하부전극 기판의 온도가 증가할수록 RQA-5 조건의 70 kVp, 100 mA, 0.03 sec 엑스선에 대한 우수한 민감도와 암전류 값을 확인하였다. 이러한 결과는 증착과정에서 온도에 따른 다결정 CdTe의 표면결정 크기 증가는 동일한 면적에서 표면결정 수의 감소를 뜻한다. 이는 결정간의 경계에서 트랩 되어지는 전자가 감소하고, 전자의 이동도 또한 높은 효율을 나타냄을 확인할 수 있었다. 따라서 본 연구를 통하여 CdTe기반의 직접방식 엑스선 검출기 제작과정에서 증착 시 하부전극기판 온도가 증가할수록 결정의 크기가 증가하여 최적의 전기적 특성을 나타냄을 검증할 수 있었다. -
Graphene,
$sp^2$ -hybridized 2-Dimension carbon material, has drawn enormous attention due to its desirable performance of excellent properties. Graphene can be applied for many electronic devices such as field-effect transistors (FETs), touch screen, solar cells. Furthermore, indium tin oxide (ITO) is commercially used and sets the standard for transparent electrode. However, ITO has certain limitations, such as increasing cost due to indium scarcity, instability in acid and basic environments, high surface roughness and brittle. Due to those reasons, graphene will be a perfect substitute as a transparent electrode. We report the graphene synthesized by inductive coupled plasma enhanced chemical vapor deposition (ICP-PECVD) process on Cu substrate. The growth was carried out using low temperature at$4 00^{\circ}C$ rather than typical chemical vapor deposition (CVD) process at$1,000^{\circ}C$ The low-temperature process has advantage of low cost and also low melting point materials will be available to synthesize graphene as substrate, but the drawback is low quality. To improve the quality, the factor affect the quality of graphene was be investigated by changing the plasma power, the flow rate of precursors, the scenario of precursors. Then, graphene film's quality was investigated with Raman spectroscopy and sheet resistance and optical emission spectroscopy. -
Ga-doped ZnO (GZO) was substitutes of the SnO2:F films on soda lime glass substrate in the photovoltaic devices such as CIGS, CdTe and DSSC due to good properties and low cost. However, it was reported that the electrical resistivity of GZO is unstable above
$300^{\circ}C$ in air atmosphere. To improve thermal stability of GZO thin films at high temperature above$300^{\circ}C$ an$TiO_2$ thin film was deposited on the top of GZO thin films as a barrier layer by Pulsed Laser Deposition (PLD) method.$TiO_2$ thin films were deposited at various thicknesses from 25 nm to 100 nm. Subsequently, these films were annealed at temperature of$300^{\circ}C$ ,$400^{\circ}C$ ,$500^{\circ}C$ in air atmosphere for 20 min. The XRD measurement results showed all the films had a preferentially oriented ( 0 0 2 ) peak, and the intensity of ( 0 0 2 ) peak nearly did not change both GZO (300 nm) single layer and$TiO_2$ (50 nm)/GZO (300 nm) double layer. The resistivity of GZO (300 nm) single layer increased from$7.6{\times}10^{-4}{\Omega}m$ (RT) to$7.7{\times}10^{-2}{\Omega}m$ ($500^{\circ}C$ ). However, in the case of the$TiO_2$ (50 nm)/GZO (300 nm) double layer, resistivity showed small change from$7.9{\times}10^{-4}{\Omega}m$ (RT) to$5.2{\times}10^{-3}{\Omega}m$ ($500^{\circ}C$ ). Meanwhile, the average transmittance of all the films exceeded 80% in the visible spectrum, which suggests that these films will be suitable for photovoltaic devices. -
3족 질화물은 우수한 광학 특성과 특히 3족 물질의 조성비 조절로 넓은 대역의 밴드갭 엔지니어링으로 발광다이오드의 물질로 각광 받고 있다. 이와 더불어 발광다이오드의 광추출효율을 향상시키기 위해 다양한 연구들이 활발히 이루어지고 있다. 그 연구 중 하나로 나노, 마이크로 사이즈의 막대와 같은 일차원적 구조와 최근 ITO를 활용한 투명 전극을 대체하여 전도도가 100배 정도 높은 금속을 이용한 옆면 접촉 전극을 도입한 것이 최근 발표되었다. 그러나 옆면 접촉 전극을 형성하기 위해서는 기존의 약 100 nm 정도의 두께의 p-형질화갈륨층은 공정 마진 등에 어려움이 있다. 따라서 기존에 비해 두꺼운 p-형 질화갈륨층이 필요하다. 보통 상용화된 유기 금속화학 증착법을 이용한 p-형 질화갈륨층은 도핑 물질인 Mg의 낮은 활성화와 성장 분위기 중 수소로 인해 양질의 것을 얻기 어렵고 이를 위해 성장 후 추가적인 활성화가 필요하다. 따라서 두꺼워진 p-형 질화갈륨층에 대해서도 기존의 성장 조건과 활성화 조건의 적합 여부와 이에 대한 연구가 필요하다. 따라서 본 연구에서는 양질의 p-형 질화갈륨을 얻기 위하여 성장 조건 및 성장 후 급속 열처리 온도, 시간에 대한 최적화와 약 630 nm 두께의 p-형 갈륨질화층을 가지는 발광다이오드에 대해 급속 열처리 조건에 대한 특성 연구를 실시한다.
-
Microelectronic devices의 접촉저항의 향상을 위해 Metal silicides의 형성 mechanism과 전기적 특성에 대한 연구가 많이 이루어지고 있다. 지난 수십년에 걸쳐, Ti silicide, Co silicide, Ni silicide 등에 대한 개발이 이루어져 왔으나, 계속적인 저저항 접촉 소재에 대한 요구에 의해 최근에는 Rare earth silicide에 관한 연구가 시작되고 있다. Rare-earth silicide는 저온에서 silicides를 형성하고, n-type Si과 낮은 schottky barrier contact (~0.3 eV)를 이룬다. 또한, 비교적 낮은 resistivity와 hexagonal AlB2 crystal structure에 의해 Si과 좋은 lattice match를 가져 Si wafer에서 high quality silicide thin film을 성장시킬 수 있다. Rare earth silicides 중에서 ytterbium silicide는 가장 낮은 electric work function을 갖고 있어 낮은 schottky barrier 응용에서 쓰이고 있다. 이로 인해, n-channel schottky barrier MOSFETs의 source/drain으로써 주목받고 있다. 특히 ytterbium과 molybdenum co-deposition을 하여 증착할 경우 thin film 형성에 있어 안정적인 morphology를 나타낸다. 또한, ytterbium silicide와 마찬가지로 낮은 면저항과 electric work function을 갖는다. 그러나 ytterbium silicide에 molybdenum을 화합물로써 높은 농도로 포함할 경우 높은 schottky barrier를 형성하고 epitaxial growth를 방해하여 silicide film의 quality 저하를 야기할 수 있다. 본 연구에서는 ytterbium과 molybdenum의 co-deposition에 따른 silicide 형성과 전기적 특성 변화에 대한 자세한 분석을 TEM, 4-probe point 등의 다양한 분석 도구를 이용하여 진행하였다. Ytterbium과 molybdenum을 co-deposition하기 위하여 기판으로
$1{\sim}0{\Omega}{\cdot}cm$ 의 비저항을 갖는 low doped n-type Si (100) bulk wafer를 사용하였다. Native oxide layer를 제거하기 위해 1%의 hydrofluoric (HF) acid solution에 wafer를 세정하였다. 그리고 고진공에서 RF sputtering 법을 이용하여 Ytterbium과 molybdenum을 동시에 증착하였다. RE metal의 경우 oxygen과 높은 반응성을 가지므로 oxidation을 막기 위해 그 위에 capping layer로 100 nm 두께의 TiN을 증착하였다. 증착 후, 진공 분위기에서 rapid thermal anneal(RTA)을 이용하여$300{\sim}700^{\circ}C$ 에서 각각 1분간 열처리하여 ytterbium silicides를 형성하였다. 전기적 특성 평가를 위한 sheet resistance 측정은 4-point probe를 사용하였고, Mo doped ytterbium silicide와 Si interface의 atomic scale의 미세 구조를 통한 Mo doped ytterbium silicide의 형성 mechanism 분석을 위하여 trasmission electron microscopy (JEM-2100F)를 이용하였다. -
현재 친환경 에너지에 대한 관심의 증대로 인하여 박막 태양전지 연구에 대한 수요가 증가하고 있다. 특히 InGaN 기반의 박막태양전지는 태양 스펙트럼 전체를 흡수 할 수 있는 넓은 흡수 대역, 비교적 높은 흡수 계수 (
$ > {\sim}10^5cm^{-1}$ ) 및 전자의 이동도 등으로 인하여 연구가 활발히 진행되고 있다. InGaN 박막 태양전지의 경우 ITO 층을 전류확산 층으로 많이 사용되는데, 일반적으로 평평한 박막의 형태를 갖는다. 이 평면 ITO 층에 dot을 형성하게 되면 상대적인 굴절률의 차이를 감소시켜 반사되는 빛의 양을 감소시킬 수 있어 태양전지가 흡수할 수 있는 빛의 양을 증가시켜 태양전지의 효율을 향상시킬 수 있다. 또한, 장파장대의 빛의 경우 투과도가 높아 태양전지의 흡수 층을 투과할 가능성을 인하여 효율이 저하될 수 있다. 따라서 반사판을 사용하게 되면 빛의 광학적 경로를 증가시켜 효율을 향상시킬 수 있다. 알루미늄의 경우 InGaN 태양전지의 흡수대역에서 반사도가 90% 이상으로 알려져 있어 반사판으로 사용되기에 적절하여 많이 사용되고 있다. 본 연구에서는 FDTD 툴을 이용하여 ITO dot과 알루미늄 반사판을 이용하여 효율이 향상된 InGaN 박막태양전지의 시뮬레이션을 수행하였다. ITO dot이 존재하는 전류 확산층과 알루미늄 반사판의 투과도 및 반사율을 먼저 계산한 후 태양전지 구조에 적용하여 전류-전압 특성, 외부 양자효율 특성을 예측하였다. Fig. 1은 시뮬레이션된 InGaN 박막태양전지의 구조이다. -
ZnO의 나노 구조는 화학적으로 안정하고 큰 결합에너지를 가지는 성질 때문에 청색 영역에서 작동하는 광전소자의 제작에 대단히 유용하다. 전기 화학 증착법으로 성장된 ZnO의 나노구조는 가격이 저렴하고 낮은 온도에서 성장이 가능하며 대면적화를 할 수 있는 장점이 있다. 전기 화학 증착법으로 ZnO을 성장할 때 ITO 기판을 음극으로 백금 전극을 양극으로 사용하였고 기준 전극은 Ag/AgCl을 사용하였다. Potassium chloride의 몰 농도를 변화하면서 ZnO 나노구조를 성장하였다. 성장한 ZnO 나노구조를
$400^{\circ}C$ 에서 2분 정도 열처리를 하였다. 성장된 ZnO을 X-선 회절 결과는 (0002) 피크가$34.35^{\circ}$ 에서 나타났다. 주사 전자 현미경상은 Potassium chloride의 몰 농도가 낮을 때 성장한 ZnO 나노구조체가 고르게 성장되는 것을 알 수 있었다. Potassium chloride의 농도가 변화하면 ZnO 나노구조체의 형태가 변화하는 것을 알 수 있었다. 300 K에서 광루미네선스 스펙트럼은 형성된 나노구조가 엑시톤과 관련된 피크가 potassium chloride 농도에 따라 변화하게 되는 것을 알 수 있었다. 이 실험결과는 ZnO 나노구조의미세구조와 광학적 성질이 potassium chloride의 농도에 영향을 많이 받는 것을 알 수 있었다. -
Park, Min-U;Yeo, Dong-Hyeon;Won, Beom-Hui;Lee, Ji-Hye;Lee, Chae-Min;Ha, Myeong-Hun;Jeong, Dong-Geun 443
유기광전자소자는 아주 얇은 두께로 제작 가능하여 휘어지는 소자를 구현할 수 있다. 이런 장점 때문에 플렉서블 디스플레이, 플렉서블 태양전지 구현에 가장 적합한 소자로 각광받고 있다. 하지만 수분이나 산소에 의한 소자내의 유기물과 금속의 열화로 소자의 수명이 줄어들기 때문에 산소 및 수분 침투를 방지하는 봉지기술(encapsulation)이 필요하다. 본 연구는 원자층 증착법을 이용한 무기박막층과 분자층 증착법을 이용한 폴리머박막의 적층구조를 이용하여 유기소자에 적용할 수 있는 수분 투과 방지막을 제작하였다. 무기박막층으로는 trymethylaluminum (TMA)과$H_2O$ 를 사용하여$Al_2O_3$ 를 제작하였고 폴리머층으로는 TMA와 ethylene glycol를 사용하여 alucone박막을 제작하였다. 폴리머층으로 사용된 alucone박막의 X-선 광전자 분광 스펙트럼은 대기중 수분과 산소에 의한 화학결합구조의 변화를 보였지만,$Al_2O_3$ 와 적층구조로 사용되었을 때, 배리어특성을 증가시키고 휘어짐에 따른 보호막의 열화현상을 줄여줄 수 있는 것을 Ca-test를 통해 확인하였다. 이러한 현상은 alucone막을 적층함으로써$Al_2O_3$ 를 침투한 소량의 수분과 산소가, alucone박막을 지나면서 다음$Al_2O_3$ 층으로 침투하기 전까지의 경로를 늘려주기 때문이라 사료된다. -
변형 다중양자우물은 전자 소자와 광전자 소자에 응용할 수 있는 가능성 때문에 연구가 많이 진행되고 있다. 합성 물질들의 초격자를 연속적으로 증착, 성장하는 디지털 합금은 다중양자우물을 활용한 광전자 소자에서 응용가치가 상당히 높다. 현재 디지털 합금을 이용한 다중양자우물의 성장과 관련한 연구가 활발히 진행되고 있고, 특히 InzGaxAl1-z-xP/InAlP 다중양자우물은 광전자 소자로서의 응용가치가 부각되고 있다. 그러나 InzGaxAl1-z-xP/InAlP 다중양자우물의 성장 및 광학적 성질에 대한 연구는 많이 진행되었으나, InzGaxAl1-z-xP/InAlP 다중양자우물에서의 변형효과를 고려한 전자적 성질에 대한 연구는 미흡하다. InzGaxAl1-z-xP/InAlP 다중양자우물에 대한 전자적 성질의 연구는 광소자의 성능 향상을 위해 매우 필요하다. 본 연구에서는 디지털 합금 성장 방법으로 형성한 InzGaxAl1-z-xP/InAlP 다중양자우물의 부띠 사이 천이와 전자 분포를 고찰하였다. 온도에 따른 광루미네센스의 측정을 통해 InzGaxAl1-z-xP/InAlP 다중양자우물에서 나타나는 부띠 사이의 천이를 관찰하였다. 가변 메시 유한 차분법을 이용한 이산적 모델을 통해 변형효과가 다중양자우물 구조에서 부띠에 주는 영향을 조사하였다. 격자의 불일치로 인한 변형 효과와 8-band envelope function approximation을 고려한 슈뢰딩거 방정식을 사용하여 InzGaxAl1-z-xP/InAlP 다중양자우물에서의 전자 부띠 에너지와 에너지 파동 함수를 계산하였다. 계산한 부띠 사이 천이 에너지와 광루미네센스 측정에서 보인 엑시톤 천이 에너지를 비교하였을 때, 작은 차이 값이 나타났다. 증착과정에서의 이종접합사이에서 발생하는 불확실성을 고려한다면 이 차이 값은 오차범위 안에 포함되며, 계산 값과 실험 값이 잘 일치하는 것으로 볼 수 있다.
-
유기태양전지는 간단한 제조공정, 낮은 제조단가, 가벼운 무게 및 우수한 유연성의 장점을 가지고 있기 때문에 모바일 기기의 응용에 많은 관심을 가지고 있다. 그러나 이종접합 유기태양전지의 광전 변환효율이 낮기 때문에 유기태양전지를 상용화하기 위해서는 광전변환 효율을 높이기 위한 연구가 필요하다. 본 연구에서는 태양전지의 광전 변환효율을 증진하기 위하여 열처리 시간 변화에 따른 이종접합 유기 태양전지의 특성에 미치는 효과를 조사하였다. 전자 주게 물질인 P3HT와 전자 받게 물질인 PCBM 물질을 특정용매에 녹여 패턴화된 ITO를 코팅한 glass 기판 위에 스핀 코팅 방법을 이용하여 glass/ITO/PEDOT:PSS/P3HT:PCBM/Al 구조를 가진 이종접합 유기태양전지를 제작하였다. UV-Vis 분광학, X-선 광전자 분광학 및 원자힘 현미경 측정을 하여 제작한 소자의 광학적 및 구조적 특성을 분석하였다. 이종접합 유기태양전지의 우수한 광흡수율과 평탄한 표면을 가지는 최적화 조건을 열처리 시간에 따라 비교 분석하였다. 제작한 소자들을 열처리를 하지 않은 소자와 다양한 시간 동안 열처리를 한 소자의 특성을 비교하였다. 제작한 이종접합 태양전지의 전류-전압 측정 결과를 분석하여 최대의 광전 변환효율을 가지는 최적의 열처리 조건을 결정하였다. 열처리를 할 경우 열처리를 하지 않은 소자보다 광전 변환효율이 증가함을 알 수 있었다.
-
유기태양전지는 가벼운 무게와 우수한 유연성을 가져 플렉서블 태양전지 및 롤투롤(Roll-to-roll) 인쇄 공정에 대한 적용 가능성 때문에 많은 연구가 이루어지고 있다. 하지만 이종접합 유기태양전지를 상용화하기 위해서는 낮은 전력 변환 효율 (PCE)을 증진하는 연구가 필요하다. 본 연구에서는 간단한 용액공정을 통해 poly (3-hexylthiophene) (P3HT) 나노구조층을 사용하여 indium-tin-oxide 양극 전극/poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) 정공수송층/P3HT 나노구조층/P3HT 복합체로 제작한 나노구조층/C60 전자수송층 /lithium quinolate 전자주입층/Al 음극 전극 구조의 유기태양전지를 제작하였다. 전류밀도-전압 곡선 결과는 P3HT 복합체 이용한 나노구조층를 가진 유기태양전지의 구조는 평면층을 가진 유기태양전지에 비해서 PCE가 향상됨이 관찰되었다. 유기태양전지는 짧은 엑시톤의 확산거리가 PCE 감소의 주요 원인이 된다. P3HT 복합체를 사용한 나노구조층을 가지는 유기태양전지는 광활성층과 전자수송층 사이의 계면이 넓어지는 효과를 가진다. 계면이 넓어지는 효과를 통해 생성된 엑시톤을 효율적으로 분리할 뿐만 아니라 더 많은 양의 전하를 생성할 수 있기 때문에 전하의 양이 증가되고 더 높은 전류를 생성하여 PCE를 효과적으로 높일 수 있다.
-
최근 전자산업의 발전으로 차세대 디스플레이 소자로 산화물반도체가 주목받고 있다. 산화물 반도체는 저온공정, 높은 이동도 및 투과율을 가지기 때문에 이러한 공정이나 물성 측면에 있어 기존의 a-Si, LTPS 등을 대채할 만한 소자로서 연구가 활발이 이루어지고 있다. 특히 고해상도 및 고속구동이 진행됨에 따라 높은 이동도의 필요성이 대두되고 있다. 본 연구에서는 IGZO 산화물 반도체 박막트랜지스터의 이동도 개선을 위해 나노입자를 사용하였다. 게이트전극으로 사용된 Heaviliy doped P-type Si 기판위에 200 nm의 SiO2 절연층을 성장시킨 후, 채널로 작동하기 위한 IGZO 박막을 증착하기 전에 10~20 nm 크기의 니켈, 금 나노입자를 부착시켰다. 열처리 온도는
$350^{\circ}C$ , 90분동안 진행하였고, 100 nm의 알루미늄 전극을 증착시켜 TFT 소자를 제작하였다. TFT 소자가 동작할 시, IGZO 박막 내부의 전자들은 게이트 전압으로 인해 하부로 이동하여 채널을 형성, 동시에 드레인 전압으로 인한 캐리어들의 움직임으로 인해 소자가 동작하게 된다. 본 연구에서는 채널이 형성되는 계면 부근에 전도성이 높은 금속 나노입자를 부착시켜 다수 캐리어인 전자가 채널을 통과할 때 전류흐름에 금속 나노입자들이 기여하여 전기적 특성의 변화에 어떠한 영향을 주는지 연구하였다. 반응시간을 조절하여 기판에 붙는 나노입자의 밀도 변화에 따른 특성과 다양한 크기(5, 10, 20 nm)를 갖는 금, 니켈 나노입자를 포함한 IGZO TFTs 소자를 제작하여 전달특성, 출력특성의 변화를 비교하였고, 실질적인 채널길이의 감소효율과 캐리어 이동도의 변화를 비교분석 하였다. -
Hong, Pyo-Hwan;Jeong, Dong-Geon;Gong, Dae-Yeong;Pyo, Dae-Seung;Lee, Jong-Hyeon;Lee, Dong-In;Jo, Chan-Seop;Kim, Bong-Hwan 448
최근 생물학적 분석 기구에서 시료를 처리, 분리, 검출, 샘플링 또는 분석하기 위해 사용되는 마이크로펌프(Micropump)에 대한 관심이 높아지고 있다. 또한 전자소자의 성능과 신뢰성의 증진을 위한 전자소자의 열 문제를 해결하기 위해 냉각장치로 마이크로 펌프가 적용되기도 한다. 그 외에도 마이크로펌프는 다양한 분야에 응용이 가능하다. 마이크로펌프는 작동 방식에 따라 압전형, 공압형, 열공압형, 연동형 등의 여러 종류로 분류되고 있다. 그중에서도 최근에는 연동형 마이크로 펌프의 개발이 각광받고 있다. 기존의 연동형 펌프들은 다중 챔버를 가지고 있으며, 각각의 챔버 내에서 Dead volume이 많이 발생할 뿐만 아니라 이상적인 연동운동과는 차이가 많이 나는 문제점을 가지고 있다. 또한 압전방식과 열공압방식은 느린 응답성으로 인해 효율적인 유체 이동이 어렵다. 본 논문에서는 이상적인 연동운동을 구현하기 위하여 기존의 연동형 펌프의 단점을 보완하고, 하나의 챔버에 다중전극 구조를 가지는 정전기력방식의 연동형 펌프를 개발하였다. 정전기력방식으로 펌프를 구동함으로써, 저전력으로 펌프구동이 가능하며, 하나의 챔버에 다중전극을 설치함으로써 이상적인 연동운동을 재현하였다. 그리고 Dead volume을 최소화 하였다. 또한, 빠른 반응속도로 인해 효율적인 유체 이동을 실현시킬 수 있었다. 본 연구에서 제안된 마이크로 펌프의 구성은 크게 챔버, 박막, Inlet/outlet hole으로 구성되었다. 챔버는 Si-wafer에 wet etching 공정으로 제작 하였고 그 위에 알루미늄 박막을 200 nm 증착시켰다. 챔버는 가로 32 mm, 세로 5 mm, 깊이는$15{\mu}m$ , 부피는$200{\mu}l$ 으로 제작되었다. 박막은 폴리이미드(polyimide)를 사용하여$3{\mu}m$ 의 두께로 제작 되었으며, 폴리이미드 박막 사이에는 200 nm 두께의 4개의 알루미늄 박막 전극을 삽입시켰다. 삽입된 4개의 전극에 개별적인 전기신호를 보냄으로써 연동운동이 가능하다. Inlet/outlet hole은 직경 2 mm의 크기로 제작되었으며, 튜브를 연결하여 유체가 흐를 수 있는 체널을 형성하였다. 제작된 마이크로 펌프의 구동전압은 115 V이며, 인가되는 주파수를 1 Hz~100 KHz까지 변화시켜 유량을 측정하였다. 작동 유체는 공기이며, 유량측정은 튜브 내에 물방울을 삽입하여 시간에 따른 이동거리를 관측하였다. 측정결과 2.2 KHz에서 2.4 mm/min의 가장 높은 유량을 확인할 수 있었다. 본 연구를 통해 제안된 연동형 마이크로펌프는 이상적인 연동운동이 가능함으로써 기존의 연동형 방식의 문제점을 보완하였으며, 생명과학, 의학, 화학 등의 분야에서 적용이 가능하리라 기대된다. -
Hong, Pyo-Hwan;Gong, Dae-Yeong;Pyo, Dae-Seung;Lee, Jong-Hyeon;Lee, Dong-In;Kim, Bong-Hwan;Jo, Chan-Seop 449
최근 반도체 소자의 집적회로는 점점 복잡해지고 있는 반면, 소자의 크기는 작아지고 있으며 그로 인해 패드의 크기가 작아지고 패드사이의 간격 또한 협소해지고 있다. 따라서 웨이퍼 단계에서 제조된 집적회로의 불량여부를 판단하기위한 검사 장비인 프로브카드(Probe Card)의 높은 집적도가 요구되고 있다. 하지만 기존의 MEMS 공법으로 제작되는 프로브 빔은 복잡한 제조 공정과 높은 생산비용, 낮은 집적도의 문제점을 가지고 있다. 본 연구에서는 이러한 문제점을 해결하기 위하여 간단한 제조 공정과 낮은 생산비용, 높은 집적도를 가지는 프로브 빔을 개발하기 위하여 절연절단 방식으로 BeCu (Beryllium-Copper) 프로브 빔을 제작하였다. 낮은 소비 전력으로 우수한 프로브 빔 어레이를 제작하기 위해서 가장 고려해야할 대상은 프로브 빔의 재료와 구조(형상)이다. 절연전단 방식으로 프로브 빔을 형성할 때 요구되는 Fusing current는 프로브 빔의 구조(형상)에 크게 영향을 받는다. 낮은 Fusing current는 소비 전력을 줄여주고, 절연절단으로 형성되는 프로브 빔의 단면(끝)을 날카롭게 하여 프로브 빔과 집적회로의 패드 간의 접촉 저항을 감소시킨다. 프로브 빔의 제작은 BeCu 박판을 빔 형태로 식각하여 제작하였으며, 실리콘 비아 홀(Via hole) 구조의 기판위에 정렬하여 soldering 공정을 통해 실리콘 기판과 BeCu 박판을 접합시켰다. 접합된 프로브 빔의 끝부분을 들어 올린 상태로 전류를 인가하여 stress free 상태로 만들어 내부 응력을 제거하였으며, BeCu 박판에 fusing current를 인가하여 BeCu 박판 프레임으로부터 제거를 하였다. 제작된 프로브 빔의 길이는 1.7 mm, 폭은$50{\mu}m$ , 두께는$15{\mu}m$ , 절단부의 단면적은 1$50{\mu}m^2$ 로 제작되었다. 그리고 프로브 빔의 절단부의 길이는$50{\mu}m$ 부터$90{\mu}m$ 까지$10{\mu}m$ 증가시켜 제작되었다. 이후에 절연절단 공정에 요구되는 Fusing current를 측정하였고, 절연절단 후의 절단면의 형상을 SEM (Scanning Electron Microscope)장비를 통하여 확인하였다. 절단부의 길이가$50{\mu}m$ 일 때 5.98A의 fusing current를 얻었으며, 절연절단 후 절단부 상태 또한 가장 우수했다. 본 연구에서 제안된 프로브 빔 제작 방법은 프로브카드 및 테스트 소켓(Test socket) 생산에 응용이 가능하리라 기대한다. -
플래시 메모리는 소형화가 용이하고, 낮은 구동 전압과 빠른 속도의 소자 장점을 가지기 때문에 휴대용 전자기기에 많이 사용되고 있다. 현재 사용되고 있는 플로팅 게이트를 이용한 플래시 메모리 소자는 비례축소에 의해 발생하는 단 채널 효과, 펀치스루 효과 및 소자 간 커플링 현상과 같은 문제로 소자의 크기를 줄이는데 한계가 있다. 이 문제를 해결하기 위해 FinFET, nanowire FET, 3차원 수직 구조와 같은 구조를 가진 플래시 메모리에 대한 연구가 활발히 진행되고 있다. 본 연구에서는 비례축소의 용이함과 낮은 누설 전류의 장점을 가진 FinFET 구조를 가진 낸드 플래시 메모리의 전기적 특성에 대해 조사하였다. 메모리의 집적도를 높이기 위하여 비대칭 FinFET 구조를 가진 더블 게이트 낸드 플래시 메모리 소자를 제안하였다. 비대칭 FinFET 구조는 더블 게이트를 가진 낸드 플래시에서 각 게이트 간 간섭을 막기 위해 FinFET 구조의 도핑과 위치가 비대칭으로 구성되어 있다. 3차원 TCAD 시뮬레이션툴인 Sentaurus를 사용하여 이 소자의 동작특성을 시뮬레이션하였다. 낸드 플래시 메모리 소자의 게이트 절연 층으로는 high-k 절연 물질을 사용하였고 터널링 산화층의 두께는 두 게이트의 비대칭 구조를 위해 다르게 하였다. 두 게이트의 비대칭 구조를 위해 각 fin은 다른 농도로 인으로 도핑하였다. 각 게이트에 구동전압을 인가하여 멀티비트 소자를 구현하였고 각 구동마다 전류-전압 특성과 전하밀도, 전자의 이동도와 전기적 포텐셜을 계산하였다. 기존의 같은 게이트 크기를 가진 플로팅 게이트 플래시 메모리 소자에 비해 전류-전압곡선에서 subthreshold swing 값이 현저히 줄어들고 동작 상태 전류의 크기가 늘어나며 채널에서의 전자의 밀도와 이동도가 증가하여 소자의 성능이 향상됨을 확인하였다. 또한 양족 게이트의 구조를 비대칭으로 구성하여 멀티비트를 구현하면서 게이트 간 간섭을 최소화하여 각 구동 동작마다 성능차이가 크지 않음을 확인하였다.
-
현재 세계적으로 에너지 공급원의 다변화가 시급한 실정이며 그 후보로 태양에너지, 풍력 및 수력에너지와 같은 신재생 에너지에 대한 연구분야가 부각되고 있다. 전체 에너지 중 신재생 에너지의 비중은 빠르게 증가되고 있으며, 그 중에서도 태양광에너지의 분야가 가장 활발히 연구되고 있다. 특히, III-V족 화합물 반도체 태양전지는 직접 천이형 밴드갭을 가지고 있어 기존 실리콘 태양전지에 비해 광 흡수율이 높은 장점을 가지고 있다. 따라서 본 연구에서는 Molecular Beam Epitaxy (MBE)장치를 이용하여 성장온도에 따른 p-n접합 GaAs 태양전지 구조를 제작하여, 광전변환 효율과 결함구조 관련성을 조사하였다. 먼저 Si이
$1{\times}10^{18}cm^{-3}$ 으로 도핑된 n형 GaAs기판위에 성장온도$480^{\circ}C$ 와$590^{\circ}C$ 에서 Be을$5{\times}10^{18}cm^{-3}$ 도핑한 p 형 GaAs를 200 nm 두께로 각각 성장하여, 2개의 p-n 접합 GaAs 태양전지 구조를 제작하였다. 시료의 전기적 특성과 결함상태는 Capacitance-Voltage (C-V) 와 Deep Level Transient Spectroscopy (DLTS)를 사용하여 조사하였다. DLTS 측정을 위해 p-형의 GaAs박막 위에 Au(300 nm)/Pt(30 nm)/Ti(30 nm)를 e-beam evaporator로 증착한 후, 직경$300{\mu}m$ 의 메사 에칭으로 p-n접합 다이오드 구조를 제작하였다. 본 연구를 통해 GaAs p-n접합구조 성장온도에 따른 광전변환 효율과 결함상태와의 물리적인 연관성을 논의할 것이다. -
ZnO is one of the most attractive transparent conductive oxide (TCO) films because of low toxicity, a wide band gap material and relatively low cost. However, the electrical conductivity of un-doped ZnO is too high to use it as TCO films in practical application. To improve electrical properties of undoped ZnO, transition metal (TM) doped ZnO films such as Al doped ZnO or Ti doped ZnO have been extensively studied. Here, we prepared Ti doped ZnO thin films by atomic layer deposition (ALD) for the application of TCO films. ALD was used to prepare Ti-doped ZnO thin films due to its inherent merits such as large area uniformity, precise composition control in multicomponent thin films, and digital thickness controllability. Also, we demonstrated that ALD method can be utilized for fabricating highly ordered freestanding nanostructures of Ti-doped ZnO thin films by combining with BCP templates, which can potentially used in the photovoltaic applications.
-
유기 태양전지는 저비용으로 제작이 가능하고 제작이 용이한 장점을 가지고 있으므로 많은 그룹에서 관심을 가지고 있다. 정공 수송층으로 사용되는 PEDOT:PSS는 많이 사용되지만 강한 산성 특성 때문에 ITO 전극에 식각이 되므로 문제가 있다. 그러므로 산화물 반도체
$WO_3$ ,$MoO_3$ , 그리고$V_2O_3$ 등이 태양전지에 많이 만들어지고 있다. 특히 copper oxide는 높은 광흡수율을 가지고 있으므로 태양전지에 사용하는 데 많은 기대되는 물질이다. Copper oxide 박막은 열증착 법, 스프레이 필로시스, 전기화학 증착, 화학증착법, 그리고 솔-젤법 등 다양한 증착 방법이 있다. 넓은 면적의 소자를 제작할 경우 솔-젤 방법은 기존의 증착법에 비해 낮은 비용으로 제작, 높은 성장율, 그리고 높은 기계적 탄력성의 장점이 있다. 솔-젤법으로 만든 copper oxide는 P3HT의 HOMO (high occupied molecular orbital)와 비슷한 위치에 접하고 있으므로 정공수송층으로 적합하다. 본 연구에서 제작된 태양전지의 구조는 ITO/P3HT:PCBM/CuxO로 구성되어 있다. ITO가$10{\Omega}$ /sq의비저항을 가지고 있었고 UV 처리를 하였다. 그 위에 P3HT:PCBM (1:0.8 weight)를 스핀 코팅하였다. 마지막으로 0.1 M$Cu_xO$ 용액은 Cu (II) acetate monohydrate를 소스로 2-methoxyethanol ($C_3H_8O_2$ )의 용제와 안정제로 monoethanolamine ($C_2H_7NO$ )을 섞어서 만들었다. 그리고 P3HT:PCBM 위에 스핀 코팅하였고 열증착 방법으로 전극인 Ag 을 증착하여 최종 소자를 만들었다. Cu(II) acetate의 소스로 제작된 박막의 투과율 측정을 통해 에너지 밴드갭을 구할 수 있었다. Copper oxide 박막은 다결정구조 이므로 다중 밴드갭으로 구성되어지는 것을 알 수 있었다. 최종적으로 만들어진 소자를 열처리를 통해 소자 특성을 조사했더니 250도에서 가장 좋은 결과를 얻을 수 있었다. -
태양전지는 화석연료의 고갈로 인해 새로운 대체 에너지원으로 관심을 받고 있다. 유기태양전지는 무기물 태양전지와 비교하여 제작 단가가 낮은 경제성과 다양한 기판을 사용할 수 있는 다양한 응용성을 가지고 있기 때문에 많은 관심을 받고 있다. 실리콘 기반의 태양전지에 비해서 유기태양전지의 효율이 낮은 단점을 가지고 있기 때문에 효율을 높이기 위한 다양한 연구가 진행되고 있다. 광활성층에서 생성되는 전자-홀 쌍을 효율적으로 분리하여 손실되는 전하를 줄여서 효율을 높이는 방법이 활발히 연구되고 있다. 본 연구에서는 초음파 처리 시간에 따라 나노구조를 가지는 고분자 광활성층의 표면거칠기가 변화하여 유기 태양전지의 전력변환 효율에 미치는 영향을 조사하였다. 전자주게 물질인 P3HT를 용매에 녹여서 스핀코팅 한 후 초음파 처리를 하여 나노 구조를 형성하였고, 초음파 처리 시간에 따라서 형성한 나노 구조의 구조적 및 광학적 특성 변화를 광류미네센스와 원자힘 현미경 측정으로 관찰하였다. 전류밀도-전압 측정 결과는 초음파 처리 시간을 최적화하면 P3HT 나노 입자의 크기가 가장 작게 형성되어 계면 면적을 가장 크게 증가시켜 전자-홀 쌍을 효율적으로 분리하여 전력변환 효율이 증가하는 것을 확인 하였다.
-
$CeO_2$ nanorings were synthesized by using a surfactant free hydrothermal method. The surface morphology, structural and optical properties of the synthesized$CeO_2$ was investigated by using scanning electron microscopy (SEM), X-ray diffraction (XRD), and ultraviolet-visible (UV) spectroscopy measurements. SEM images showed that the surface morphology of the formed$CeO_2$ appeared as nanorings. The XRD pattern of$CeO_2$ nanorings showed the presence of the polycrystalline$CeO_2$ phase readily indexed to the cubic fluorite structure of the$CeO_2$ . The mean crystallite size of the$CeO_2$ was calculated using the Scherrer equation from the XRD line broadening of the (111) planes of the cubic$CeO_2$ . The UV-Visible spectroscopy spectrum of the$CeO_2$ nanorings exhibited a strong UV absorption band around 350 nm. -
Gautam, Sanjeev;Yang, Bum Jin;Lee, Yunju;Jung, Ildoo;Won, Sung Ok;Song, Jonghan;Asokan, K.;Chae, Keun Hwa 456
We report the modifications in the electronic structureof ZnO thin films induced by swift heavy ion (SHI) irradiated ZnO thin films by using near edge X-ray absorption fine structure (NEXAFS) spectroscopy at O K-edge was performed at BL10D XAS-KIST beamline at Pohang Accelerator Lab (PAL). ZnO films of 250 nm thickness oriented in [200] plane deposited by RF magnetron sputtering using equal$Ar:O_2$ atmosphere and air annealed at$500^{\circ}C$ for 6 hours for stability were irradiated with 120 MeV Au and 100 MeV O beams separately with different doses ranging from$1{\times}10^{11}$ to$5{\times}10^{12}$ ions/$cm^2$ . High Resolution X-ray diffraction and NEXAFS analysis indicates significant changes in the electronic structure and the SHI effect is different for Ag and O-beams. The NEXAFS measurements provide direct evidence of O 2p and Zn 3d orbital hybridization. The NEXAFS results will be presented in detail. -
Current-voltage (I-V) measurements of crystalline silicon solar cells was conducted under dark conditions with the temperature range of 260 K~350 K. Using the calculation method, we extracted the crucial factors of ideality factor (n) and activation energy (Ea) to investigate the carrier conducting path in the space charge region (SCR) and the quasi-neutral region (QNR). Values of n were decreased with increasing temperature in both SCR and QNR. We also conformed that the value of Ea of SCR was larger than that of QNR about 0.4 eV. The temperature dependence of n indicates that the carrier conducting path is dominated by carrier recombination-generation in the SCR region than in the QNR region.
-
We investigated a study on the thermal degradation of boron doped zinc-oxide (BZO) layer which used as a transparent conducting layer on the Cu (In1-xGax) Se2 (CIGS) based thin film solar cells. Devices were annealed under the temperature of
$100^{\circ}C$ or 100 hours and then Hall measurement was carried out to characterize the parameters of mobility (${\mu}Hall$ ), resistivity (${\rho}$ ), conductivity (${\sigma}$ ) and sheet resistance (Rsh). The initial values of${\mu}Hall$ ,${\rho}$ ,${\sigma}$ and Rsh were$29.3cm^2$ /$V{\cdot}s$ ,$2.1{\times}10^{-3}{\Omega}{\cdot}cm$ ,$476.4{\Omega}^{-1}{\cdot}cm^{-1}$ and$19.1{\Omega}$ /${\Box}$ respectively. After the annealing process, the values were$4.5cm^2$ /$V{\cdot}s$ ,$12.8{\times}10^{-3}{\Omega}{\cdot}cm$ ,$77.9{\Omega}^{-1}{\cdot}cm^{-1}$ and$116.6{\Omega}$ /${\Box}$ respectively. We observed that${\mu}Hall$ and${\sigma}$ were decreased, and${\rho}$ and Rsh were increased. In this study, BZO layer plays an important role of conducting path for electrons generated by incident light onthe CIGS absorption layer. Therefore, the degradation of BZO layer characterized by the parameters of${\mu}Hall$ ,${\rho}$ ,${\sigma}$ and Rsh, affect to the cell efficiency. -
Kim, Gyeong-Jin;Lee, Sang-Tae;Park, Byeong-Gwon;Choe, Hyo-Seok;Kim, Mun-Deok;Kim, Song-Gang;O, Jae-Eung 459
Si (111) 기판 위에 plasma assisted molecular beam epitaxy 법으로 Si과 Mg doping된 GaN 나노막대를 각 각 성장하고 나노막대의 모양과 광학적 특성을 조사하였다. Si이 doping된 GaN 나노막대는 biaxial m-plane 방향의 변화로 별 모양을 갖는 것을 관찰하였고 Mg doping된 GaN 나노막대의 지름은 줄어드는 것을 scanning electron microscopy로 확인하였다. 본 연구에서는 이러한 변화의 원인을 stress 때문으로 보고 x-ray diffraction과 raman scattering 측정을 통하여 구조적 변화를 조사하였다. 또한, stress에 의한 GaN 나노막대의광학적 특성 변화를 photoluminescence을 통하여 조사하였다. Doping한 GaN 나노막대의 특성조사를 통해 GaN 나노막대 성장 시 발생되는 stress의 영향을 이해하는데 중요한 정보를 제공할 것이다. -
O, Jeong-Eun;Park, Byeong-Gwon;Lee, Sang-Tae;Jeon, Seung-Gi;Kim, Mun-Deok;Kim, Song-Gang;U, Yong-Deuk 460
본 연구는 Si (111) 기판위에 Ga 분자선량을 변화시켜 GaN 박막을 molecular beam epitaxy 법으로 성장하고, Schottky 장벽 다이오드를 제작한 후에 deep level transient spectroscopy (DLTS) 법을 통하여 깊은 준위 결함에 대하여 조사하였다. 성장 시 Ga 분자선량은, 그리고 Torr로 달리하여 V/III 비율을 변화시켰고, Schottky 장벽 다이오드 제작을 위하여 e-beam evaporator를 사용하여 metal을 증착하였다. Schottky 접촉에는 Ni (20 nm)/Au (100 nm)를 증착하였고, ohmic 접촉에는 Ti (20 nm)/Au (100 nm)를 증착하고 I-V, C-V 그리고 DLTS를 측정하였다. DLTS 신호를 통해 GaN 박막 성장 과정에서 형성되는 깊은 결함의 종류를 확인하였으며, 열처리 등의 처리 및 측정 조건변화에 따른 결함의 거동과 종류 및 원인에 대하여 분석 설명하였다. -
Over the last decade, zinc oxide (ZnO) thin films have attracted considerable attention owing to large band gap of 3.37 eV and large exciton binding energy of 60 meV at room temperature [1-3]. Recent interest in ZnO related researches has been switched into the fabrication and characterization of low-dimensional nanostructures, such as nano-wires and nano-dots that can be applicable to manufacture the optoelectronic devices such as ultraviolet lasers, light-emitting-diodes and detectors. Since the optical properties of ZnO nano-structures might be distinct from those of bulk materials or thin films, the low-dimensional phenomena should be examined further. In order to utilize such advanced optoelectronic devices, one of the challenges is how to control the surface state related emissions that are drastically increased with increasing the density of the nano-structures and the surface-to-volume ratio. This paper reports the synthesis and characterization of self-assembled ZnO hexagonal nano-disks grown by radio-frequency magnetron sputtering. X-ray diffraction data and scanning electron microscopy data showed that ZnO hexagonal nano-disks were nucleated on top of the flat surfaces as the film thickness reached to 1.56
${\mu}m$ and then the number of nano-disks increased with increasing the film thickness. The lateral size of hexagonal nano-disks was ~720 nm and height was ~74 nm. The strong photo luminescence spectra obtained at 10 K was also observed, which was assigned to a surface exciton emission at 3.3628 eV arising from the surface sites of hexagonal nano-disks. -
Gallium Oxide (Ga2O3) has been widely investigated for the optoelectronic applications due to its wide bandgap and the optical transparency. Recently, with the development of fabrication techniques in nanometer scale semiconductor materials, there have been an increasing number of extensive reports on the synthesis and characterization of Ga2O3 nano-structures such as nano-wires, nanobelts, and nano-dots. In contrast to typical vaporliquid-solid growth mode with metal catalysts to synthesis 1-dimensional nano-wires, there are several difficulties in fabricating the nanostructures by using sputtering techniques. This is attributed to the fact that relatively low growth temperatures and higher growth rate compared with chemical vapor deposition method. In this study, Ga2O3 chestnut burr were synthesized by using radio-frequency magnetron sputtering method. In contrast to typical sputtering method with sintered ceramic target, a Ga2O3 powder (99.99% purity) was used as a sputtering target. Several samples were prepared with varying the growth parameters, especially he growth time and the growth temperature to investigate the growth mechanism. Samples were characterized by using XRD, SEM, and PL measurements. In this presentation, the details of fabrication process and physical properties of Ga2O3 nano chestnut burr will be reported.
-
This paper reports the synthesis and characterization of ZnO:Ga nano-structures deposited on Au/SiNx/Si(001) by radio-frequency sputtering. The effect of the temperature on the microstructure of the as-grown ZnO:Ga thin films was examined. The growth mode of ZnO:Ga nano-structures can be explained by the profile coating, i.e. the ZnO nano-structures were formed with a morphological replica of Au seeds. Initially, the ZnO:Ga nano-structures were overgrown on top of Au nano-crystals. Small ZnO:Ga nano-dots were then nucleated on hexagonal ZnO:Ga discs.
-
그래핀 옥사이드(Graphene Oxide)는 그래핀과 마찬가지로 많은 분야로의 응용 가능성을 보이는 소자중 하나로 각광받고 있다. 그래핀 옥사이드가 가지는 유전체 특징은 전하 트랩층(charge trap layer)으로 사용을 가능하게 하고 또한 물에 녹는 수용성 특징은 스핀코터(spin coator)를 이용한 간단한 도포과정을 통하여 저비용으로 간단하게 소자를 제작 가능하게 한다. 이 연구에서 우리는 금속-절연체-반도체 구조를 가지는 메모리 소자를 제작하여 0.4 mg/ml의 농도로 DI에 용해된 그래핀 옥사이드가 플로팅게이트(floating gate)로써 사용되었을 때의 특성을 알아보기 위해 Boonton 720를 사용하여 C-V (hysteresis) 커브와 C-T(Capacitance-Time)를 측정하여 그래핀 옥사이드의 유무에 따른 메모리 윈도우 폭의 증가 및 저장된 정보가 손실되지 않고 얼마나 길게 유지 되는지를 살펴봄으로 플로팅게이트로써 그래핀 옥사이드의 특성을 살펴보았다. 먼저 터널링층으로 쓰이는 SiO2가 5 nm 증착된 P타입 Si기판위에 플로팅게이트로 쓰이는 그래핀 옥사이드층을 쉽게 쌓기 위하여 APTES 자기조립 단분자막 코팅을 한 후 그래핀 옥사이드를 3,000 rpm으로 40초간 스핀코팅을 하였다. 그 후 블로킹층으로 쓰이는 400 nm 두께의 폴리비닐페놀(PVP)를 3,000 rpm으로 40초간 스핀코팅을 하고
$130^{\circ}C$ 에서 열처리를 하였으며$10^{-5}$ Torr의 압력에서 진공 열증착으로 알루미늄 게이트 전극을 증착했다. -
Inorganic 물질인 SiO2 dielectric 위에 organic dielectric PVP (4-vinyphenol)를 spin coating으로 올려, inorganic/organic dielectric 형태의 double layer구조로 High-performance amorphous indiumgallium zinc oxide thin-film transistors (IGZO TFT)를 제작하여 보았다. SiO2 dielectric을 buffer layer로 80 nm, PVP는 10Wt% 400 nm로 구성하였으며, 200 nm single SiO2 dielectric과 동일한 수준의 leakage current 특성을 MIM Capacitor 구조를 통해서 확인할 수 있었다. 이 소자의 장점은 용액공정의 도입으로 공정 시간의 단축 및 원가 절감을 이룰 수 있으며, dielectric과 channel 사이의 균일한 interface의 형성으로 interface trap 개선 및 Yield 향상의 장점을 갖는다. 우리는 실험을 통해서 SiO2 buffer layer가 수직 electric field에 의한 leakage current을 제어하고, PVP dielectric은 interface를 개선하는 것을 확인하였다. Vth의 negative shift 및 slope의 향상으로 구동전압이 줄어들고, 균일한 I-V Curve 형성을 통해서 Process Yield의 향상을 확인하였다.
-
Quantum-dot materials have introduced novel applications in organic light-emitting diodes and solar cells. The size controllability and structure modifications have continuously been upgrading the applicability to optoelectronic and flat-panel displays. In particular, quantum-dot organic light-emitting diodes (QLEDs) are a device driven through the electrical field applied to the electrical diodes. The QLEDs are affected by the constituent materials and the corresponding device structures. Conventionally, the electrical properties are characterized only in terms of dc-based current-voltage characteristics. The dynamic change in light-emitting diodes should be characterized in emitted and non-emitted states. Therefore, the frequency-dependent impedance can offer different information on the electrical performance in QLED. The current work reports an auxiliary information on the electrical and optical features originating from quantum-dot organic light-emitting diodes. The empirical characterizations are discussed towards an experimental tool in optimizing the light-emitting diodes.
-
유기물/무기물 나노복합체는 메모리, 트렌지스터, 발광 다이오드, 태양 전지 소자에 응용이 시도되고 있으나 유기물의 물리적인 특성 때문에 전류 전송 메커니즘 규명에는 충분한 연구가 진행되어 있지 못하다. 유기물/무기물 나노복합소재를 기반으로 차세대 광학소자나 비휘발성 메모리 소자에 대한 연구가 활발히 진행되고 있으며, 기억소자의 성능 향상을 위하여 여러 가지 유기물/무기물 나노복합소재를 사용하여 제작한 유기 쌍안정성 소자가 차세대 플렉서블 비휘발성 기억소자로 대두되고 있다. 유기 쌍안정성 소자는 비휘발성 기억 소자 중에서 구조가 간단하고 제작비용이 저렴하며 유연성을 가지기 때문에 많은 연구가 진행되고 있다. 많은 장점에도 불구하고 유기물에 관한 많은 연구가 이루어지지 않았기 때문에 소자의 동작특성, 재연성 등의 문제점이 있다. 본 연구에서는 유기 쌍 안정성 소자의 전기적 특성을 연구하기 위하여 ZnO 나노입자를 포함한 PMMA 복합층을 사용하여 소자를 제작하고 전기적 특성을 측정하였으며, 유기물/무기물 나노복합소재의 전류 전송 메커니즘을 이론적으로 규명하였다. 트랩밀도 변화가 유기 쌍안정성 소자에 미치는 영향을 연구하기 위하여 C60 층을 삽입하였고, 그 결과 C60이 삽입된 유기 쌍안정성 소자가 향상된 메모리 특성을 보였다. 소자의 제작은 Indium tin oxide가 증착된 유리 기판위에 C60 층을 스핀코팅 방법으로 적층하였다. ZnO 나노 입자와 PMMA를 혼합하여 스핀코팅 방법으로 C60층 위에 박막을 형성한 후, 전극으로 Al을 열증착으로 형성하였다. Space charge limitted current 메커니즘을 이용하여 simulation을 수행하였고 이를 current density - voltage (J-V) 특성과 비교 분석하였다. J-V 특성 결과, simulation결과, 소자의 구조를 통해 유기물/무기물 나노복합소재 기반 메모리 소자의 쓰기, 지우기 및 읽기 동작에 대한 과정을 설명하였다. 또한 C60층을 삽입한 유기물/무기물 나노복합소자를 이용하여 트랩 밀도 변화가 유기 쌍안정성 소자의 전기적 특성에 미치는 영향을 연구하였다.
-
Self-assembled silver nanoparticles were synthesized on a graphene film to investigate plasmonic effect. Graphene was synthesized on glass substrate using chemical vapor deposition method and transfer process. Silver nanoparticles were formed using thermal evaporator and post-annealing process. The shape of silver nanoparticles was measured using a scanning electron microscopy. The resonance wavelength of plasmonic effect on graphene-silver nanoparticles was measured using transmittance spectra. The plasmon resonance wavelength was increased from 400 nm to 424 nm according to the lateral dimension of silver nanoparticles. Also we confirmed a strong plasmon effect form Raman spectra, which were measured on graphene-silver nanoparticles. The result shows that plasmon resonance wavelength could be controlled by lateral dimension of silver nanoparticles, and transparent conductive films based on plasmonic graphene could be developed.
-
Flexible display는 미래의 평판디스플레이 시장으로 꼽히고 있는 대표적인 분야이다. PET, PEN, PI 등의 polymer substrate는 접거나 구부리는 형태로 변형이 가능하기 때문에 차세대 기술로 평가되어지는 Flexible display, Solar cell, OLED 등 다방면에 응용이 가능하다. 또한 ITO는 지금까지 개발된 재료 중에서 가장 투명하고 전기가 잘 통하며, 생산성도 좋기 때문에 이것을 투명전극의 재료로 사용한다. 디스플레이에 사용되는 투명 전도막의 경우, 가시광 영역에서의 투과 및 반사와 같은 광학적 특성은 중요한 요소 중의 하나이다. 투명 전도막의 광흡수, 반사 및 투과즉성은 박막 내에 존재하는 전공밴드의 전자, 자유전자, polar optical phonon 등의 빛과의 반응에 의해 결정된다고 알려져 있다. 본 연구에서는 저온 증착된 ITO 박막의 광학적 특성을 향상시킬 수 있는 방법을 모색하고 기계적, 화학적 특성을 분석하였다.
-
Recently, active materials such as amorphous silicon (a-Si), poly crystalline silicon (poly-Si), transition metal oxide semiconductors (TMO), and organic semiconductors have been demonstrated for flexible electronics. In order to apply flexible devices on the polymer substrates, all layers should require the characteristic of flexibility as well as the low temperature process. Especially, pentacene thin film transistors (TFTs) have been investigated for probable use in low-cost, large-area, flexible electronic applications such as radio frequency identification (RFID) tags, smart cards, display backplane driver circuits, and sensors. Since pentacene TFTs were studied, their electrical characteristics with varying single variable such as strain, humidity, and temperature have been reported by various groups, which must preferentially be performed in the flexible electronics. For example, the channel mobility of pentacene organic TFTs mainly led to change in device performance under mechanical deformation. While some electrical characteristics like carrier mobility and concentration of organic TFTs were significantly changed at the different temperature. However, there is no study concerning multivariable. Devices actually worked in many different kinds of the environment such as thermal, light, mechanical bending, humidity and various gases. For commercialization, not fewer than two variables of mechanism analysis have to be investigated. Analyzing the phenomenon of shifted characteristics under the change of multivariable may be able to be the importance with developing improved dielectric and encapsulation layer materials. In this study, we have fabricated flexible pentacene TFTs on polymer substrates and observed electrical characteristics of pentacene TFTs exposed to tensile and compressive strains at the different values of temperature like room temperature (RT), 40, 50,
$60^{\circ}C$ . Effects of bending and heating on the device performance of pentacene TFT will be discussed in detail. -
Highly stable and high performance amorphous oxide semiconductor thin film transistors (TFTs) were fabricated using 4-mercaptophenol (4MP) doped ZnO by atomic layer deposition (ALD). The 4 MP concentration in ZnO films were varied from 1.7% to 5.6% by controlling Zn: 4MP pulses. The carrier concentrations in ZnO thin films were controlled from
$1.017{\times}10^{20}$ /$cm^3$ to$2,903{\times}10^{14}$ /$cm^3$ with appropriate amount of 4MP doping. The 4.8% 4MP doped ZnO TFT revealed good device mobility performance of$8.4cm^2V-1s-1$ and on/off current ratio of$10^6$ . Such 4MP doped ZnO TFTs were stable under ambient conditions for 12 months without any apparent degradation in their electrical properties. Our result suggests that 4 MP doping can be useful technique to produce more reliable oxide semiconductor TFT. -
본 논문은 InN와 GaN를 교대로 증착하는 교번성장법을 이용해 제작한 4주기 InN/GaN 박막의 구조적, 광학적, 특성을 X-ray diffraction, Atomic force microscopy, Transmission electron microscopy과 저온 Photoluminescence (PL) 장비를 사용하여 분석한 결과를 보고한다. Fig. 1은 4주기 InN/GaN박막의 XRD 스펙트럼으로 GaN(0002)와 InN(0002)의 회절 신호를 관찰할 수 있다. 그러나 두 피크뿐만 아니라 InN와 GaN 사이에 구분이 되지 않은 추가 신호를 확인할 수 있다. 추가신호는 InN와 GaN 계면에서 발생하는 상호확산 확률로서 해석할 수 있다. Fig. 2는 다양한 조건에서 성장한 InN/GaN 시료의 PL스펙트럼으로 방출 파장은 각각 1,380, 1,290, 1,280, 1,271, 1,246 nm로 측정되었다. 성장 조건 변화에 따른 발광특성 변화를 박막에서 III족 원자 특히, In 원자의 성장 거동에 따른 구속준위(Localized states) 변화로 논의할 예정이다.
-
전자종이, 입을 수 있는 디스플레이, 플렉서블 터치 스크린, 투과성 면 등과 같은 차세대 플렉서블 투명 전자소자는 기계적으로 유연하고 광학적으로 투명하며 무게가 가벼운 특성을 지녀야 할 것으로 예상된다. 현재까지는Indium tin oxide (ITO), zinc tin oxide (ZTO), carbon nano tube (CNT)와 polyimide 계열의 물질들이flexible, wearable, and transparent electronics (FWTEs) 소자의 electrode, active channel, dielectric layers로 제안되어 활발히 연구되었다. 최근에는 높은 이동도(~200,000 cm2/Vs) 및 유연성(fracture strain of 30%), 투명도 (97.5% for monolayer)와 같은 특성을 갖는 그래핀에 대한 연구가 활발히 진행되고 있다. 그러나 그래핀을 차세대 플렉서블 투명 전자소자 구현에 적용하기 위해서는 플렉서블하고 투명한 절연체의 확보 및 그래핀의 진성(intrinsic) 특성 유지 등과 같은 문제점들을 해결해야 한다. 따라서, 본 연구팀에서는 그래핀 기반 플렉서블 투명 전자소자의 게이트 절연층으로 적합한 poly-4-vinylphenol/poly (melamineco-formaldehyde) (PVP/PMF) 물질을 제시하고 이에 대한 전기적 재료적 분석을 수행하였다. 특히 다양한 PVP와 PMF의 비율 및 가열(annealing 혹은 curing) 온도에서 형성된 PVP/PMF 층의 화학 및 전기적 특성을 FT-IR, I-V, 그리고 C-V 측정을 통해 확인하였다. PVP/PMF는 유기절연 물질의 하나로서 높은 유연성과 투명도를 갖고 있을 뿐만 아니라 그래핀에 적용 시 그래핀의 진성 특성을 확보할 수 있다. 이는 PVP/PMF에 존재하는 hydroxyl (-OH) 그룹과 그래핀 상에서 정공(hole)을 공급하는 것으로 알려져 있는 -OH 그룹들간의 cross-linking 메커니즘에 의한 것으로 예상된다. 마지막으로 최적화된 PVP/PMF (낮은 hysteresis 전압)를 게이트 절연층에 적용하여 polyethylene terephthalate (PET) 기판 및 연구원의 손가락 위에 95.8%의 투명도 및 0에 가까운 Dirac point를 갖는 그래핀 기반 플렉서블 투명 전자소자를 성공적으로 집적하였다.
-
PDMS는 미세패턴을 위해 소프트 리소그래피 널리 활용되어질 뿐만 아니라, 재질이 투명하고 탄성과 강한 내구성을 갖고 있어 유연한 광학 및 전자소자에 이용될 수 있다. 최근에는, 이러한 PDMS를 서브파장구조(subwavelength grating structure)를 형성하거나 텍스쳐(texture)표면구조를 이용한 효과적인 반사방지막(antireflection coating)기판을 제작하여 태양전지 및 디스플레이 소자의 성능을 발전시키는 연구가 활발히 진행되고 있다. 한편, 수열합성법(hydrothermal method)이나 전기화학증착법(electrodeposition method)으로 비교적 간단한 공정을 통해서 다양한 기판위에 산화아연(ZnO) 나노막대(nanorod)를 수직정렬로 성장시킬 수 있는데, 이러한 구조는 반사방지특성의 유효 굴절률 분포(effective refractive index profile)를 갖고 있기 때문에 LED나 태양전지에 성능을 개선할 수 있다. 이에 본 연구에서는 수열합성법을 통해 성장된 수직 정렬된 산화아연 나노막대를 이용한 PDMS 표면의 미세패턴 형성하여 광학적 특성을 분석하였다. 실험을 위해, 스퍼터링을 통해서 산화아연 시드층을 형성한 후, 질산아연헥사수화물과 헥사메틸렌테트라민을 수용액에 담가두어 산화아연 나노막대를 성장시켰으며, PDMS의 베이스와 경화제의 질량비를 10:1으로 용액을 준비하여 수직 정렬된 산화아연 나노막대 표면을 casting method으로 코팅하여 열경화 처리하였다. 제작된 샘플의 형태, 구조 광특성을 관찰하기 위해서 전계방출형전자현미경, X선 회절 분석기, 분광 광도계를 이용하였다.
-
Cuprous oxide (
$Cu_2O$ )는 밴드갭이 2.17 eV p-type 산화물 반도체로써 태양에너지 변환기, photocatalysis (광촉매작용), 센서, 스위칭 메모리 등 응용이 다양한 재료이다. 산화물 반도체의 기본 특성은 나노/마이크로 범위 안에서 재료의 표면형태, 크기, 구조와 형상 공간방향등에 크게 영향을 받는다. 그렇기 때문에 원하는$Cu_2O$ 특성을 얻기 위해서 성장 거동을 아는 것은 매우 중요하다. RF 마그네트론 스퍼터법으로 rod 성장 사례는 잘 알려지지 않았다. 그래서 RF 마그네트론 스퍼터법$Cu_2O$ rod 형성 실험을 통하여$Cu_2O$ 형성과 성장 거동을 알아보았다. RF 마그네트론 스퍼터법으로$Cu_2O$ rod를 glass 기판 위에 Cu metal target을 이용하여 형성시켰다.$Cu_2O$ rod 합성을 위해 기판온도 및 산소분압 O2/(Ar+O2)=3%, 5%, 7% 증착시간 등을 변화시켜 실험하였다. 성장된 rod의 분석은 XRD, SEM으로 확인하였다. 성장 거동은 증착온도와 증착시간에 차이를 보였다. 증착온도$550^{\circ}C$ 에서 rod가 생성되는 것을 관찰하였다. 증착시간이 길어질수록 rod 길이가 길어지고 일정 시간이 지나면 rod의 길이 성장보다는 두께(폭)가 성장하는 것을 확인하였다. 증착온도$550^{\circ}C$ 그리고 산소분압 3%, 5%, 7% 조건에서 rod 합성 실험을 하였을 때 3%, 5% 조건에서 rod의 성장을 확인하였다. 이때 3%, 5% 산소분압에 따라 rod의 모양이 변화하였다. 하지만 7% 조건에서는 rod가 성장하지 않았다. 이유는 3%, 5%에서는 Cu metal peak을 확인하였지만, 7% 조건에서는 Cu metal peak이 없었다. 이로부터 Cu metal이$Cu_2O$ rod 생성에 영향을 미치는 중요한 요소임을 예상할 수 있었다. -
반도체의 성능은 최근 10년 사이에 급격하게 발전했고 아날로그 및 디지털 회로 소자들에 있어 저전력/고속 특성 요구가 커지고 있다 [1]. 상온에서 30,000
$cm^2$ /Vs 이상의 전자 이동도를 가지며 큰 conduction band offset을 갖는 InAs/AlSb 2차원전자가스(2DEG) 소자는 Spinorbit-interaction의 값이 매우 커서 SPIN-FET 소자로 크게 주목받고 있다 [2]. 본 발표자들은 GaAs 기판위에 성장한 InAs 2DEG HEMT 소자의 전/자기적인 특성과 고속반응 물질로 주목 받는 InSb 박막소자의 doping 특성에 따른 전기적/물리적인 특성의 평가에 대해 그 결과를 소개하고자 한다. 격자정합과 Semi-insulating 기판의 부재로 상용화되어 있는 GaAs와 InP 기판위에 물질차이에 따른 고유의 한계 특성을 줄이기 위한 Pseudomorphic이라 불리는 특별한 박막 성장 기법을 적용하여 높은 전자 이동도를 가지며 spin length가 길어 Spin-FET로서 크게 주목받고 있는 InAs 2DEG HEMT 소자를 완성시켰다. 60,000 ($cm^2$ /Vs) 이상의 높은 전자 이동도를 갖는 소자의 구현을 목표로 연구를 진행하였으며 1.8 K에서 측정된 Spin-orbit interaction의 값은 6.3e-12 (eVm)이다. InAs/InGaAs/InAlAs 및 InGaAs/InAlAs 구조의 InP 기반의 소자에서 보다 큰 값으로 향후 Spin-FET 응용에 크게 기대하고 있다. 또한, GaAs 기판위에 구현된 InSb 소자는 격자부정합 감소를 위해 InAs 양자점을 사용하여 약$2.6{\mu}m$ 두께로 구현된 InSb 박막 소자는 상온에서 약 60,400 ($cm^2$ /Vs)의 상온 전자이동도를 보였으며 현재 동일 두께에서 세계 최고결과(~50,000$cm^2$ /Vs)에 비해 월등하게 높은 값을 보이고 있다. Hall bar pattern 공정을 거쳐 완성된 소자는 측정 결과 10~20% 이상 향상된 전자 이동도를 보였다. 2e18/$cm^3$ 미만의 p-doping의 경우, 상온에서 n-type 특성을 보이나, 저온에서 p-type으로 변하는 특성을 보였고 n-doping의 경우 5e17/$cm^3$ 까지는 전자 이동도만 감소하고, doping에 의한 효과는 크게 없었다. 1e18/$cm^3$ 의 높은 doping을 할 경우 carrier가 증가하는 것을 확인했다. 이상의 측정 결과로 Spin-FET 소자로서 아주 우수하다는 것을 확인할 수 있었고 n-/p- type이 특성을 고려한 high quality InSb 박막소자의 응용을 위한 중요한 정보를 얻을 수 있었다. -
Resistance-change Random Access Memory (ReRAM) memory, which utilizes electrochemical control of metal in thin films of solid electrolyte, shows great promise as a future solid state memory. The technology utilizes the electrochemical formation and removal of metallic pathways in thin films of solid electrolyte. Key attributes are low voltage and current operation, excellent scalability, and a simple fabrication sequence. In this work, we investigated the nature of thin films formed by photo doping of Ag+ ions into chalcogenide materials for use in solid electrolyte of Resistance-change RAM devices and switching characteristics.
-
산화물 반도체 물질을 이용한 Thin film transistor (TFT) 소자는 기존의 비정질 Si TFT와 저온 다결정 Si TFT 소자가 가지지 못하는 장점들이 보고되면서 차세대 디스플레이용 소자로 주목을 받고 있다. 그 중 TFT의 채널 물질로 a-IGZO가 많이 활용되고 있다. a-IGZO의 활용이 더 많아지고 있는 이유는 저온공정이 가능하고 3.2 eV의 큰 밴드갭으로 투명하며 높은 균일도, 캐리어 이동도를 모두 가지고 있기 때문이다. 본 연구에서는 산화물 물질인 IGZO를 채널 층으로 사용한 TFT소자에서 IGZO의 캐리어인 전자의 이동경로를 금속을 통하여 이동하게 함으로써 전기적 특성의 변화를 관찰하였다. TFT는 다수 캐리어가 게이트 전압에 의하여 박막 아래쪽에 채널을 형성하여 동작한다. 이 때 IGZO박막과 SiO2 사이의 Al을 증착하여 다수 캐리어인 전자의 이동도를 향상시켰다. 전극으로 사용되어지는 Al은 IGZO박막과 ohmic contant이기 때문에 전자의 이동이 어렵지 않기 때문이다. 소자 제작은 게이트로 도핑된 P형 기판을 사용하였고 게이트 절연체로 SiO2 200 nm를 증착하였다. 채널층로 IGZO를 증착하기 전에 게이트 절연체 위에 evaporation으로 Al을 20 nm를 증착하였다. 이때 mask는
$2.4{\times}10^{-4}cm^2$ 크기의 dot 형태를 사용하였다. Al을 증착 후 RF sputtering으로 IGZO를 30 nm 증착하였으며$350^{\circ}C$ 에서 90 min 동안 열처리하였다. 소스와 드레인은 evaporation으로 Al을 100 nm 증착하였다. HB 4145B 측정기로 I-V 그래프를 통하여 전기적 특성의 변화를 관찰하였다. -
O, Jeong-Hwa;Gong, Dae-Yeong;Yun, Seong-Ho;Pyo, Dae-Seung;Hong, Pyo-Hwan;Kim, Bong-Hwan;Lee, Jong-Hyeon;Jo, Chan-Seop 480
태양에너지는 신재생 에너지 중에서 무한한 에너지원으로서 태양에너지에 대한 활발한 연구가 이루어지고 있다. 그 중에서도 결정형 실리콘 태양전지에 대해 다양한 연구가 진행 중이다. 이러한 실리콘 태양전의 제작은 실리콘 식각 용액을 이용하여 기판의 절삭 손상된 부분을 식각한 후 텍스쳐링(texturing) 공정을 통해 표면의 흡수율을 높이고, 반면에 반사율을 감소시킨다. 텍스쳐링 공정이 끝난 후 도핑 공정을 통해 에미터(emitter)를 형성, 반사방지막을 증착, 기판의 전면과 후면에 페이스트를 바르고 스크린인쇄법으로 전극을 형성한 후 마지막으로 형성된 전극을 소성 공정을 통해 전극이 에미터와 접촉하면 태양전지가 완성된다. 하지만 텍스쳐링 공정을 통해 만들어진 피라미드 구조는 도핑공정을 하게 되면, 꼭짓점 부분의 균일한 도핑이 이루어지지 않는다. 이러한 균일하지 않은 공정으로 인해 전극 소성 공정에서 일부의 에미터층을 뚫어버리게 되므로 누설전류가 증가하게 된다. 그래서 본 논문에서는, 변환 효율을 개선시키기 위해 표면 구조와 반사방지막의 열처리 공정에 대한 연구를 하였다. 우선 피라미드 구조를 균일하게 만들었으며, 반사방지막 형성 후 열처리를 하여 소수 캐리어 수명을 증가시켰으며, 누설전류를 감소하였다. 균일한 도핑 및 전극 형성을 용이하게 하는 부드러운 피라미드 구조를 형성하기 위해 HND (HF:HNO3 : D.I wafer=5 : 100 : 100) 용액을 사용하여 식각하였다. 그 결과 직렬저항은 NHD용액을 사용하여 300초 동안 식각하였을 때$1.284{\Omega}$ 낮아지는 결과를 얻을 수 있었으며, 도핑을 균일화하여 누설전류를 감소시킬 수 있었다. -
Chemical bath deposition (CBD) 기술에 의해 slide glass 기판 위에 CdS 박막을 적층 형성하였다. 적층된 박막들은 CdCl2와 thiorea (H2NCSNH2)를 증류수와 혼합 시dipping의 온도 조건, pH 조건, 시간 및 횟수를 달리하여 균일한 표면이 형성되도록 하였다. 적층된 박막은
$200^{\circ}C$ 이상의 고온에서 annealing하여 결정화하였다. 적층한 박막은 결정화 요인들을 XRD, FE-SEM, AFM, EDX, UV-Vis spectroscopy를 통해 조사하였다. 형성된 박막은 포토레지스터로 활용될 가능성을 조사하였다. -
반도체 소자의 미세화와 더불어 세정공정의 중요성이 차지하는 비중이 점점 커지고, 이에 따라 세정 기술 개발에 대한 요구가 증대되고 있다. 기존 세정 기술은 화학약품 위주의 습식 세정 방식으로 표면 손상, 화학 반응, 부산물, 세정 효율 등 여러 가지 어려움이 있다. 따라서 건식세정 방식이 활발하게 도입되고 있으며 대표적인 것이 에어로졸 세정이다. 에어로졸 세정은 기체상의 작동기체를 이용하여 에어로졸을 형성하고 표면 오염물질과 직접 물리적 충돌을 함으로써 세정한다. 하지만 이 또한 생성되는 에어로졸 내 발생 입자로 인해 패턴 손상이 발생하며 이러한 문제점을 극복하기 위하여 본 연구에서는 가스클러스터 장치를 이용한 세정 특성 평가에 관한 연구를 수행하였다. 가스 클러스터란 작동기체의 분자가 수십에서 수백 개 뭉쳐 있는 형태를 뜻하며 이렇게 형성된 클러스터는 수 nm 크기를 형성하게 된다. 그리고 짧은 시간의 응축에 의해 수십 nm 크기까지 성장하게 된다. 에어로졸 세정과 다르게 클러스터가 성장할 환경과 시간을 형성하지 않음으로써 작은 클러스터를 형성하게 되며 이로 인해 패턴 손상을 최소화 하고 상대적으로 높은 효율로 오염입자를 제거하게 된다. 클러스터 세정 장비를 이용한 표면 처리는 충돌에 의한 제거에 기반한다. 따라서 생성 및 가속되는 클러스터로부터 대상으로 전달되는 운동량의 정도가 세정 특성에 영향을 미치며 이는 생성되는 클러스터의 크기에 종속적이다. 생성 클러스터의 크기 분포는 분사 거리, 유량, 분사 각도, 노즐 냉각 온도 등의 변수에 관한 함수이다. 따라서 본 연구에서는
$CO_2$ 클러스터를 이용한 세정 특성을 평가하기 위하여 이러한 변수에 따라서 오염 입자의 종류, 크기에 따른 PRE (particle removal efficiency)를 평가하고 다양한 선폭의 패턴을 이용하여 손상 실험을 수행하였다. 제거 효율에 사용된 입자는$CeO_2$ 와$SiO_2$ 이며, 각각 30, 50, 100, 300 nm 크기를 정량적으로 오염시킨 쿠폰 웨이퍼를 제조하여 세정 효율을 평가하였다. 정량적 오염에는 SMPS (scanning mobility particle sizer)를 이용한 크기 분류와 정전기적 입자 부착 시스템이 사용되었다. 또한 패턴 붕괴 평가에는 35~180 nm 선폭을 가지는 Poly-Si 패턴을 이용하였다. 실험 결과 클러스터 형성 조건에 따라 상대적으로 낮은 패턴 붕괴에서 95% 이상의 높은 오염입자 제거효율을 전반적으로 보이는 것을 확인할 수 있었다. 따라서 이론적 계산에 기반하여 세정에 요구되는 클러스터 크기를 가정하고, 이를 통하여 세정에 적용할 경우 높은 기존 세정 방법의 단점을 보완하면서 높은 세정 효율을 가지는 대체 세정 방안으로 이용할 수 있음을 확인하였다. -
Latral Composition Modulation (LCM)으로 성장한 InP/GaP 초격자(Superlattice)의 선편광된 광발광(Photoluminescence) 특성을 저온(5 K)에서 측정하였다. LCM 기법은 z-축 방향으로 InP와 GaP를 단층 초격자(monolayer supperlattice)로 성장하는 과정에서 strain에 의해 x-y 평면으로 초격자가 형성되는 특별한 경우이다. 이렇게 성장된 LCM 초격자의 경우 In-rich 영역과 Ga-rich 영역이 교차로 성장되는 구조를 가지며 가전자대역(valence band)에서 무거운 양공과 가벼운 양공의 band mixing 이 일어나게 되어 선평광된 발광특성을 가진다. 우리는 저온 발광실험에서 In-rich 영역과 Ga-rich 영역의 재결합에 의해 나타나는 두 개의 독립된 전이 피크를 측정하였다. 이 두 피크는 [110] 방향의 편광에서 발광 강도가 최대치를 가지며 [1-10] 방향에서 최소값을 가짐을 보였다. 이때 전이 에너지의 경우 [110] 방향에서 [1-10] 방향으로 편광이 바뀔 때 Ga-rich 영역의 전이의 경우 적색편이를 나타낸 반면 In-rich 영역의 경우 청색편이를 보이는 현상을 발견하였다. 이러한 상반된 편이 현상은 서로 다른 3족 물질의 영역에 따라 격자 상수가 바뀌며 tensile strss와 compressive stress에 따른 가전자 대역의 band mixing 변화에 기인하는 것으로 여겨진다.
-
Kim, Jin-Seon;O, Gyeong-Min;Jo, Gyu-Seok;Song, Yong-Geun;Hong, Ju-Yeon;Heo, Seung-Uk;Nam, Sang-Hui 484
기존 진단용 Digital X-ray Detector이 직접방식에서는 a-Se (Amorphous Selenium)이 대중화되었지만 고전압을 인가하여야한다는 점과 그로 인한 물질 자체의 Life time 감소 등 여러 단점들 때문에 기타 후보물질들로 HgI2, PbI2, PbO, CdTe, CdZnTe가 연구 되고 있다. 이러한 후보 물질들 중 본 연구에서는 PVD (Physical Vapor Deposition)방식을 이용하여 Polycrystalline CdTe 박막을 제작하고 특성 향상을 위해 유전물질을 Passive layer와 Protect layer로써 증착하였다. 또한 유전체층의 위치에 따른 특성 분석을 위해 제작된 박막은 FE-SEM (Field Emission Scanning Electron Microscope), XRD (X-ray Diffraction)을 통해 구조적인 특성을 확인하였다. 그리고 입사되는 X-ray 선량에 의해 생성되는 전기적 특성을 분석하였다, 그 결과 박막의 Grain Size는 약$5{\mu}M$ 이며 (111)방향의 주 peak를 띄는 Poly CdTe형태로 증착된 것을 확인하였다. 전기적인 신호 결과 Passive layer와 Protect layer를 증착한 박막 모두 Darkcurrent가 감소된 것을 확인하였다. 또한 Sensitivity 측정 결과 Passive layer를 삽입한 경우 신호 값이 감소하였으며 Protect layer를 삽입한 경우 신호 값의 변화가 일어나지 않았다. 그러므로 Protect layer를 등착한 박막의 경우 SNR이 현저히 높아지는 결과를 낳았다. -
Plasma-assisted molecular beam epitaxy법으로 자가 형성되는 InN 박막을 활용하여 GaN 박막의 결함밀도를 감소시키는 성장 구조 조건에 대하여 연구하였다. Sapphire 기판 위에 저온에서 GaN 핵층을 3 nm 두께로 성장하고, 그 위에 InN 박막을 성장 한 후, 고온에서 GaN을 성장하였다. InN박막의 성장 온도는
$450^{\circ}C$ 이고, 성장 시간을 30초에서 1분 30초까지 각각 달리 하였다. 실험결과 InN 층이 삽입된 GaN 박막이 상대적으로 고른 표면이 형성되는 과정을 reflection high energy electron diffraction로 관측하였고, atomic force microscope를 측정하여 표면 거칠기의 개선을 확인하였다. InN 성장시간 변화에 따른 결정학적, 광학적 특성 변화를 x-ray diffraction, photoluminescence 이용하여 조사하였고, 본 연구를 통해 InN박막을 활용한 양질의 GaN 박막 성장 가능성을 확인하였다. -
Silicon nanowire devices fabricated by bottom-up methods are attracted due to their electrical, mechanical, and optical properties. Especially, to functionalize the surface of silicon nanowires by molecules has received interests. The changes in the characteristics of the molecules is delivered directly to the surface of the silicon nanowires so that the silicon nanowire can be utilized as an efficient read-out device by using the electronic state change of molecules. The surface treatment of the silicon nanowire with light-sensitive molecules can change its optical characteristics greatly. In this paper, we present the optical response of a SiNW field-effect-transistor (FET) conjugated with porphyrin molecules. We fabricated a SiNW FET and performed porphyrin conjugation on its surface. The characteristic and the optical response of the device shows a large difference after conjugation while there is not much change of the surface in the SEM observation. It attributed to the existence of few layer porphyrin molecules on the SiNW surface and efficient variation of the surface potential of the SiNW due to light irradiation.
-
According to shrinkage of transistor, interface traps have been recognized as a major factor which limits the process development in manufacturing industry. The traps occur through spontaneous generation process, and spread into the forbidden band. There is a large change of current though a few traps are existed at the Si-SiO2 interface. Moreover, the increased temperature largely affects to the leakage current due to the interface trap. For this reason, we made an effort to find out the relationship between temperature and interface trap. The subthreshold swing (SS) was investigated to confirm the correlation. The simulated results show that the sphere of influence of trap is enlarged according to increase in temperature. To investigate the relationship between thermal energy and surface potential, we extracted the average surface potential and thermal energy (kT) according to the temperature. Despite an error rate of 6.5%, change rates of both thermal energy and average surface potential resemble each other in many ways. This allows that SS is affected by the trap within the range of the thermal energy from the surface energy.
-
일반적으로 sputtering 방식을 이용한 박막 증착 방법은 장치가 간단하고 고품질의 박막이나 균일한 박막을 만들 수 있는 장점이 있어 널리 사용된다. 본 연구에서는 기존의 sputtering 방식에 Modulation technology를 적용하고자 한다. Modulation technology를 이용하여 전원의 pulse on 시에는 일반적인 sputter 방식으로 기판에 박막을 증착하고 pulse off 시에는 양의 전압을 인가하여 이온빔을 발생시킨 후 기판에 입사시키는 방식을 적용하여 박막 형성의 특성을 향상시키고자한다. 이는 고온의 heater 및 이온빔이나 레이저, 플라즈마 소스 등의 추가적인 에너지원의 장치가 필요 없이 고품질의 박막의 특성을 향상시키는 기대 효과가 있다. Modulated Sputtering System (MSS)에 인가되는 전압과 전류의 특성을 관찰하였으며 MSS에 인가하는 전압과 frequency, 그리고 duty cycle 변화에 따른 이온 에너지 분포를 에너지 분석기를 통해 측정하였다. 또한 Langmuir probe를 이용한 afterglow plasma 상태에서의 이온전류를 측정하였다. 그리고, MSS 이용하여 Ti 박막을 증착하였으며 박막의 특성을 분석하기 위하여 a-step, SEM, XRD, AFM을 이용하여 두께, 결정성장면, 표면 거칠기를 측정하였다. 측정 결과 기판에 입사되는 양이온의 에너지가 증가함에 따라 (002) 결정면 방향에서 (100) 결정면 방향으로 증착되고 표면 거칠기가 낮아짐을 측정하였다. 또한 Graphite 타겟을 이용한 carbon 박막을 증착하였으며 박막의 특성을 분석하기 위하여 Raman을 이용한 분석 결과 양이온의 에너지가 증가함에 따라 박막내의 sp3 함유량이 변화함을 측정하였다.
-
AF 코팅은 유리나 플라스틱과 같은 기재 표면을 특수 처리하여 지문과 같은 오염물질의 부착방지와 오염물질이 부착되더라도 쉽게 제거 가능하도록 하는 기술이다. 전자, 자동차, 건축, 섬유, 철강분야 등에 활용 가능한 중요기술로 박막의 발수 발유 기능을 부여하는 표면처리 기술이고, 코팅방법에는 진공증착, 스핀코팅, 딥코팅, 플로우 코팅, 스프레이 코팅 등이 있으며, 경화 방법이나 접촉각 등의 특성이 반영된다. 터치패널 등의 지문부착방지 기술은 불소계와 비불소계 재료로 구분할 수 있지만 지문을 쉽게 지울 수 있고, 오염 방지 기능과 내구성이 있으며, 우수한 광학특성을 유지하는 것이 과제라 할 수 있다. 그리고 항균성을 부여하는 기술도 개발되고 있다. 이런 터치패널의 강화유리에 AF 코팅한 제품은 핸드폰 글래스에 처음 적용하면서부터 실생활에 도입이 시작되고 있다. 이러한 AF 코팅을 스퍼터링 법을 이용하여 증착 시켰다. 기존에는 E-beam을 이용한 증착 방식이 주를 이루었지만, 스퍼터링 법을 이용함으로써 박막의 균일화 및 대량생산이 가능해졌다. 따라서 이 연구에서는 기존의 E-beam 방식과 sputtering 공정 중 ion source에 의한 전처리의 유무에 따른 박막의 특성을 비교하였다. 내부식성, 내마모성 시험을 거친 후, 접촉각을 측정하여 알아보았으며, 박막의 건전성 및 균일성은 FE-SEM을 이용하여 관찰하였다. 실험용 장비가 아닌 실제 생산장비인 직경 1,400 파이의 장비를 이용하여 증착하였으며 염수분무 및 내마모 시험 후, 기존 접촉각의
${\pm}5^{\circ}$ 내외임을 확인 할 수 있었고, 박막의 건전성 또한 뛰어남을 알 수 있었다. -
In semiconductor industry, it is expected that plasma process which use 450 mm source will be used at next generation. However, main obstacle of the large area plasma source is plasma uniformity from it. When electrode is enlarged, field difference between center area and side area reduces the plasma uniformity [1-3]. Therefore we investigate multi-electrode which diminish this field difference.We designed two multi-electrode models. One has two segments and the other has five segments. Each multi-electrode model is connected with two power generator and two matchers. One generator and one matcher is connected with center electrode part. The other one generator and the other one matcher is connected with side electrode part. The ion density is measured at 29 points by using floating harmonic method [4-6]. After measuring the data of each multi-electrode model, we discuss the difference of profile between two models' data.
-
A novel plasma system has been developed for 3-dimensional modification of the carbon nano-powders. Improvement of dispersion of these nano materials are studied by plasma discharge, not using chemical modification. The plasma process is considered to great advantages over wet chemical process due to environmental, economic viewpoint, and uniformity over the treated volume. The uniform dispersion is a critical factor for these material's nano composite applications. Using this plasma system, graphene, carbon black, and CNT was treated and functionalized. Several key discharge conditions such as Ar/H2/O2 or Ar/H2/NH3 gas ratio, treatment time, power, feeder's vibration frequency are investigated. Hydrophobic of graphene has turned some more into hydrophilic by reaction test with water, electrophoresis, surface contact angle test, and turbidity analysis. The oxygen content ratio in the plasma treated CNT has increased about 3.7 times than the untreatedone. In the case of graphene and carbon black, the oxygen- and nitrogen- content has been enhanced average 10%. O-H (N-H) peak, C-O (C-N) peak, and C=O (C=N) peak data have been detected by FTIR measurement and intensified compared to before-plasma treatment due to O2 or NH3 content.
-
반도체 공정을 위한 원격 유도 결합 플라즈마(remote ICP)에서 플라즈마 균일도를 향상하는 연구를 진행하였다. 본 연구에서는고 균일도 플라즈마 발생을 위해 단면적이 다른 2개의 반응 용기를 상부와 하부에 설치하였으며, 각각의 반응 용기 외곽에 방전 코일이 위치하도록 구성하였다. 상부의 반응 용기는 외곽에 유도 코일을 권선하였고, 하부의 반응 용기는 고밀도의 플라즈마 생성을 위해 강자성체를 이용하여 권선하였으며, 강자성체는 쿼츠관을 둘러 싼 구조로 되어 있다. 0.5-1 Torr 공정 압력 범위의 아르곤 기체에서 전체 2500 W의 전력을 인가하였고, 임피던스 정합회로로부터 각각 병렬로 연결된 방전 코일에 전력이 분배되어 인가되는 구조로 설계하였다. 반도체 공정을 위한 플라즈마 균일도를 분석하기 위해 wafer의 위치에서 부유 탐침법을 적용하여 wafer 중심부로부터 반경 방향으로 위치를 변화시키며 플라즈마 밀도와 전자온도를 측정하였다. 동일한 공정 조건에서 하부에 강자성체를 사용하여 권선한 이중 구조의 경우 하나의 방전 코일을 이용한 구조 대비 플라즈마 밀도가 증가하였고, 플라즈마 균일도가 크게 향상됨을 보였다. 강자성체를 이용한 하부 코일에 의해 wafer 외곽 부분의 밀도가 높은 분포를 갖는 플라즈마가 형성되고, 상부의 유도코일에 의해 wafer 중심부에 밀도가 높은 플라즈마가 형성되어 wafer의 플라즈마 균일도가 개선된다. 또한, 강자성체를 이용한 하부 코일에 의해 고밀도의 플라즈마가 형성되므로 반도체 공정을 위한 장비에서 플라즈마 균일도의 개선과 밀도의 향상으로 대면적 Dry Strip 공정 (450mm)에 적용 가능하다.
-
에싱(Ashing)공정을 위한 원격 유도 결합 플라즈마(remote ICP)에서 플라즈마 균일도를 향상하는 연구를 진행하였다. 본 연구에서는 고균일도 플라즈마 발생을 위해 단면적이 다른 2개의 반응 용기를 각각 상부와 하부에 설치하여 각각의 반응 용기 외곽에 방전 코일이 위치하도록 구성하였다. 0.7~1 Torr 공정 압력 범위의 질소와 산소 혼합 기체에서 2,500 W 전력을 인가하였고, 임피던스 정합회로로부터 각각 병렬로 연결된 방전 코일에 전력이 분배되어 인가된다. 에싱 공정을 위한 플라즈마 균일도를 분석하기 위해 Wafer의 위치에서 부유 탐침법을 적용하여 중심부에서 외곽부로 지름축 위치를 변화시키며 플라즈마 밀도와 전자온도를 측정하고, 공정 조건에 따른 에싱율(Asing Rate)을 측정하였다. 동일한공정 조건에서 하나의 방전 코일을 이용한 경우의 플라즈마 균일도 대비 이중 코일 구조를 이용한 경우 플라즈마 균일도가 크게 향상됨을 보였다. 이는 상부의 유도코일이 wafer 위치에서 주로 지름방향 중심부의 플라즈마 밀도에 기여하고, 하부의 유도코일은 주로 외곽의 플라즈마 밀도에 기여해서 나타나는 현상이다. 공정용 장비에서 플라즈마 균일도의 개선으로 공정 수율을 증가 시키는 효과를 기대할 수 있다.
-
Atmospheric pressure non-thermal plasma of the needle-typed interaction with aqueous solutions has received increasing attention for their biomedical applications [1]. In this context, surface discharges at bio-solutions were investigated experimentally. We have generated the non-thermal plasma jet bombarding the bio-solution surface by using an Ar gas flow and investigated the emission lines by OES (optical emission spectroscopy) [2]. Moreover, The non-thermal plasma interaction with bio-solutions has received increasing attention for their biomedical applications. So we researched, the OH radical density of various biological solutions in the surface by non-thermal plasma were investigated by Ar gases. The OH radical density of DI water; deionized water, DMEM Dulbecco's modified eagle medium, and PBS; 1x phosphate buffered saline by non-thermal plasma jet. It is noted that the OH radical density of DI water and DMEM are measured to be about
$4.3 3{\times}1016cm-3$ and$2.18{\times}1016cm-3$ , respectively, under Ar gas flow 250 sccm (standard cubic centimeter per minute) in this experiment. The OH radical density of buffer solution such as PBS has also been investigated and measured to be value of about$2.18{\times}1016cm-3$ by the ultraviolet optical absorption spectroscopy. -
액체 내에 적용 가능한 바이오 플라즈마 소스를 제작하기 위해 텅스텐과 주사 바늘, 카테터 등의 여러 재료를 사용하여 시도를 해보았고 액체에서 방전이 일어날 수 있는 구조를 연구하였다. 전극 위에 절연체를 씌우고 그 위에 전극을 고정시켜 전압을 인가하여 전극 간에 표면방전을 통해서 플라즈마를 생성하는 방식을 사용하였다. 실험 장비는 AC 전압을 사용하였으며(12 kV, 22 kHz) 방전 전압과 방전 전류를 고전압 프로브(Tektronix P6015A)와 전류 프로브(P6021)를 사용하여 측정하였다. 모노크로미터를 이용하여 바이오 플라즈마 소스가 액체 속(수돗물, 증류수, 생리식염수)에서 방전 될 때 에미션 스펙트럼을 분석하여 산화질소(nitric oxide; NO), 과산화수소(hydrogen peroxide; H2O2), hydroxyl radical이 발생함을 확인하였다. 인체 내에서는 온도가 중요한 요소이기 때문에 액체에서 방전할 때
$40^{\circ}C$ 이하의 낮은 온도에서 이용이 가능하도록 연구하였다. 특히, 우리는 여러 종류의 액체(수돗물, 증류수, 생리식염수)에서의 방전 특성의 광학적 전기적 연구를 하였다. -
Park, Jae-Seong;Seo, Chang-Taek;Lee, Dong-Ik;Sin, Han-Jae;Hwang, Do-Yeon;Lee, Jeong-Hwan;Park, Seong-Eun 496
스마트윈도우는 디스플레이, 산업용 외장재 등 다양한 분야에 응용이 가능하며, 특히 전기변색을 이용한 디바이스는 나노코팅 기술을 통한 나노입자 및 나노가공제어 등 나노융합기술을 접목할 수 있다. 전기변색 디바이스는유리 또는 필름 기판소재를 통해 제작이 가능하며, 본 연구에서는 전기변색의 산화, 환원반응에 의해 재료의 광특성이 가역적으로 변화할 수 있는 물질을 증착하여 기존 라미네이터 및 Sol-Gel방식의 전해질보다 열화현상에의한 성능저하를 막아주는 박막전해질 코팅 연구이다. 전기변색 소자는 외부 인가 전압(external voltage)에 의해 유도된 전하의 주입(injection) 과 추출(extraction)을 통하여 그 광학적 특성(optical property)을 가역적으로(reversibly) 변 화시킬 수 있는 특징을 가지고 있다. 전기변색소재의 원리를 간략하게 설명하면 대표적인 환원착색 물질인 전기변색층(WO, MoO, Nb2O5 등)으로 Li+ 또는 H+과 전자가 주입되면 전기변색되고 방출 시는 투명하게 되며, 반대로산화착색 물질인(V2O5, NiO, IrO, MnO 등)으로 Li+ 또는 H+과 전자가 방출되면 변색되고 주입되면 투명하게 되는 것이다. 본 연구에서는 전자가 주입되는 환원착색물질인 WO와 함께 Ta2O5박막을 증착하여 광학적특성을 연구하고 박막의 두께 및 전압인가에따른 변색 및 응답속도를 연구하고자 한다. -
Semiconducting amorphous InGaZnO (a-IGZO) has attracted significant research attention as improved deposition techniques have made it possible to make high-quality a-IGZO thin films. IGZO thin films have several advantages over thin film transistors (TFTs) based on other semiconducting channel layers.The electron mobility in IGZO devices is relatively high, exceeding amorphous Si (a-Si) by a factor of 10 and most organic devices by a factor of
$10^2$ . Moreover, in contrast to other amorphous semiconductors, highly conducting degenerate states can be obtained with IGZO through doping, yet such a state cannot be produced with a-Si. IGZO thin films are capable of mobilities greaterthan 10$cm^2$ /Vs (higher than a-Si:H), and are transparent at visible wavelengths. For oxide semiconductors, carrier concentrations can be controlled through oxygen vacancy concentration. Hence, adjusting the oxygen partial pressure during deposition and post-deposition processing provides an effective method of controlling oxygen concentration. In this study, we deposited IGZO thinfilms at optimized conditions and then analyzed the film's electrical properties, surface morphology, and crystal structure. Then, we explored how to generate IGZO thin films using DC magnetron sputtering. We also describe the construction and characteristics of a bottom-gate-type TFT, including the output and transfer curves and bias stress instability mechanism. -
Atmospheric Pressure Plasmas have pioneered a new field of plasma for biomedical application bridging plasma physics and biology. Biological and medical applications of plasmas have attracted considerable attention due to promising applications in medicine such as electro-surgery, dentistry, skin care and sterilization of heat-sensitive medical instruments [1]. Traditional approaches using electronic devices have limits in heating, high voltage shock, and high current shock for patients. It is a great demand for plasma medical industrial acceptance that the plasma generation device should be compact, inexpensive, and safe for patients. Microwave-excited micro-plasma has the highest feasibility compared with other types of plasma sources since it has the advantages of low power, low voltage, safety from high-voltage shock, electromagnetic compatibility, and long lifetime due to the low energy of striking ions [2]. Recent experiment [2] shows three-log reduction within 180-s treatment of S. mutans with a low-power palm-size microwave power module for biomedical application. Experiments using microwave plasma are discussed. This low-power palm-size microwave power module board includes a power amplifier (PA) chip, a phase locked loop (PLL) chip, and an impedance matching network. As it has been a success, more compact-size module is needed for the portability of microwave devices and for the various medical applications of microwave plasma source. For the plasma generator, a 1.35-GHz coaxial transmission line resonator (CTLR) [3] is used. The way of reducing the size and enhancing the performances of the module is examined.
-
유기 발광 소자는 낮은 구동전압, 낮은 소비전력, 높은 명암비, 넓은 시야각 및 빠른 응답속도의 장점을 가지고 있기 때문에 전색 디스플레이에서 각광을 받고 있다. 고효율의 청색 유기 발광 소자를 제작하기 위해서 다양한 구조를 제작하고 있지만, 적색 및 녹색 유기 발광 소자에 비해 낮은 효율, 색 순도의 저하 및 짧은 수명으로 인한 문제점을 갖고 있기 때문에 이를 해결하기 위한 연구가 필요하다. 본 연구에서는 발광층내에 호스트 물질로 1.3-Bis(carbazol-9-yl) benzene (mCP)와 2-t-butyl-9,10-di-2-naphthylanthracene (TBADN)을 혼합하였고, 형광 도펀트인 4,40-Bis[4-(diphenylamino)styryl]biphenyl (BDAVBi) 또는 인광 도펀트인 bis(3,5-difluoro-2-(2-pyridyl) phenyl-(2-carboxypyridyl)iridium III (FIrpic)을 혼합한 발광층을 사용한 유기 발광 소자를 제작하여 전기적인 특성과 발광 효율을 관찰하였다. 유기 발광 소자의 정공 수송층 N,N,'-bis-(1-naphthyl)-N,N'-diphenyl1-1'-biphenyl-4,4'-diamine (NPB)와 정공 저지층 3-Benzidino-6-(4-chlorophenyl) pyridazine (BCP) 사이에 호스트 mCP와 도펀트 TBADN:BDAVBi를 혼합한 발광층의 혼합비율을 최적화 할 때 구동전압이 낮고, 발광효율이 증가됨을 확인하였다. 호스트 mCP에 도펀트를 혼합한 발광층에서는 호스트로 mCP 또는 TBADN만 사용하였을 때보다 전계발광 스펙트럼의 최대치가 청색 영역에서 나타남을 확인하였다. Commission Internationale de l'Eclairage (CIE) 측정을 통해, 호스트 mCP와 도펀트 TBADN : BDAVBi의 최적화된 혼합비에서 전압의 변동에 따른 CIE 값이 매우 안정적임을 확인하였다.
-
특정한 유기 물질에 전류를 인가했을 때 발광을 하는 특성을 이용한 Organic Light Emitting Diode (OLED)는 뛰어난 색재현성, 적은 전력소모, 간단한 제조공정, 넓은 시야각 등으로 인해 PDP, LCD, LED에 이은 차세대 디스플레이 소자로 많은 관심을 받고 있다. 하지만 OLED는 각기 다른 굴절률을 가지는 다층구조로 되어있어 실질적으로 소자 밖으로 나오는 빛은 원래 생성된 빛의 20% 정도 밖에 되지 않는다. 이러한 광 손실을 줄이기 위해 Photonic Crystal (PC)이나 마이크로 렌즈 어레이(MLA) 부착 등과 같이 특정한 크기를 갖는 주기적인 나노 구조물을 이용한 광추출 효율 상승 방법은 특정 파장의 빛에서만 효과가 있는 한계가 있었으며 고가의 공정과정을 거쳐야 했으므로 OLED 소자의 가격 향상에 일조하였다. 이의 해결을 위해 본 연구는 유리기판 위에 랜덤한 분포를 가지는 나노 구조물 제작 공정법을 제안한다. 먼저 유리기판 위에 스퍼터로 금속 박막을 입혀 이를 Rapid thermal annealing (RTA) 공정을 이용하여 랜덤한 분포의 Island를 가지는 마스크를 제작하였다. 그 후 플라즈마 식각을 이용하여 유리기판에 나노 구조물을 형성하였고 기판 위에 남아있는 마스크는 Ultrasonic cleaning을 이용하여 제거하였다. 제작된나노구조물은 200~300 nm의 높이와 약 200 nm 폭을 가지고 있다. 제작된 유리기판의 OLED 소자로의 적용가능성을 알아보기 위한 광학특성 조사결과는 300~900 nm의 파장영역에서 맨유리와 거의 비슷한 수직 투과율을 보이면서 최대 50%정도의 Diffusion 비율을 나타내고 있고 임계각(41도) 이상의각도에서 인가된 빛의 투과율에 대해서도 향상된 결과를 보여주고 있다. 제안된 공정의 전체과정 기존의 PC, MLA 등의 공정에 비해 난이도가 쉽고 저가로 진행이 가능하며 추후 OLED 소자에 적용될 시 대량생산에 적합한 후보로 보고 있다.
-
유기발광소자는 빠른 응답속도, 넓은 시야각, 얇은 두께의 특성으로 차세대 디스플레이 소자기술로 많은 주목을 받고 있다. 특히 높은 색순도와 고효율의 장점을 가지는 양자점을 사용한 유기발광소자에 대한 연구가 활발하게 진행되고 있다. 양자점을 이용한 유기발광소자는 용액 공정이 요구 되기 때문에 유기물 박막 위에 양자점을 균일하게 도포하기 어렵다. 또한, 양자점은 수분과 산소에 빠르게 열화되는 문제점이 있다. 본 연구에서는 색변환 양자점을 포함하는 고분자 poly (N-vibylcarbazole) 정공수송층을 용액공정으로 형성한 후 발광층, 전자 수송 및 주입층과 음극을 차례로 진공증착하여 색변환 양자점을 포함하는 정공수송층을 적용한 청색 유기발광소자를 제작하였다. 색변환양자점과 청색 발광층으로 a 1,4-bis (2,2-diphenylvinyl) biphenyl를 사용하여 제작된 유기발광 소자의 전기적 및 광학적 특성을 관찰하였다. 색변환 양자점을 포함한 청색 유기발광소자의 경우 정공이 양자점에 포획되는 확률이 낮기 때문에 높은 전류밀도와 휘도를 나타냈으며, core/shell 색변환 양자점을 포함한 청색 유기발광소자는 정공이 양자점에 포획되는 확률이 높기 때문에 낮은 전류밀도와 휘도를 나타냈다. 한편, core/shell 색변환양자점을 포함한 청색 유기발광소자의 경우 색변환 양자점을 포함하는 청색 유기발광소자에 비해 발광층에서 발광된 빛을 잘 흡수하여 높은 색변환 효율이 나타났다. 이 연구 결과는 양자점을 색변환층으로 사용한 청색 유기발광소자의 색변환 효율 증가와 발광효율 향상에 대한 기초자료로 활용할 수 있다.
-
유기태양전지는 간단한 제작 공정과 저비용 제작이 가능하고 플렉서블 소자를 제작할 수 있는 장점을 가지고 있어서 많은 연구자들이 관심을 가지고 있다. 하지만 현재 유기태양전지의 효율은 낮기 때문에 실리콘 기반이나 화합물 기반의 태양전지에 비해서 효율이 낮은 단점을 가지고 있다. 유기태양전지의 효율을 높이기 위한 다양한 연구들이 활발하게 진행되고 있다. 특히 나노구조를 가지는 광활성층을 사용하여 제작된 고효율 유기태양전지에 대한 연구가 이루어지고 있다. 나노구조를 가지는 유기태양전지는 생성된 엑시톤을 분리시킬 수 있는 계면이 넓어지기 때문에 전하 분리 효율을 높아지게 되고, 고효율의 유기태양전지를 제작할 수 있게 된다. 또한, 넓은 광흡수 스펙트럼을 가지는 양자점을 활용하는 연구도 함께 진행되고 있다. 양자점을 사용하여 유기태양전지의 효율을 높이는 실험이 진행되고 있지만, 실제 효율을 높이는데 많은 어려움을 가지고 있다. 본 연구에서는 고분자점과 양자점이 결합한 나노복합체를 사용하여 요철 구조를 가진 광활성층을 사용한 유기태양전지를 제작하였다. 고분자점과 양자점이 결합한 나노복합체는 물질에 비해서 넓은 광흡수 영역을 가져서 생성된 엑시톤의 양을 늘리는 역할을 한다. 고분자점과 양자점이 결합한 나노복합체로 만든 요철 구조는 평면구조로 제작한 요철 구조에 비해서 계면에서 균일한 적층이 가능한 나노구조가 제작되기 때문에, 계면에서 일어나는 전하 손실을 줄일 수 있다. 고분자점과 양자점이 결합한 나노복합체로 제작된 요철 구조를 사용한 유기태양전지가 기본 소자에 비해서 상당한 효율 향상을 확인하였다. 양자점을 포함한 나노복합체로 제작된 유기 태양전지의 효율증진 메커니즘을 논한다.
-
유기발광소자는 기존의 디스플레이에 비해서 빠른 응답속도, 넓은 시야각과 높은 박막 특성으로 백색 조명 광원으로 많은 주목을 받고 있다. 특히 백색 조명 광원 관련 기술은 친환경 에너지와 관련해 주목을 받고 있어 연구가 활발하게 진행되고 있다. 백색 유기발광소자를 제작하기 위해서 청색과 황색의 발광층을 적층하는 방법은 유기물질의 계면에서의 불균일로 인한 효율 저하와 구동전압에 따른 재결합 구역의 변화로 색안정성이 나빠지는 문제점이 있었다. 본 연구에서는 고효율 및 높은 색안정성을 나타내는 백색 유기발광소자를 제작하기 위해 고분자/저분자 혼합 발광층 구조를 사용하였다. 고분자 poly (2-methoxy-5-(2'-ethyl-hexyloxy)-1,4-phenylenevinylene (MEH-PPV)와 polystyrene (PS) 혼합물을 스핀코팅하여 박막을 형성한 후, 열처리에 의한 상분리 현상을 이용하여 선택적으로 PS 물질을 제거한 후, MEH-PPV 적색 다공성 고분자 발광층을 형성하였고, 저분자 2-methyl-9,10-di (2-naphthyl) anthracene을 적색 다공성 고분자 발광층 위에 진공증착하여 고분자/저분자 혼합 발광층 구조를 만들었다. MEH-PPV 적색 다공성 고분자 발광층의 혼합 비율을 변화함에 따른 발광층의 미세구조를 원자힘 현미경으로 관찰하였다. 진공증착 후 완성된 고분자/저분자 혼합 발광층을 가진 백색 유기발광 소자의 전류-전압-휘도 측정 결과, MEH-PPV와 PS의 혼합비율이 최적화 되었을 때 안정적인 백색광이 나오는 것을 관측할 수 있었다.
-
유기발광소자는 차세대 디스플레이로 각광받으며 모바일 디스플레이에 이어 대형 디스플레이의 상용화 단계에 이르고 있다. 유기발광소자의 효율을 높이기 위해서는 여러 가지 구조에 대한 연구가 진행되고 있다. 하지만 유기물 내에서는 정공 이동도가 전자 이동도보다 빠르기 때문에 유기발광소자의 발광층에서 전자와 정공이 효율적으로 균형을 이루기 위하여 전자 주입효율 증진에 대한 연구가 필요하다. 본 연구에서는 녹색 유기발광소자의 전자 주입 효율을 향상 하여 소자의 발광 효율을 증진하는 발광효율 향상 메커니즘을 규명하였다. Cesium nitrate(CsNO3)와 lithium quinolate (Liq)를 다층 전자주입층으로 사용한 녹색 유기발광소자는 indiumtin-oxide 양극전극 위에 진공 증착 방법을 사용하여 유기발광소자를 제작하였다. 정공수송층으로 N,N'-di(1-naphthyl)-N,N'-diphenylbenzidine (NPB), 발광층으로 tris (8-hydroxyquinoline) (Alq3), 전자수송층으로 Alq3와 4,7-diphenyl-l-10-phenanthroline (BPhen), 전자주입층으로 CsNO3/Liq와 Liq, Al을 음극 전극으로 각각 사용하였다. CsNO3/Liq와 Liq를 전자주입층과 Alq3와 BPhen 전자 수송층으로 각각 사용한 녹색 유기발광소자의 전자 주입 성능을 비교 하여 발광 효율 향상 메커니즘을 규명하였다. CsNO3/Liq 전자주입층을 사용한 유기발광소자가 Liq 전자주입층을 사용한 유기발광소자보다 전극으로부터 전자 주입효율이 향상됨을 알 수 있었다. 전자주입효율 향상으로 발광층의 전자와 정공의 재결합을 증가하여 녹색 유기발광소자의 효율이 증진되었고 구동전압이 낮아졌다.
-
유기발광소자는 저전력, 고휘도 및 빠른 응답속도와 넓은 시야각 등의 장점을 가지고 있어 소형 디스플레이 및 대형 디스플레이로 상용화하기 위해 많은 연구가 진행되고 있다. 고분자 유기발광소자는 저분자 유기발광소자에 비하여 용액공정을 사용하여 저비용으로 대면적 디스플레이를 제작하기 유리하기 때문에 많은 연구가 되고 있다. 하지만, 고분자 유기발광 소자는 구동 전압이 높고 발광효율이 낮은 단점을 가지고 있다. 본 연구에서는 고분자 유기발광 소자의 구동전압 감소와 발광효율을 증가 시키기 위해 정공주입층을 가진 적색 고분자 유기발광소자를 제작하였다. 정공주입층을 포함하는 고분자 유기발광소자는 Indium thin oxide (ITO)위에 정공주입층 형성 후 스핀코팅 방법으로 poly (3,4-ethylenedioxythiopene): poly (styrenesulfonate) (PEDOT: PSS)을 정공수송층으로, poly (2-methoxy-5-(2-ethylhexoxy)-1,4-phenylence vinylene) (MEH-PPV)를 발광층으로 적층하고, Al을 음극 전극으로 진공 증착하여 소자를 제작하였다. 정공주입층의 특성 분석을 위해 정공주입층의 투과도와 ITO/PEDOT:PSS와 ITO/정공주입층/PEDOT:PSS의 표면을 원자힘 현미경으로 측정하였다. 정공주입층의 가시광선 영역 투과도는 90% 이상으로 높게 나왔으며, ITO/정공주입층/PEDOT:PSS의 경우 ITO/PEDOT:PSS 보다 표면 거칠기가 감소하였다. 높은 가시광선 영역 투과도와 낮은 표면 거칠기는 발광층으로 정공주입을 원활하게 하여 소자의 발광 효율이 증가한다. 정공주입층을 포함하는 적색 고분자 유기발광소자의 경우 전류밀도-전압, 휘도-전압의 관계에서 정공주입층을 사용하지 않은 소자에 비하여 높은 전기적 및 광학적 특성이 나타났다.
-
Ag (Silver) 박막은 낮은 전기 저항과 높은 가시광대의 반사율을 가져 T-OLED (Top Emission-Organic Light Emitting Diode)의 Anode로 각광 받고 있지만, 일반적인 Ag 박막의 일함수는 4.3 eV 이하로 T-OLED의 Anode로 사용하기에는 낮은 단점이 있다. 따라서 이를 극복하기 위한 방법으로 Ag 박막 표면을 산화시켜 일함수를 증가시키기 위한 연구가 진행중에 있다. 하지만 연구는 단순히 일함수를 증가시키는 것에 한정되어 있을 뿐 UV 처리된 박막의 nano-mechanics 특성에 대한 연구는 현재 전무하다. 따라서 본 연구에서는 순도 99.9%의 Ag 타겟을 이용하여 rf magnetron sputter 장비를 통해 Ag 박막을 증착 하였고, 이후 UV (Ultra-Violet) 램프를 통해 시료 표면을 산화시켰다. 특히, 이 논문의 주요 관심사인 박막의 nano mechanics 특성 분석을 위하여 nano indenter와 SPM (Scanning Probe microscope) 장치를 활용 하였다. 실험 결과 후처리 시간이 3분 이하인 경우 박막이 비결정질의 silver oxide로 성장하는 것을 확인하였으며, 이때 박막의 면저항은
$0.16{\Omega}$ /sq.에서$0.55{\Omega}$ /sq.로 증가하는 것을 관찰할 수 있었고, 3분 이후, 비결정질의 silver oxide가 conducting 특성을 갖는 silver oxide 결정을 이루면서 면저항이$0.55{\Omega}$ /sq.에서$0.21{\Omega}$ /sq.로 감소하는 것을 보았다. 또한 결정질의 박막이 자라는 3분이상의 박막에서 surface hardness는 급격하게 증가($3.57{\rightarrow}9.47$ GPa)했으며, 6분 이후에는 감소하는($9.47{\rightarrow}3.46$ GPa) 경향을 보였다. 이러한 경향은 silver oxide의 결정 크기가 Ag 결정 보다 크므로 상대적인 압축응력을 받아 표면 경도가 증가됐다. 처리시간 6분 이후, 경도 감소는 박막의 표면 물성이 불안정해졌기 때문이다. -
유리기판으로 투과되는 빛들 중에는 내부 전반사나 wave-guided mode로 인하여 손실이 일어나 일반적으로 20%의 광추출 효율을 가진다. 이러한 문제점을 해결하기 위한 연구에는 Photonic Crystal과 같은 주기적인 나노 구조물이 있는데 이러한 구조물을 제작하기 위한 마스크 공정 과정은 대부분 복잡하거나 비싼 단점이 있다. 이에 본 발표에서는 마스크 없이 비정질소다라임 유리의 구조물 생성으로 광 추출 효율이 상승하는지 보고자 하였다. M-ICP (Magnetized-Induced Coupled Plasma)란 용량 결합형 플라즈마와 유도 결합형 플라즈마 두 가지 방식의 플라즈마를 이용한 것인데 용량 결합형 플라즈마를 이용해 이온이 sheath에 의해 가속되어 유리표면에 부딪히고 그에 따라 유리가 식각되는 물리적 식각을 이용하였다. 또한 이온의 밀도를 조절하기 위해 유도결합형 플라즈마 방식을 이용하여 식각률을 높였다. 화학적 식각을 위해서는 CF4와 O2혼합 가스를 이용해 F가 Si와 결합하여 SiF4가 되어 사라지고 탄소잔여물인 C는 O2와 반응하여 제거하였다. 그 결과, 랜덤한 분포를 가지는 미세한 구조물(stochastic sub-wavelength structure)을 유리 표면에 형성할 수 있었고, 또한 다양한 가스 종류와 압력, source power와 bias power, 그리고 시간을 바꿔가며 미세 구조물들을 관찰하였다. 실험 결과, 가시광선 파장 이하의 높이를 갖고 수 마이크로미터의 너비를 갖는 구조물이 전반사되는 빛을 효율적으로 추출하는 것을 산란되는 빛의 정도인 diffusive transmittance 가 기존 0%에서 15% 정도로 증가하는 것으로 스펙트로포토미터 측정을 통해 확인하였다. 이러한 유리 기판 위 구조물 생성방법을 OLED에 적용한다면 적은 비용으로 소자의 효율을 크게 향상 시킬 수 있을 것이다. 또한 본 처리 과정의 장점은 기존의 방법에 필요한 스퍼터링이나 RTA 처리 과정이 필요 없어 공정 단가 절감과 제조 공정의 단순화로 높은 생산성을 얻을 수 있으며 대면적화에도 유리하다.
-
백색 유기발광소자는 전색 디스플레이, 액정디스플레이의 backlights, 조명에서 잠재적인 가능성 때문에 디스플레이와 조명 업계에서 각광 받고 있다. 백색 유기발광소자를 제작하기 위한 방법으로 형광체를 이용한 백색 유기발광소자가 연구되고 있지만, 아직 색순도와 색좌표에 대한 연구가 필요하다. 본 연구에서는 무기물 형광체를 이용한 백색 유기발광소자의 전기적 특성과 광학적 특성을 관찰하였다. 광원으로 사용된 청색 유기발광소자에 적색과 녹색의 무기물 형광체를 결합하는 방법으로 백색 유기발광소자를 제작하였다. 광원으로 사용한 청색 유기발광소자의 양극으로는 투명전극으로 널리 쓰이고 있는 ITO를 사용하였고 정공 수송층으로는 N,N'-bis-(1-naphthyl)-N,N'-diphenyl-1,1'-biphenyl-4,4'-diamine, 청색 발광층으로는 1,3-bis(carbazol-9-yl) benzene 호스트에 bis (3,5-difluoro-2-(2-pyridyl)phenyl)-(2-carboxypyridyl) iridium (III) 청색인광도 펀트를 사용하였다. 정공 저지층과 전자 수송층으로는 각각 2,9-dimethyl-4,7-diphenyl-1,10-phenanthorlene와 4,7-diphenyl-1,10-phenanthroline을 사용하고 전자 주입층으로는 lithium quinolate를 사용하였으며 음극으로는 Al을 사용하였다. 색 변환층으로 사용된 유기물 형광체는 sol-gel 방법으로 제작된 적색 형광체와 녹색 형광체를 사용하였다. Sol-gel 방법으로 제작된 형광체에 대한 주사현미경 측정 결과 입자의 표면이 고르고 크기가 작고 균일하였고, 높은 온도 열처리에 따라서 용매제가 대부분 제거되어 형광체 발광 특성이 잘 일어났음을 확인하였다. 제작한 백색유기발광소자에서 혼합비율에 따른 전계발광 특성 변화를 관찰하였다.
-
유기발광소자는 빠른 응답속도, 높은 색재현성 및 높은 명암비의 장점을 가지며 차세대 디스플레이로서 소형 및 대형 디스플레이로 각광 받고 있다. 저전압구동 유기발광소자를 제작하기 위해 p-i-n 유기발광소자에 대한 연구가 진행되고 있다. 그러나 p형 물질에 대한 연구는 많이 진행 되었으나 n형 유기물질에 대한 연구는 아직까지 진행되고 있지 않다. n형 무기물질로 알칼리 금속을 많이 사용하고 있지만, 공기 중에 쉽게 산화되고 금속 이온의 확산에 의한 발광층 여기자 소멸 효과에 의한 효율 감소문제가 있다. 또한, 무기물질의 높은 증착온도에 따른 유기층의 손상 문제가 있다. 이러한 문제점을 해결하기 위해 유기물 n형 물질에 관한 연구가 필요하다. 본 연구에서는 n형 유기물 도펀트인 bis (ethylenedithio)-tetrahiafulene (BEDT-TTF)를 4,7-diphenyl-1,10-phenanthroline (BPhen) 전자수송층에 도핑하여 유기발광소자의 전자 수송 능력을 향상하였다. BEDT-TTF의 낮은 증착온도와 공기 중에 산화가 되지 않으며, 유기물을 사용하기 때문에 발광층 여기자 소멸을 방지할 수 있다. 전자수송층에 도핑된 BEDT-TTF 분자는 산화 반응에 의한 전자 증가에 따른 에너지 장벽을 감소시켜 전자의 주입을 향상하였다. BEDT-TTF의 농도에 따른 유기발광소자의 광학적 및 전기적 특성을 각각 관찰하여 BEDT-TTF의 농도에 따른 전자 수송 향상에 따른 저전압 유기발광소자 구동을 관측하였다.
-
탄소나노튜브를 발광층에 첨가하여 Alternating current (AC) 방식으로 구동되는 고분자유기물 소자를 제작하였다. 고분자유기물 소자는 ITO가 코팅된 유리기판을 사용하였으며, 전극으로는 ITO와Al을 사용하고 cyanoethyl pullulan (CRS)의 유전물질과 탄소나노튜브를 함유한 poly[2-methoxy-z5-(2-ethyl-hexyloxy)-1,4-phenylene-vinylene](MEH-PPV) 고분자유기발광물질을 이용하여 4개의 층(ITO/CRS/탄소나노튜브를 함유한 MEH-PPV/Al)으로 고분자유기물 소자를 구성하였다. 소자는 ITO가 코팅된 유리 기판 위에 CRS의 유전층과 탄소나노튜브를 함유한 MEH-PPV의 발광층은 스핀코우터를 이용하여 증착하였으며, Al은 thermal evaporator을 이용하여 증착하였다. 본 연구에서는 AC 방식 고분자유기물 소자에 탄소나노튜브의 함유량을 변경하면서 전압과 전류 특성을 관찰하여 탄소나노튜브가 함유된 소자가 저 전류 구동이 가능한 것을 확인하였으며, 탄소나노튜브를 통한 micro-capacitance 효과의 확인 및 percolation과의 상관관계를 알아보았다. AC 고분자유기물 소자는 가정에서 사용되는 AC전원을 바로 사용할 수 있는 범용성을 가지고 있으며, 탄소나노튜브를 발광층에 첨가함으로 낮은 소비전력으로 고분자유기물 소자를 구동 할 수 있는 장점으로 차세대 디스플레이나 조명으로 그 쓰임새를 기대해본다.
-
균일한 전기 전도성 및 우수한 광투과성과 내화학성을 갖는 탄소나노튜브(CNT) 기반의 투명전도막(TCF)은 기존의 ITO 박막보다 우수한 유연성을 갖기 때문에 차세대 플렉시블 디스플레이 소재로서 많은 관심을 모으고 있다. 특히 낮은 저항과 투과도가 일정하면서 투명 전도막의 내구성을 향상 및 유지 시키는 연구는 상업화에 가장 필요한 연구 분야이다. 본 연구에서는 PET기판을 이용한 탄소나노튜브로 제작된 투명전도막 위에 오버 코팅을 통한 물성에 따른 내구성 개선 및 유지를 연구하였다. 오버 코팅 물질로는 실리콘계 투명 하드코팅 소재를 기본으로 하고 용매 및 합성 온도을 제어하여 내구성을 개선하고자 하였다. 연구결과 CNT 코팅층과 오버 코팅층과의 젖음성이 물성 향상에 가장 많은 영향을 끼치는 것을 관찰하였고, 특히 젖음성이 증가할수록 투과도와 전기전도도가 향상되는 것을 확인하였다. 구조 분석결과, 이러한 젖음성에 가장 많은 영향을 주는 것은 용매의 비점과 비중 그리고 용질의 합성 온도 임을 확인하였다. 또한 오버코팅 물질 중 고비점 용매가 고온 고습 환경에서 240시간 이상 내구성 테스트 결과, 투명전도성 평가 지수(
${\sigma}DC/{\sigma}OP$ )가 향상되었고 또한 테스트 전후의 HAZE 변화율이 10%이하 임을 확인하였다. -
Han, Guk-Hui;Kim, Dong-Jun;Kim, Hyeon-Cheol;Kim, Yun-Jung;Kim, Jung-Gil;Lee, Won-Yeong;Na, Ya-Na;Jo, Gwang-Seop 512
Space and time resolved discharge images from an atmospheric pressure non-thermal Ar plasma jet have been observed by a ICCD camera to investigate the electron temperatures. Plasma jet device consisting of a syringe electrode inserted into a glass tube has been introduced. A high voltage is applied to the syringe electrode. The syringe needle has an outer diameter of 1.8 mm, an inner diameter of 1.3 mm, and a total length of 39.0 mm. The needle is inserted into a glass tube of outer diameter 2.4 mm and inner diameter 2.0 mm, and a total length of 80.0 mm. The Ar plasma propagation speed on the cathode has been shown to be about 2.1 km/s at input discharge voltage of 3.6 kV, discharge current of 19.9 mA and driving frequency of about 45 kHz. Particularly, the electron temperature in plasma jet were found to be about 1.8 eV at input discharge voltage of 3.6 kV and driving frequency of 45 kHz, respectively. -
Kim, Yun-Jung;Lee, Won-Yeong;No, Jun-Hyeong;Hyeon, Seong-Bo;Eo, Yun;Park, Jin-Yeong;Lee, Yong-Min;Kim, Hui-Ju;Gwon, Gi-Cheong;Jo, Gwang-Seop 514
플라즈마와 혈액의 상호작용 특성을 파악하기 위해 혈액응고 실험을 하였다. 생체에 적용 가능한 바이오 플라즈마 소스를 개발하여 다양한 조건으로 혈액에 플라즈마를 조사하였다. 혈액 응고의 정량적인 측정 방법으로 혈액의 저항을 측정하였다. 본 실험에 사용된 플라즈마 제트 장치는 의료용 바늘과 유리관, 외부 접지로 이루어져 있다. 플라즈마 제트 장치는 고전압 전극이 유리관 안에 위치하고 접지 전극이 유리관 바깥에 위치한다. 의료용 바늘을 통해 Ar gas를 주입하며, 약 2 kV의 전압을 인가하여 방전시켰다. 자연적으로 혈액을 응고시킨 경우, 칼슘 클로라이드를 첨가하여 응고시킨 경우, Ar gas 및 온풍을 단독적으로 불어넣은 경우, 그리고 플라즈마 제트를 조사한 경우로 나누어 실험을 진행하였다. 두개의 떨어진 전극 사이에 일정량의 혈액을 배치시켜 저항을 측정하여 응고 정도를 파악하였다. 플라즈마 제트를 조사하였을 경우 아무것도 처리하지 않은 자연상태의 혈액보다 혈액이 응고되는 속도가 빠르게 나타났다. Ar gas와 온풍을 단독으로 불어넣어 준 경우와 혈액 표면이 응고되었으나, 약 20초가 지나면 다시 원래 상태의 혈액으로 돌아감을 확인하였다. 플라즈마 제트를 혈액에 조사했을 때는 혈액이 이전의 혈액 상태로 돌아가는 경향이 나타나지 않았다. -
RF는 사이클로트론에서 빔을 원하는 에너지로 가속하기위해 쓰인다. MC50 사이클로트론에는 두 개의 DEE가 있고 각각 독립된 LLRF모듈과 증폭기를 통해 제어된다. 주요 제어변수는 DEE1,2의 Voltage와 양단간의 Phase인데 이는 RF Generator에서 특정 주파수로 발생된 RF 시그널의 Amplitude와 Phase를 RF Modulator에서 변조하므로 제어되어진다. 지금 현재의 Modulator는 오래되어 DEE Voltage의 컨트롤이 잘 이루어지지 않고 있고 가끔 연결부위에서 문제를 보여 새 Modulator를 제작하게 되었다. 기존의 LLRF는 아날로그 방식인데 아날로그 방식은 외부제어가 어렵고 확장이 쉽지 않아 디지털 제어방식으로 설계하였다. 새 LLRF는 저속처리부와 고속처리부로 두 부분으로 구성하였다. Final amplifier와 cavity의 상태를 체크하는 저속처리부는 PLC로 RF 시그널의 Amplitude와 Phase를 제어하는 고속처리부는 FPGA로 제어할 계획이다.
-
Flexible Display를 제작하기 위해서는 유기소자를 보호하는 보호막이 필요하다. 유기소자는 산소 및 수분에 매우 취약하기 때문에 장수명을 확보하기 위해서는 추가적인 보호층이 필요하다. 본 논문에서는 이를 위해 Encapsulation 중 한 방법인 Barrier Film을 제작하고 그에 따른 광학적인 특성 및 수분 투습율을 조사하였다. Barrier film의 광학적 분석 방법으로는 XPS, SEM, AFM, Transmittance를 측정하였으며, XPS는 박막내의 화학적인 결합을 알기 위해서 사용되었고, SEM은 박막의 두께 및 박막내의 결함을 파악하고자 하였다. SEM을 통해 증착속도가 32.6 nm/m이라는 것을 관찰할 수 있었다. AFM을 통해 증착된 박막의 표면 거칠기를 파악하였다. Transmittance는 PET 기판을 사용하여 가시광 영역에서 80%이상의 투과도를 나타내었다. PECVD 장비를 사용하여 SiH4, NH3, N2가스를 사용하여 PET 필름 위에 박막을 증착하였으며, 유량을 10~400 sccm 내에서 변화시키고, RF Power는 각각 30~300 W 15분간 증착하였다. 제작된 보호막의 수분투습율은
$2{\times}10{_2}^{-2}g/m^2/day$ 이하의 값을 나타내었다. -
세포막지질의 산화는 심각한 세포막의 기능저하를 유발하고 심하면 세포를 죽음에 이르게하여 생물학적으로 중요한 지표이다. 세포막지질의 산화는 간접적인 화학적 방법으로 측정하거나, 지질을 추출해내어 질량분석기나 핵자기공명분광기 같은 물리적 방법으로 분석한다. 우리는 이온유도 이차전자 방출계수(
${\gamma}$ ) 변화를 측정하여 세포막지질의 산화를 지질추출 없이 측정할 수 있는지 조사해 보았다. 세포막분리가 쉬운 적혈구를 모델세포로 사용하였고, 다양한 라디칼을 발생시키는 대기압 공기 DBD플라즈마 장치를 이용하였다. 적혈구를 플라즈마에 노출하는 시간으로 산화의 정도에 차이를 만들어 측정값과 비교하였다.${\gamma}$ 값은 Auger의 중화이론에 바탕을 둔 이온유도 이차전자 방출빔(${\gamma}$ -FIB)장비를 이용하여 측정하였다. 측정결과 적혈구가 산화됨에 따라서${\gamma}$ 값이 증가함을 볼 수 있었고, 동시에 workfunction값이 변화함을 보았으며, 그 결과를 화학적 방법과 비교해 보았다. -
자외선이 생체를 파괴하거나 탄생시킬 수 있는 중요한 요소라는 것은 잘 알려져있다. 이 때문에 생체 시료를 보통 자외선 파장대인 250~350 nm보다 짧은 10 nm 영역에 있는 극자외선에 노출되었을 때 그 상호작용 및 변화를 찾아서 분석하는 것을 목표로 삼는다. 먼저 이에 대한 기초내용으로, 앞으로 활용하게 될 플라즈마 집속장치에서의 전극형태에 따른 EUV 광원의 특성을 알아보는 실험을 진행하였다. 이 실험은 집속 플라즈마 발진장치의 2가지 전극인 마테르 (Mather) 형태의 전극과, 초사이클로이달 핀치(Hypercycloidal pinch) 핀치 형태의 전극에서 발진된 극자외선(Extreme Ultraviolet : EUV) 집속 플라즈마의 전자온도와, 전자밀도, power를 분석하였다. 그리고 EUV 광원 발생장치에 Ar 가스와, Ne-Xe 가스내 환경에서 2 종류의 전극에 의해 만들어진 고밀도 플라즈마로부터 발생된 EUV의 특성을 알아보았다.
-
본 연구에서는, 전기적 충격이 없고 넓은 면적을 동시에 처리할 수 있는 형태의 유전체 장벽 방전(DBD: Dielectric Barrier Discharge)을 이용한 대기압 저온 플라즈마 장치를 제작하고 이를 이용하여 빵 곰팡이(Neurospora crassa) 살균에 대한 기본 분석을 하였다. 실험에 사용한 저온 대기압 면방전 플라즈마의 파워는 사인파 교류전압을 인가하여, 방전전압은 1.4~2.3 kV, 방전전류는 20~30 mA의 값을 가지며, 전압과 전류의 위상차는 약 80도의 기울기 차이가 난다. 이때의 출력은 약 4 W를 가지며, 공랭식 쿨러를 이용하여 유전체의 열을 배출하였다. 시료대의 온도 측정결과 방전과 동시에 쿨러를 작동할 경우 최대 10분에서 37도를 넘지 않았다. 장치에서 발생하는 플라즈마에 의한 O3의 양은 플라즈마 발생부로부터 10 mm 이내에서 약 25~30 ppm 이 측정되었으며, NO나 NO2 는 거의 검지되지 않았다. 증류수(Deionized water)속에 담긴 빵 곰팡이(Neurospora crassa) 포자를 면방전 플라즈마 발생장치로 처리하였을 때, 포자의 발아율은 처리시간 및 출력파워가 증가함에 따라 급격히 감소하였으나 VM (Vogel's Minimal) 배양액에 넣고 플라즈마 처리를 한 경우에는, 증류수의 결과와 달리 살균효과가 미비함을 보였다. MTT 측정법 또한 같은 경향성을 보였으며, 이를 통해 포자를 둘러싸고 있는 환경이 플라즈마의 살균효과에 영향을 미치는 것으로 보인다. 본 실험을 통해, 유전체 장벽을 이용한 면방전 플라즈마 발생장치가 플라즈마 제트(jet)와 달리 직접적인 플라즈마 접촉 없이도 미생물 살균이 가능하다는 것을 보았으며, 처리대상의 생체용액과 같은 주변 환경에 영향을 받음을 알 수 있었다. 또한 면방전 플라즈마 장치로부터 발생하는 O3과 같은 활성종들이 빵 곰팡이의 비활성화에도 역할을 할 수 있음을 알 수 있었다.
-
Lateral 구조를 갖는 탄소나노튜브 에미터 캐소드의 금속전극 선폭과 간격은 탄소나노튜브 에미터 밀도와 게이트에 인가되는 전계의 크기에 밀접한 관계가 있어 전계방출특성에 큰 영향을 나타내므로 조속한 상업화를 위해서는 최적화 연구가 요구된다. 따라서 본 연구에서는 금속전극의 선폭과 간격을 110/30, 80/30, 40/30과 120/20, 90/20, 20/20
${\mu}m$ 로 각각 변화시켜 4.6인치 탄소나노튜브 에미터 기반 flat light lamp 개발연구를 진행하였다. 이때 사용한 금속전극은 2 mm 두께를 갖는 4.6인치 소다라임 글라스 위에 패턴 된 PR에 Ag를 sputtering하여 증착 후 PR을 lift-off하여 형성하였다. 이와 같이 형성된 금속전극은 ~1${\mu}m$ 와 12 nm의 두께와 표면단차를 각각 가지고 있었다. 형성된 금속전극 위에 유전체와 탄소나노튜브 에미터를 각각의 페이스트를 사용하여 스크린 인쇄와 소성과정을 통해 형성하였다. 이때 레이저 빔을 전극사이의 빈 공간에 조사하여 탄소나노튜브 에미터를 금속전극 위에 정밀하게 정렬하였으며 잔존하는 유기물과 유기용매를 없애기 위해 대기압 공기분위기의$410^{\circ}C$ 에서 10분간 소성과정을 거친 후 접착테이프를 사용하여 잔탄 속에 있는 탄소나노튜브 에미터를 물리적 힘으로 수직하게 노출시켜 캐소드를 준비하였다. 애노드는 전계에 의해 방출된 전자의 측정과 전계방출 이미지를 얻기 위해서 P22 형광체와 Al박막이 증착된 2 mm 두께의 소다라임 글라스를 사용하였다. 캐소드와 애노드 사이의 간격은 6~10 mm로 유지하였고, 진공챔버의 기본 압력을$5{\times}10^{-6}$ Torr 이하로 유지하였다. 캐소드와 게이트 전극에 1, 4 kHz와 3% duty를 갖는 bipolar 형태의 DC 사각펄스파를, 애노드에 ~18 kV의 DC 고전압을 각각 인가하여 평가하였으며 추후, 이렇게 제작된 다양한 선폭과 간격을 갖는 탄소나노튜브 에미터 기반 flat light lamp의 전계방출특성과 효율에 대한 비교 연구를 진행할 계획이다. -
Collective Thomson scattering (CTS) system is being developed in KAERI based on high power gyrotrons. CTS is a promising diagnostic method to measure fast ion distributions and potentially the fusion product alpha particles in magnetically confined plasmas. By utilizing millimeter-waves from high power gyrotrons as a probing beam, spatially and temporally resolved 1-D ion velocity distributions can be obtained from the scattered radiation with less scattering geometrical constraints. The pulse modulation of gyrotrons enables to separate scattering signal from ECE background noises. The feasibility was assessed with the calculation of spectral density functions under the condition of KSTAR plasmas. Further CTS system requirements are also discussed.
-
Plasma can be used to various applications such as sterilization, inactivation/removal of microorganisms, wound healing, tooth bleaching, cancer treatment, surface modification and plasma polymerization. In this research, we studied the effect of plasma irradiation on the structural, optical, and biological properties of the polymer films. Several polymers were synthesized and then deposited on the glass substrates. The polymer films were treated by oxygen and nitrogen plasmas. Plasma-treated films were investigated by contact angle, infrared absorption spectroscopy, cathodoluminescence spectroscopy, and scanning electron microscopy. Functional materials were prepared on plasma-treated surface, and their performances were investigated using various techniques. Next, we discuss relationship between the performance of functional materials and the structural properties of plasma-treated polymer films.
-
대기압 제트 플라즈마는 의료산업 및 재료공정, 정수, 기체흐름 제어 등 다양한 분야에 적용을 위한 연구가 활발히 진행되고 있다. 뿐만 아니라 구동 조건에 따라 다양한 방전 모드가 존재하며, 이에 따라 발생된 플라즈마의 광학적 및 전기적 특성도 매우 다르게 나타나기 때문에 과학적으로도 새로운 현상들이 속속 발표되고 있다. 대기압 제트 플라즈마에서 중요한 과학적 현상 중 하나인 스트리머(streamer) 혹은 플라즈마 총알(plasma bullet)은 수-수십 kHz의 저주파 전압으로 구동 시 특정 조건에서 발생하는 현상으로, 최근 들어 시간분해능이 높은 ICCD 카메라를 이용하여 스트리머의 발생 및 전파에 대한 새로운 현상의 발견과 다양한 물리적 이해가 시도되고 있다. 본 연구에서는 헬륨 대기압 제트 플라즈마에 포함된 질소 함유량에 따른 다중 스트리머의 발생 및 기작의 이해를 시도하였다. 구동 전압 및 주파수, 헬륨기체의 유량, 전극 구조 및 간격 등 모든 조건이 동일한 상태에서 질소기체의 함유량을 증가시킬수록 특정 영역에서 스트리머의 개수가 증가하는 것을 관찰되었다. 또한
$N_2{^+}$ 의 방출광 세기가 헬륨 및 산소 원자의 방출광보다 지배적인 것으로 측정되었으며, 이는 헬륨 플라즈마에서 흔히 나타나는 헬륨 metastable에 의한 질소분자의 페닝 이온화(Penning ionization) 때문이다. 본 연구팀은 페닝 이온화($He^*+N_2{\rightarrow}He+N_2{^+}+e$ )로 인해 추가적으로 발생하는 전자가 다중 스트리머 발생에 중요한 역할을 하는 것이라 제안한다. 좀 더 심화적인 분석을 하고자 헬륨-질소 플라즈마에서 주된 여러 가지 반응식을 이용하여 페닝 이온화에 의한 이온화율 및 전자의 직접적인 충돌에 의한 질소, 헬륨의 이온화율의 계산을 수행하여 특정 영역에서 헬륨의 이온화율보다 질소 페닝 이온화율이 더 커지는 것을 확인하였다. -
백색 유기발광소자는 전색 디스플레이나 조명용 광원으로 쓰일 수 있기 때문에 많은 연구가 진행되고 있다. 백색 유기발광소자를 제작하기 위해서는 보통 청색, 녹색 및 적색을 가지는 발광층을 적층하거나 세 가지 색을 가지는 혼합하여 단일 발광층으로 제작할 수 있으나 구조가 복잡해지고 제작이 어려워지는 단점이 있다. 본 연구에서는 sol-gel 방법으로 제작된 무기물 형광체를 색변환 층으로 사용하였고, 청색 유기발광소자를 광원으로 하여 백색 유기발광소자를 제작하였다. 청색 유기 물질을 발광층으로 사용하여 제작한 청색 유기발광소자를 광원으로 사용하였고 다른 온도에서 소결된 무기물 형광체를 색변환층으로 사용하여 백색 유기발광소자를 제작하여 발광 특성을 관찰하였다. 다른 소결 온도에서 형성된 무기물 형광체의 주사 전자현미경 측정과 X-선 회절 층정을 통해서 무기물 형광체의 형성 및 표면 형태를 관찰하였다. 제작한 무기 형광체를 색변환층으로 사용하여 백색 유기발광소자를 제작하였고, 인가한 전압에 따른 전계발광 특성 변화를 통해서 색변환 메커니즘을 규명하였다.
-
Low temperature plasma diagnosis is one of the big issues in laboratory scale or processing industry. One of the most powerful techniques of plasma diagnostics is the use of the scattering of electromagnetic radiation from the plasma. Electron temperature and density are important parameters for understanding the information of plasmas in the plasma processing industry. Laser scattering experiments on plasma can provide a substantial amount of information about plasma parameters such as the electron density ne, the electron temperature Te, and the neutral density nn and temperature Tn. Thomson scattering spectroscopy is used several method, in accordance with detector type. Commonly, Thomson scattering is used several notch filter to separate expanded wavelength. Since using a spectrometer with surface relief grating or notch filter, the system of the measurement will be complicated and bigger. In this study, using VPHG (Volume Phase Holographic Grating) in order to install the simple and cheap system. VPHG has the advantage of the system installation, because it can be Transmission Type. The diffraction efficiency and dispersion angle of VPHG is higher than the surface relief grating relatively. For a wavelength and bandwidth selection, Using a slit or mask to select a rejection wavelength instead of notch filter.
-
Plasma technology isbeing developed for a range of medical applications including wound healing. However, the effect of plasma on many cells and tissues is unclear. Cell migration and cell proliferation are very important biological processes which are affected by plasma exposure and might be a potential target for plasma therapy during wound healing treatment. In this study, we confirmed the plasma exposure time and incubation time after plasma treatment in skin fibroblast (L-929 cells) to evaluate the optimal conditions forplasma exposure to the cell in-vitro. In addition, we used a scratch method to generate artificial wound for evaluating the cell migration by plasma treatment. Where, the cells were treated with plasma and migration rate was observed by live-cell imaging device. To find the cell proliferation, cell viability assay was executed. The results of this study indicate the increased cell proliferation and migration on mild plasma treatment. The mechanisms for cell migration and cell proliferation after plasma treatment for future studies will be discussed.
-
현재 산업에서 상압플라즈마는 생물의학, 표면처리, 용접 및 절단, 화학적 오염제거 등 여러 분야에서 각광받고 있으며 그 잠재력 또한 매우 크다. 통상적으로 글로우 방전은 생물의학, 표면처리, 화학적 오염제거 등에 주로 쓰이고 아크 방전은 용접 및 절단에 응용된다. 이처럼 상압플라즈마는 여러 가지 방전으로 분류되고 그 특성에 맞게 응용되고 있는데 이러한 산업 여러 분야에 적절히 응용하기 위해서는 이에 대한 진단과 특성 분석이 선행적으로 이루어져야 한다. 본 연구에서는 침 대 면 전극을 가진 상압방전장치에서 스트리머로부터 스파크방전으로의 전이과정이 연구되었다. 전극간격, 주파수, 전압, 구동회로의 전류제한 조건을 가변함에 따라 스파크방전으로 전이되는 방전조건과 안정적인 글로우 방전이 유지되는 조건이 어떻게 달라지는지 조사되었다. 또한 방전전류 측정 및 ICCD 영상분석을 통해 Transient spark의 self-pulsing 조건과 주파수변화 특성을 고찰하였다.
-
No, Jun-Hyeong;Kim, Yun-Jung;Kim, Won-Yeong;Hyeon, Seong-Bo;Park, Jin-Yeong;Eo, Yun;Lee, Yong-Min;Jo, Gwang-Seop;Gwon, Gi-Cheong 528
대기압 플라즈마 처리에 의한 특성 파악은 플라즈마 처리한 표면의 친수성 또는 소수성의 물성변화를 결합각을 측정하거나 Atomic Force Microscopy (AFM)을 통한 표면 구조의 분석등 고체 표면을 평가하는 방법이 현재 주로 사용되고 있다. 그러나 결합각이나 AFM을 통한 평가법은 고체 표면만을 확인 할 수 있으며 액체 시료의 물성 상태 변화에 따라 정량적 분석을 확인할 수 없다. 이에 액체 시료에 대기압 플라즈마 처리로 미치는 영향을 전기적 특성 평가를 통해 정량적으로 분석하였다. 증류수, 알코올 등 액체 시료로 실험을 진행하였다. 준비된 기판위에 액체시료를 올려 플라즈마 처리를 하였으며, 기판에 양단에 준비된 전극을 통해 Resistance, Capacitance, Inductance 등의 임피던스를 측정하여 액체시료에 인가 된 플라즈마 처리 전과 후의 전기적 특성 변화를 확인하였다. -
플라즈마를 진단하는 데에는 장비적으로나 현실적으로 많은 제약이 따른다. 따라서 측정 할 수 있는 parameter가 적다. 그리고 진단 장비의 성능에 따라서 측정된 data의 신뢰도가 결정된다. 그래서 플라즈마에 레이저를 쏘아서 생성되는 솔리톤의 RADIATION을 이용하여 플라즈마의 특성을 파악하려고 한다. 본 시뮬레이션은 Particle-In-Cell (PIC) 시뮬레이션을 이용하여 Underdense 플라즈마에 Terahertz 레이저를 쏘았을 경우 발생되는 솔리톤의 특성을 파악하였다. 2D 시뮬레이션으로 수행하였으며 플라즈마는 Underdense 플라즈마를 이용하였다. 레이저 Focusing 점의 위치와 솔리톤의 주파수, 플라즈마의 밀도 gradient 에 따른 솔리톤의 이동 및 특징, 플라즈마 밀도에 따른 솔리톤의 특징을 살펴보았다.
-
Song, Jin-Ho;Seok, Jae-Gwon;Yeo, Chang-Su;Lee, Gwan-Ho;Song, Jong-Han;Sin, Sang-Won;Choe, Jin-Mun;Jo, Man-Ho 530
3 MeV protonirradiated SrTiO3 (STO) single crystal exhibits a blue and green mixed luminescence. However, the same proton irradiated STO deposited with very thin Pt layer does not show any luminescence. This Pt layer involved in preventing the damage caused by arcingthat comes from tens of kV surface voltage build-up due to secondary electron induced charge up at the surface of insulator during ion beam irradiation. It implies that luminescence of ion irradiated STO originated from the modified STO surface layer caused by arcing rather than direct ion beam irradiation effect. Atmospheric pressure plasma, a simple and cost-effective method, treated STO also exhibits the same kind of blue and green mixed luminescence as the ion beam treated STO, because this plasma also creates a surface damage layer by arcing. -
세계의 반도체, 의료, 금속, 섬유 등의 분야에서 기술이 고도화됨에 따라서 나노 입자, High quality 박막 등에 대한 수요는 커져가고 있다. 이를 제조하기 위한 공정은 극 저압 상태에서 이루어진다. 따라서 극 저압 기체 흐름에 대한 이해는 필수적이다. 하지만 일반적으로 수 mTorr 이하의 압력에서는 유체 시뮬레이션으로 해석하는 것이 불가능하다. 그러므로 우리는 DSMC 방법을 이용하여 극 저압 CCP 챔버 내의 기체의 흐름을 해석하고 특성을 살펴보았다.
-
본 연구에서는 선형 대향 타겟 스퍼터 시스템을 이용하여 hetero sputtering방법으로 증착한Al-Ga-Zn-O (AGZO) 박막의 기판-타겟간 거리(Target-to-Substrate distance)에 따른 전기적, 광학적, 구조적 특성을 분석하였다. 타겟과 기판 사이의 거리 변화(30~120 mm)에 따른 AGZO 박막의 특성 변화를 관찰하기 위하여 일정한 DC 파워 250 W, 공정압력 0.3 mTorr, Ar 20 sccm에서 서로 다른 AZO 타겟과 GZO 타겟을 이용하여 hetero-sputtering 공정을 진행하였다. 최적의 타겟과 기판 사이의 거리를 결정하기 위해 AGZO 박막의 투과도(T)와 면저항(
$R_{sh}$ )을 기반으로 figure of merit ($T^{10}/R_{sh}$ )값을 계산하였다. 기판-타겟간 거리는 AGZO 박막의 밀도에 영향을 주는 핵심 인자로 30 mm에서 120 mm로 증가수록 밀도가 낮은 AGZO 박막이 형성되었다. 최적의 타겟과 기판 사이의 거리(30 mm)에서 AGZO 박막은 132 Ohm/sq의 낮은 면저항과 87.2%의 높은 투과도를 나타내었다. 그러나 기판-타겟간 거리가 증가할수록 같은 두께에서 면저항은 급격히 증가함을 발견할 수 있었으며 이러한 특성 변화는 스퍼터되어 기판에 도달하는 입자의 에너지 차이로 설명이 가능하다. 따라서 본 연구에서는 기판-타겟간 거리에 따른 AGZO 박막의 특성 변화를 설명할 수 있는 메커니즘을 다양한 분석을 통해 제시하였다. 또한 적화된 AGZO 투명 전극을 이용해 제작한 GaN-LED의 Damage free sputtering 기술에 대해서 소개한다. -
본 연구에서는 RF/DC 마그네트론 스퍼터링 시스템을 이용하여 co-sputtering 방법으로 TiO2와 ZnO를 이용하여 인버티드 유기태양전지용 버퍼층을 제작하고 TiO2와 ZnO의 함량에 따른 인버티드 유기 태양전지 특성을 비교하였다. Ti-Zn-O 버퍼층은 기존의 버퍼층 제작에 사용되던 용액 공정 대신 스퍼터링 시스템을 이용하여 제작하였다. ITO 전극 상부에 곧바로 Ti-Zn-O를 성막하여 Anode와 버퍼층이 일체화된 투명 전극을 제작하고 ZnO와 TiO2 함량이 유기 태양전지의 특성에 미치는 영향을 연구하였다. 버퍼층의 TiO2와 ZnO 함량에 따른 광학적, 구조적특성을 UV/Vis spectrometry와 X-ray diffraction (XRD), TEM 등으로 분석하였으며, Ti-Zn-O 박막의 실제 버퍼 층으로서의 적용 가능성을 알아보기 위해 인버티드 유기태양전지로 제작하여 그 특성을 평가하였다. 기존의 인버티드 유기태양전지의 특성이 fill factor of 55.58%, short circuit current of 8.33 mA/cm2, open circuit voltage of 0.66 V, efficiency 3.06%인데 반해 최적 조건의 Ti-Zn-O 버퍼층을 적용했을 경우 fill factor of 52.05%, short circuit current of 8.81 mA/cm2, open circuit voltage of 0.66 V, efficiency 3.03%인 우수한 유기태양전지의 특성을 보임으로써 스퍼터링 공법으로 제작된 Ti-Zn-O 박막의 인버티드 유기태양전지용 버퍼 층으로서의 적용 가능성을 확인하였다.
-
본 연구에서는 DC/RF co-sputtering공법을 통해 제작한 In-Mo-O 투명 Mo doping 농도 및 열처리 온도에 따른 전기적, 광학적, 구조적 특성을 분석하고, 최적화된 In-Mo-O 투명전극을 유기태양전지(OPVs)와 유기발광다이오드(OLED)에 적용하여 그 가능성을 평가하였다. Mo doping 농도는 co-sputtering 공정 중 MoO3에 인가되는 radio-frequency (RF) power를 변화시켜 조절되었으며, 투명전극의 광학적 특성 및 전기적 특성 향상을 위해 성막 공정 후 급속 열처리 공정을 온도 별로 진행하였다. In-Mo-O 투명 전극은 Mo 도핑 농도에 영향을 받음을 확인할 수 있었고, 최적화된 Mo doping 파워에서 성막한 In-Mo-O 박막은 급속 열처리 공정 후 면저항 24.57 Ohm/square, 투과도 81.57% (400~1,200 nm wavelength)를 나타내었다. Bulk hetero-junction 기반의 고효율 유기태양전지와 유기발광다이오드 적용하기 위해 본 연구에서 제작된 IMO 투명전극의 구조적 특성, 결정성 및 표면특성은 x-ray diffraction (XRD), atomic force microscopy(AFM), field effect scanning electron microscopy (FE-SEM), High-resolution transmission electron microscopy (HRTEM) 분석을 통해 진행하였다. In-Mo-O 투명 전극상에 제작된 OLEDs와 OPV는 reference ITO 전극에 제작된 OLEDs/OPV와 비교할 때 유사하거나 향상된 특성을 나타내었으며 이는 In-Mo-O 박막이 OLED/OPV용 투명 전극으로 적용이 가능함을 말해준다.
-
Kim, Jae-Hong;Hong, Seong-Gwang;Kim, Mi-Jeong;Kim, Seong-Jun;Kim, Myeong-Jin;Kim, Do-Gyun;Yun, Jong-Cheol;Kim, Jong-Won 537
Cyclotron-accelerated ion beams are used for various researches, such as nuclear physics, nuclear chemistry, biotechnology, and material sciences including radio-isotope production. Recently considerable applications are asked to the cyclotron development undertaken to meet user requirements of various ions'energies, intensities, and their pulsed beams. For instance, a cocktail beam acceleration technique rapidly changing the ion species and energies was developed to irradiating integrated circuit chips. Also a chopping system in a cyclotron injection line is considered for producing a pulsed ion beam with a relatively long period compared with that generated by the resonance frequency. For the research in neutron time-of-flight measurement, a single-pulsed beam with a repetition interval of the order of mili-seconds or longer is necessary to have a good resolution and to remove background events. In this paper a feasibility of pulsed beam with an external ion source is simulated by adopting a combination system of a chopper accompanying with a bunching stage in the injection line and an additional chopper after the exit of the cyclotron in order to produce beam pulses with a range of$1{\mu}s{\sim}1ms$ periods from a resonance RF cycle. The pulseperiod will be adjusted by chopping the number of beam bunches from the injected pulses in the injection line. However, the longer pulses will have reduced number of beam pulses and sacrificed beam currents. Because the beam users need an intense single pulsed beam, a careful tuning of the acceleration phase and a high-intense external ion source are necessary to achieve an intense single-pulsed beam from the cyclotron. It is essential to strictly match the acceleration phase of injected beams in the central region of the cyclotron to improve its efficiency. An effect of space charge at each pulse from the ion source will be also considered. -
여러 장점으로 인해 OLED는 디스플레이 및 조명 등 적용분야가 넓어지고 있지만, 수분 및 산소에 취약하여 그 수명이 제한되는 단점이 있다. 이를 해결하고자 현재까지는 glass cap을 이용한 encapsulation 기술이 적용되고 있지만, flexible 기판에 적용하지 못하는 문제가 있다. 이러한 문제를 해결하고자 여러 가지 thin film encapsulation 기술이 적용되고 있으나 보다 신뢰성이 높은 기술의 개발이 절실한 때이다. Encapsulation 무기 박막 물질로서
$Si_3N_4$ 박막은 PE-CVD (Plasma Enhanced Chemical Vapor Deposition) 등의 박막 증착법을 사용한 많은 연구가 진행되어, 저온에서의 좋은 품질의 박막 증착이 가능하지만, 100도 이하의 thermal budget을 갖는 OLED Encapsulation에 사용하기에는 충분하지 않았다. CVD 박막의 특성을 더욱 개선하기 위해 최근 ALD (Atomic Layer Deposition) 방법을 통한$Al_2O_3$ film 증착 방법이 연구되고 있지만, 낮은 증착 속도로 인해 양산에 걸림돌이 되고 있다. 본 연구에서는 또 다른 해결책으로서 Digital CVD 방법을 이용한 양질의$Si_3N_4$ 박막의 증착을 연구하였다. 이것은 ALD 증착법과 유사하며, 1st step에서 PECVD 방법으로 4~5${\AA}$ 의 얇은 silicon 박막을 증착하고, 2nd step에서 nitrogen plasma를 이용하여 질화 반응을 진행하고, 이러한 cycle을 원하는 두께가 될 때까지 반복적으로 진행된다. 이 때 1 cycle 당 증착속도는 7${\AA}$ /cycle 정도였다. 최적의 증착 방법과 조건으로 기존의 CVD$Si_3N_4$ 박막 대비 1/5 이하로 pinhole을 최소화 할 수는 있지만 완벽하게 제거하기는 힘든 문제가 있고, 이를 해결하기 위한 개선을 위한 접근 방법이 필요하다고 판단하였다. 본 연구에서는 무기물 박막인 carbon nitride를 이용한 SiN/C:N multilayer 증착 연구를 진행하였다. Fig. 1은 CVD 조건으로 증착된 두께 750 nm SiN film에서 여러 층의 C:N film layer를 삽입했을 때, 38 시간의 85%/$85^{\circ}C$ 가속실험에 따라 OLED의 발광 사진이다. 그림에서 볼 수 있듯이 C:N 층을 삽입하고 또한 그 박막의 수가 증가함에 따라서 OLED에 대한 encapsulation 특성이 크게 개선됨을 확인할 수 있다. -
In this studying, we investigated the basic properties of N-doped plasma polymer. The N-doped ethylcyclohexane plasma polymer thin films were deposited by radio frequency (13.56 MHz) plasma-enhanced chemical vapor deposition method. Ethylcyclohexenewas used as organic precursor (carbon source) with hydrogen gas as the precursor bubbler gas. Additionally, ammonia gas [NH3] was used as nitrogen dopant. The as-grown polymerized thin films were analyzed using ellipsometry, Fourier-transform infrared [FT-IR] spectroscopy, Raman spectroscopy, FE-SEM, and water contact angle measurement. The ellipsometry results showed the refractive index change of the N-doped ethylcyclohexene plasma polymer film. The FT-IR spectrashowed that the N-doped ethylcyclohexene plasma polymer films were completely fragmented and polymerized from ethylcyclohexane.
-
Sim, Geon-Bo;Kim, Yong-Hui;Park, Chan-Yeong;Kim, Jeong-Mo;Yun, Hyeon-Sik;Lee, Dong-Hyeon;Jeong, Ju-Eun;Park, Dae-Hun;No, Seok-Ho;Eom, Hwan-Seop;Choe, Eun-Ha 541
현재 병원에서 사용하는 치아미백은 고농도의 과산화수소와 carbamide peroxide가 함유된 미백제를 이용하여 전문성을 가진 의사가 직접 시술을 하고 있다 [1]. 과산화수소의 농도가 높을수록 미백효과가 높지만 [2] 과산화수소의 농도가 지나치게 높으면 인체에 유해하다 [3,4]. 따라서, 고농도의 과산화수소가 함유된 미백제의 사용은 전문가만 시술할 수 있도록 이를 제한하고 있다. 이로 인해, 일반 가정용으로 판매되는 다양한 미백제품들은 저농도(최대6%)의 과산화수소가 함유되어 있으며 장기간 지속적으로 사용해야만 치아미백효과를 볼 수 있다. 우리는 가정에서도 보다 안전하고 단기간에 효율적으로 치아의 미백효과를 보기 위하여, 식품의약품안정청에서 규제하고 있는, carbamide peroxide (15%)와 저온 대기압 플라즈마 제트를 사용하여 미백효과를 관찰하였다. 플라즈마 제트의 유량은 200 sccm이며, 공기를 사용하였다. 미백효과를 보기 위한 대상으로는 우치(牛齒)를 사용하였으며, 플라즈마를 처리하여 미백효과를 관찰하였다. 실험 대조시료군으로는 carbamide peroxide (15%)를 처리하지 않은 우치와 처리한 우치, 그리고 carbamide peroxide (15%)를 처리한 우치에 수증기(0.2~1%)를 첨가한 다음, 이들 세가지 시료에 각각 공기 플라즈마를 조사하여 비교해보았다. 모든 실험의 플라즈마 처리시간은 최대 20분까지로 하였다. 수증기를 첨가한 이유는 활성산소의 농도를 높이기 위함이며, 이로써 탁월한 미백효과를 얻을 수 있다. 이는 활성산소와 치아의 유기질이 반응하여, 색이 진한 탄소고리 화합물을 밝은 색의 사슬구조로 바꿔주기 때문이다. 실험을 통하여 우치에 carbamide peroxide (15%)와 수증기(0.2~1%)를 처리한 경우 플라즈마의 미백효과가 탁월함을 보였다. 이때 CIE색좌표 ($L^*a^*b^*$ )에서 명도도가 최대 2배 이상 높아짐을 보았다. 미백효과에 대한 측정은 측색분광기(CM-3500d)를 이용하였다. -
저온 플라즈마를 발생시키는 대기압 마이크로-플라즈마 젯(Micro-plasma jet)을 이용하여 플라즈마와 세포와의 상호작용에 대한 연구를 진행하였다. 세포의 대사과정에서 생성되는 활성산소 종(Reactive Oxygen Species, ROS)은 세포에 산화 스트레스를 유발시킨다. 이러한 스트레스는 세포 예정사(programmed cell death)의 원인이 된다. 플라즈마 형성 기체로 헬륨, 아르곤, 질소를 사용하여 각각의 기체에 따른 세포의 형태 변화 및 세포 내 활성 산소 종의 영향을 분석하였다. 실험에 사용된 세포는 인체의 폐암 세포[Human lung cancer cell, A549]이며 플라즈마 처리 후 Intracellular ROS assay를 통하여 플라즈마에서 발생되는 활성 산소 종(Reactive Oxygen Species, ROS)이 세포 내에 들어가 활성 산소 종을 증가시키는 것을 확인하였다. 이때, 플라즈마에서 발생되는 활성 산소 종(Reactive Oxygen Species, ROS)들은 광 방출 스펙트럼(Optical Emission Spectroscopy)로 분석하였고, 기체별로 비교하여 보았다. 또한, 이 때 발생되는 플라즈마의 전류-전압 특성에 따른 optical intensity를 비교하였다.
-
Thin-film transistors (TFTs) based on oxide semiconductors have been regarded as promising alternatives for conventional amorphous and polycrystalline silicon TFTs. Oxide TFTs have several advantages, such as low temperature processing, transparency and high field-effect mobility. Lots of oxide semiconductors for example ZnO, SnO2, In2O3, InZnO, ZnSnO, and InGaZnO etc. have been researched. Particularly, zinc-tin oxide (ZTO) is suitable for channel layer of oxide TFTs having a high mobility that Sn in ZTO can improve the carrier transport by overlapping orbital. However, some issues related to the ZTO TFT electrical performance still remain to be resolved, such as obtaining good electrical contact between source/drain (S/D) electrodes and active channel layer. In this study, the bottom-gate type ZTO TFTs with staggered structure were prepared. Thin films of ZTO (40 nm thick) were deposited by DC magnetron sputtering and performed at room temperature in an Ar atmosphere with an oxygen partial pressure of 10%. After annealing the thin films of ZTO at
$400^{\circ}C$ or an hour, Cu, Mo, ITO and Ti electrodes were used for the S/D electrodes. Cu, Mo, ITO and Ti (200 nm thick) were also deposited by DC magnetron sputtering at room temperature. The channel layer and S/D electrodes were defined using a lift-off process which resulted in a fixed width W of 100${\mu}m$ and channel length L varied from 10 to 50${\mu}m$ . The TFT source/drain series resistance, the intrinsic mobility (${\mu}i$ ), and intrinsic threshold voltage (Vi) were extracted by transmission line method (TLM) using a series of TFTs with different channel lengths. And the performances of ZTO TFTs were measured by using HP 4145B semiconductor analyzer. The results showed that the Cu S/D electrodes had a high intrinsic field effect mobility and a low effective contact resistance compared to other electrodes such as Mo, ITO and Ti. -
Recently, low-temperature atmospheric-pressure plasmas have been investigated [1,2] for biomedical applications and surface treatments. Experiments for improving hydrophilicity of stainless steel (SUS 304) plate with atmospheric microwave argon and H2O2 mixture plasma jet [3] were carried out and experimental measurements and plasma simulations were conducted for investigating the characteristics of plasma for the process. After 30 s of low power (under 10 W) and low temperature (under
$50^{\circ}C$ ) plasma treatment, the water contact angle decreased rapidly to around$10^{\circ}$ from$75^{\circ}$ and was maintained under$30^{\circ}$ for a day (24 hours). The surface free energy, calculated from the contact angles, increased. The chemical properties of the surface were examined by X-ray Photoelectron Spectroscopy (XPS) and the surface morphology and roughness were examined by Scanning Electron Microscopy (SEM) and Atomic Force Microscopy (AFM) respectively. The characteristics of plasma sources with several frequencies were investigated by Optical Emission Spectroscopy (OES) measurement and one-dimensional Particle-in-Cell (PIC) simulation and zero-dimensional global simulation [4]. The relation between plasma components and the efficacy of the surface modification were discussed. -
Zinc silicate (
$Zn_2SiO_4$ ) has been identified as a suitable host material for a wide variety of luminescent activators, such as transition metal and rare earth elements. In particular, manganese-activated$Zn_2SiO_4$ exhibits highly efficient photoluminescenceand cathodoluminescence, which allows this material to be used in fluorescent lamps and display applications. In this study, we investigated the green and yellow luminescence from Mn-doped$Zn_2SiO_4$ thin films that were synthesized using radio frequency magnetron sputtering followed by annealing at$600{\sim}1,200^{\circ}C$ The refractive index of the$Zn_2SiO_4$ : Mn films showed normal dispersion behavior. It was found that the$Zn_2SiO_4$ : Mn films annealed at$800^{\circ}C$ ossessed a mixture of alpha and beta phases. The obtained photoluminescence spectrum consisted of two emission bands centered at 525 nm in the green range and 574 nm in the yellow range. The green luminescence originates from the divalent Mn ions in alpha phase of$Zn_2SiO_4$ , while the yellow luminescence comes from the divalent Mn ions in beta phase. The films annealed at and above$900^{\circ}C$ xhibited only the alpha phase. The broad PL excitation band was observed ranging from 220 to 300 nm with a maximum at around 243 nm. -
Lee, Byeong-Jun;Park, Seong-Su;Kim, Sang-Hui;Gwon, Se-Jin;Jang, Seong-Deok;Mun, Yong-Jo;Ju, Yeong-Do;Kim, Chang-Beom;Hwang, Il-Mun;Sin, Seung-Hwan 547
This paper presents the understandings carried out for the installation of the hydrogen reservoir of the multi-gap pseudospark switch under developing for the accelerator applications. As a cold cold cathode switch, the pseudospark switch could replace the thyratron switch which has hot cathode and being used well currently in the high power field such as laser and accelerator applications. Especially in the klystron modulator, the key component is a switch which mostly defines the jitter and the instability of the modulator system. To get the less jitter and the instability, we need to find proper range of the pressure for the gas discharge inside gas switch. This could be achieved by the understanding of the characteristic of the nonevaporable getter (NEG) which is used as a hydrogen reservoir for the switch. Therefore we verified the characteristics of the NEG (St 172, Saes) and its installation in the switch. Finally we controlled the getter to find best pressure point for the pseudospark switch. -
Lee, Won-Yeong;Kim, Jung-Gil;Kim, Yun-Jung;Han, Guk-Hui;Yu, Hong-Geun;Kim, Hyeon-Cheol;Jo, Gwang-Seop 548
태양전지 제작에서 도핑 공정은 실리콘 웨이퍼에 불순물 원자를 주입시켜 p-n 접합을 형성시키는 과정이다. 도핑 공정은 주로 3족 혹은 5족 원소를 사용한다. 기존의 도핑 공정 장치는 소성로 및 레이저 장비를 사용하여 생산단가가 높고, 웨이퍼의 전면 도핑이 힘들다는 단점이 있다. 하지만 플라즈마 제트를 사용한 도핑장치는 저가의 장비를 개발할 수 있고, 전면 도핑이 쉽다는 장점을 가진다. 또한 도핑 농도 및 깊이 조절, 높은 농도의 도핑이 가능하다는 기존 장비의 장점을 유지한다. 플라즈마 제트를 솔라셀 웨이퍼 위에 도포된 dopant material layer에 조사하면 주로 플라즈마와 dopant간의 열적인 반응에 의하여 doping이 된다. 도핑을 위한 플라즈마 제트는 전류량의 조절 및 조사하는 양에 따라서 도핑 온도를 쉽게 조절 가능하다. 본 연구에서는 챔버 내 Ar 가스를 채운 후 플라즈마를 생성시켜 방전 특성을 조사한다. 챔버 내 가스의 압력, 전극과의 거리, 전극의 형태 등 장치의 조건을 변화시켜 특성을 확인하고, 안정적인 플라즈마의 물성을 유지하기 위한 조건을 찾는다. 또한 일반 대기압에서 가스 유량변화, 전극과의 거리, 전극의 형태 등 조건에 따른 방전 특성 및 플라즈마 방출 특성을 조사한다. -
고전압 펄스 플라즈마를 액체 속에서 발생시켜 수소 스펙트럼의 광학적 특성을 연구하였다. 고전압 펄스 발생 장치인 막스 제네레이터는 용량이
$0.5{\mu}F$ 인 축전기 5개로 이루어져 있다. 각각의 축전기는 전원 장치를 이용하여 저항을 통해 병렬로 충전되며, 방전 시에는 불꽃 방전 스위치에 의해 동시에 직렬로 연결되어 고전압을 발생시킨다. 따라서, 출력 전압과 전류는 40kV, 3 kA이며 총 에너지는 약 125 J이다. 직육면체 모양의 폴리카보네이트 용기 내부의 양쪽면에는 탐침 모양의 전극이 구성되어 있으며 전극 사이에서 고전압을 가진 플라즈마가 형성된다. 실험에서 액체로는 증류수를 사용하였다. 액체 방전 시 발생하는 수소 스펙트럼을 관측하기 위해 초점거리 30 cm의 monochromator를 이용하였고, 수소 알파선의 656.3 nm와 수소 베타선의 434.1 nm를 관측하였다. 전자 밀도의 측정법으로는 Stark broadening법을 이용하여 측정하였으며, 전자 온도는 Stark profile의 상대적인 전자 밀도의 비를 이용하여 계산하였다. 전자밀도는 실험조건에서 약$3{\times}10^{15}cm^{-3}$ , 전자온도는 약 2.5 eV가 측정되었다. -
Large-area RF-driven ion source is being developed at Germany for the heating and current drive of ITER plasmas. Negative hydrogen (deuterium) ion sources are major components of neutral beam injection systems in future large-scale fusion experiments such as ITER and DEMO. RF ion sources for the production of positive hydrogen ions have been successfully developed at IPP (Max-Planck- Institute for Plasma Physics, Garching) for ASDEX-U and W7-AS neutral beam injection (NBI) systems. In recent, the first NBI system (NBI-1) has been developed successfully for the KSTAR. The first and second long-pulse ion sources (LPIS-1 and LPIS-2) of NBI-1 system consist of a magnetic bucket plasma generator with multi-pole cusp fields, filament heating structure, and a set of tetrode accelerators with circular apertures. There is a development plan of large-area RF ion source at KAERI to extract the positive ions, which can be used for the second NBI (NBI-2) system of KSTAR, and to extract the negative ions for future fusion devices such as ITER and K-DEMO. The large-area RF ion source consists of a driver region, including a helical antenna (6-turn copper tube with an outer diameter of 6 mm) and a discharge chamber (ceramic and/or quartz tubes with an inner diameter of 200 mm, a height of 150 mm, and a thickness of 8 mm), and an expansion region (magnetic bucket of prototype LPIS in the KAERI). RF power can be transferred up to 10 kW with a fixed frequency of 2 MHz through a matching circuit (auto- and manual-matching apparatus). Argon gas is commonly injected to the initial ignition of RF plasma discharge, and then hydrogen gas instead of argon gas is finally injected for the RF plasma sustainment. The uniformities of plasma density and electron temperature at the lowest area of expansion region (a distance of 300 mm from the driver region) are measured by using two electrostatic probes in the directions of short- and long-dimension of expansion region.
-
In our study we consider Al2O3 ceramic substrates for Plasma Surface Treatments in order to improve deposited diamond surface and increase diamond deposition rate by applying DBD (Dielectric Barrier Dischrge) system. Because Plasma Surface Treatments was used as a modification method of material surface properties like surface free energy, wettability, and adhesion. By applying Plasma Surface Treatments diamond films are deposited on the Al2O3 ceramic substrates. DC Arc Plasmatron with mathane and hydrogen gases is used. Deposited diamond films are investigated by SEM (Scanning Electron Microscopy), AFM (Atomic Force Microscopy) and XRD (X-ray Diffractometer). Then the C-H stretching of synthetic diamond films by FTIR (Fourier Transform Infrared Spectroscopy) is studied. As a result, nanocrystalline diamond films were identified by using SEM and diamond properties in XRD peaks at (111,
$43.8{\Box}$ , (220,$75.3{\Box}$ and (311,$90.4{\Box}$ were shown. Absorption peaks in FTIR spectrum, caused by CHx sp3 bond stretching of CVD diamond films, were identified as well. Finally, we improved such parameters as depostion rate ($2.3{\mu}m$ /h), diamond surface uniformity, and impurities level by applying Plasma Surface Treatments. These experimental results show the importance of Plasma Surface Treatments for diamond deposition by a plasma source. -
상압 플라즈마 기술은 표면처리, 온존 발생장치, VOC (Volatile Organic compound) 제거등 다양한 산업분야에서 응용되고 있다. 상압플라즈마 기술 또한 DBD (Dielectric barrier discharge), Griding Arc, SDIP (Surface Discharge Induced Plasma) 등 다양한 기술들이 개발되어져 왔다. VOC를 제거하기 위한 다양한 플라즈마 기술중 특히 BDB 방법과 SDIP 기술들은 플라즈마에 의한 VOC 분해 뿐만 아니라 오존 발생을 통하여 VOC성분을 분해하는 것으로 알려져 있으며 효율이 매우 뛰어난 것으로 보고 되고 있다. 그러나 BDB 방전의 경우 방전이 발생하는 간격이 매우 작아 공기를 정화시키기 위해 좁은 유로를 통하여 일정넓이를 이동하여하 하기 때문에 압력감소가 심하며 이를 개선하기위해 다단으로 설계할 경우 구조가 복잡하고 가격이 고가인 단점이 있다. 본 연구에서는 두 개의 면 전극이 마주보는 형태로 된 DBD 구조의 단점을 보완하기 위하여 빗살무늬 모양의 다층구조의 선형전극으로 구조를 변화시켜 전극에 의한 압력감소를 방지하고 효율적으로 플라즈마 및 오존을 발생시킬 수 있는 VOC제거용 상압 플라즈마 발생장치를 개발하였다. 또한 플라즈마 발생 및 오존발생량이 우수한 것으로 알려져 있는 SDIP 장치 또한 제작하여 비교 평가를 하였다. 제작된 플라즈마 발생장치는 60 Hz와 20kHz의 교류 고압파워 서플라이를 이용하여 플라즈마 발생실험을 진행하였다. 선행 연구에서는 60 Hz의 고압 파워 서플라이를 이용하여도 플라즈마 방전이 잘 된다고 보고되었는데 본 실험에서 60 Hz 파워 서플라이를 사용할 경우 15 kV 이상이 인가될 때 아주 약하게 오존이 발생하는 현상이 관찰되었으나 육안으로 구분이 될 만큼의 플라즈마 방전은 발생하지 않았다. 20kHz의 고압파워 서플라이를 사용한 경우에는 비교적 낮은 전압인 7 kV에서 방전이 관찰되었으며 분당 22 mg의 오존이 발생하였다. SDIP를 이용한 경우 플라즈마가 발생하는 조건은 SDIP의 기하학적 형상에 많이 의존하게 된다. 본 실험에 SDIP 장치는 매우 낮은 전압에서 방전을 시작하였다. 기존의 DBD와는 다르게 1.7 kV에서 플라즈마 발생하였으며 1.8 kV에서 정상적인 플라즈마 방전이 발생하였다. 이때 분당 3.1 mg의 오존이 발생하였다. 오존 발생양은 앞에 빗살형 플라즈마 방전장치에 비하여 낮은데 인가되는 전력을 고려하면 입력된 전기 에너지당 오존발생양은 비슷한 수준이였다.
-
대기압 제트 플라즈마를 저주파(수-수십 kHz) 전압에서 구동 시, 일반적으로 '스트리머(streamer)'혹은 '플라즈마 총알(plasma bullet)'로 불리는 현상이 특정 운전조건에서 관찰된다. 본 연구에서는 동일 구조의 Ta, Ti, stainless steel, brass, Cu, Ni 전극을 이용하여 전극물질에 따른 대기압 제트 플라즈마의 특성 변화를 분석하였다. 각각의 물질은 서로 다른 이차전자방출계수, 일함수, 전기전도도 등 고유한 성질이 다르기 때문에 이들 전극을 이용하여 발생시킨 제트 플라즈마 역시 다른 특성을 갖는다. Acton SpectroPro750 분광기를 이용하여 얻은 플라즈마 방출광스펙트럼으로부터 구한 전자 여기온도(Texc=680~720 K)와 OH의 분자 회전온도(Trot=350~380K)는 물질에 따라 큰 변화를 보이지 않았으나, 발생된 스트리머 거동에서 큰 차이를 확인하였다. ICCD 카메라를 이용한 시간 분해된 이미지에서 전극물질에 따른 첫 번째 스트리머의 발생시간 및 스트리머 속력, 두 번째 스트리머의 분리시간이 모두 다른 것을 확인하였다. 제일 차이가 심하게 나타나는 Ti과 Cu의 경우 첫 스트리머의 발생시간 차이는 약
$1{\mu}s$ 이며, 평균속력 및 순간속력이 약 2 km/s 차이가 났다. 이 결과를 통해 물질의 이차전자방출계수 및 일함수가 대기압 제트 플라즈마에서 스트리머 발생에 영향을 주는 것을 알았고, 다른 전극물질을 사용한 제트 플라즈마의 특성이 다름에 따라 여러 응용에서의 결과에도 영향을 미칠 것이라생각한다. -
초기 상압 플라즈마 장치는 주로 식품 가공 및 살균, 표면 처리 등에 사용되었으나, 최근에는 저온 플라즈마를 이용하여 의학, 생물학분야의 연구에도 널리 이용되고 있다. 본 연구에서는 바이오 메디컬 분야에 사용할 수 있는 Handheld 타입의 Mesh 전극을 이용한 대기압 플라즈마 소스 장치를 개발하였다. Royer inverter 회로를 통해 1 kV 60 kHz 정현파를 사용하여 DBD방전을 하였다. Mesh 타입 전극의 길이, He Gas Flow에 따른 플라즈마의 전기적 특성을 분석하고, OES 장비를 이용하여 플라즈마에서 발생하는 ROS (Radical Oxygen Species)와 같은 Gas 화학종을 분석하였다.
-
반도체 공정에서 널리 사용되고 있는 유도결합 플라즈마에서 전자밀도 분포특성이 컷오프탐침을 이용하여 측정되었다. 밀도측정에 일반적으로 많이 사용되고 있는 랭뮤어 탐침은 플루오르카본과 같은 반응성이 높은 가스를 사용하는 경우 탐침 끝부분이 증착 혹은 식각되거나 플라즈마전위 변동 때문에 V-I 곡선 특성이 왜곡되는 현상이 발생한다. 반면, 컷오프 탐침을 이용하는 경우 플라즈마 고유주파수를 실험적으로 결정하는데 여러 가지 제약이 있다. 본 연구에서는 두가지 측정방법의 비교를 통해 각 방법의 장단점을 실증적으로 비교하고 대면적 유도 결합플라즈마에서 전자밀도균일도를 조사하였다. 량뮤어 탐침법에서는 플라즈마와 탐침사이의 임피던스를 최소화 하는 튜닝회로의 최적화가 이루어 졌으며 컷오프 탐침에서는 안테나 구조에 따른 수신안테나의 신호전달 및 주파수특성에 대해 연구되었다.
-
Plasma hardly grows in lowpressure because of lack of collision. But low pressure plasma has useful properties because it has typically low electron density. In here, thermal electron is used to make breakdown in low pressure easily. We changed magnetic field strength and gas to control electron density or temperature. IV characteristic and electron density of the discharge are examined and the characteristic of the discharge in presence of magnetic field is also examined. Results showed that depending on the ionization cross section of the gas, electron density is changed and proper strength of magnetic field is required for high electron density.
-
유도 결합 플라즈마는 반도체 및 디스플레이 플라즈마 공정에서 널리 사용되고 있으며, 이때 대표적인 플라즈마 변수인, 플라즈마 밀도, 전자 온도 그리고 그들의 공간 균일도는 공정결과 및 소자 품질에 직접적인 영향을 준다. 하지만, 기존의 통상적인 유도 결합 플라즈마에서의 안테나 구조는 용량성 결합에 의한 이온 에너지 손실, 불 균일한 플라즈마 밀도 분포 그리고 높은 안테나 전압을 야기한다. 이러한 한계를 극복하기 위하여 SMP, 다중 전력 인가 대칭형 안테나를 고안하여 플라즈마 밀도의 공간 균일도 개선하였다. 구조적으로 두 개의 안테나가 수평면 상에 일정한 간격으로 중첩되며, 전기적으로 다중 전력을 인가하여 안테나 임피던스를 낮추고 안테나 전압을 방위각상 균등 배분하여 용량성 결합 문제를 저감함으로서 플라즈마의 회전방향 균일도를 개선할 수 있었다.
-
Kim, Tae-Seong;Jeong, Seung-Ho;Jang, Du-Hui;Lee, Gwang-Won;In, Sang-Yeol;O, Byeong-Hun;Jang, Dae-Sik;Jin, Jeong-Tae;Song, U-Seop 559
KSTAR (Korea Superconducting Tokamak Advanced Research) 장치는 차세대 에너지원 중의 하나인 핵융합로를 위한 과학기술 기반을 마련하기 위해 개발된 중형급 토카막 실험장치로서 토카막 운전 영역의 확장과 안정성 확보, 정상상태 운전 도달을 위한 방법 연구, 최적화된 플라즈마 상태와 연속 운전 실현 등을 주요 목표로 하고 있다. 이를 위해 핵융합 반응에 의한 점화조건과 가까운 상태로 플라즈마를 가열해주어야 하며, 토카막 장치의 저항가열 이외에도 외부에서 추가 가열이 반드시 필요하다. 중성 입자빔 입사 장치는 현재 토카막에서 사용되고 있는 가열장치 중 가장 신뢰성있는 추가 가열 장치라 할 수 있으며 한국 원자력연구원에서는 1997년부터 KSTAR 토카막 실험 장치에 사용될 중성 입자빔 입사 장치를 개발해왔었다. 중성빔 입사 장치는 크게 이온원, 진공함, 열량계, 진공 펌프, 중성화 장치, 이온덤프와 전자석으로 이루어져 있으며, 이중 이온원은 중성빔의 성능을 좌우하는 핵심적인 장치라 할 수 있다. 최근 한국원자력연구원에서는 2 MW 중성 입자빔 입사장치용 이온원 개발을 완료하여 KSTAR 토카막 장치에 설치하였으며, 2013년 현재 KSTAR에는 총 두 개의 이온원이 장착되어 최대 약 3 MW 이상의 중수소 중성 입자빔을 입사하여 KSTAR 토카막 실험의 H-mode 달성과 운전 시나리오 연구에 많은 기여를 하고 있다. 한국원자력연구원에서 최초로 개발된 이온원은 미국 TFTR 장치에서 사용되었던 US LPIS (Long Pulse Ion Source)를 기본으로 하여 국내 개발을 수행하였다. 이 온원은 크게 플라즈마를 발생시키는 플라즈마 발생부와 발생된 이온을 인출 및 가속시키는 가속부로 구성되는데, 개발과정에서 가장 먼저 KSTAR의 장주기 운전에 적합하도록 플라즈마 방전부와 가속부의 냉각회로를 요구되는 열부하에 맞게 설계 수정하였다. 그 후 플라즈마 방전부는 방전 시간과 안정성, 플라즈마 밀도의 균일도, 정격 운전, 방전 효율 등을 고려하여 수정 보완하며 개발을 진행하여왔다. 가속부의 경우 국내 제작기술의 한계를 극복하기 위해 빔 인출그리드를 TFTR의 US LPIS 모델의 슬릿형 그리드 타입에서 원형 인출구 타입으로 변경하였으며, 이후 가속 전극의 고전압 내전력 문제, 빔 인출 전류와 전력, 인출 빔의 광학적 질(quality), 빔 인출 시간 동안의 안정성 등을 위해 그리드의 크기와 간격, 모양 등을 변경하여 개발을 수 행하여 왔다. 이 논문은 한국원자력연구원에서 개발이 진행되어 왔던 이온원들을 시간적으로 되짚어 보면서 현재까지의 성과와 문제점, 그리고 앞으로의 개발 방향에 대해 논의하고자 한다. -
플라즈마 내의 전자 에너지 분포는 방전 특성 및 전자 가열 메커니즘에 대한 정보를 줄 수 있을 뿐만 아니라, 소자 생산 공정에서 공정 조건 제어 및 소자 품질 향상에 중요한 역할을 하는 변수이다. 그에 따라서, 반도체공정에서 널리 쓰이는 유도 결합 플라즈마 또는 용량성 결합 플라즈마 장치의 외부 변수에 따른 전자 에너지 분포 변화에 대한 연구가 많이 진행되어왔다. 본 연구에서는, 극판 전극이 인가된 유도 결합 플라즈마 구조에서 낮은 압력의 아르곤과 산소 기체 방전에 대하여 전자 에너지 분포를 측정하였다. 극판 전압만이 인가되었을 경우에는 두 개의 온도를 갖는 전자 에너지 분포를 측정하였으나, 소량의 안테나 전력을 인가할 경우 하나의 온도를 갖는 전자 에너지 분포를 측정할 수 있었다. 이러한 분포함수의 급격한 변화는 유도 결합 전기장과 용량성 결합 전기장의 혼재에 따른 전자 가열 효과이며, 극판에서의 전압, 전류 그리고 위상 측정을 통하여 전자 가열 메커니즘을 확인하였다.
-
볼츠만 관계식을 아르곤과 산소 플라즈마에서 공간상의 전자 전류 측정과 전자에너지 분포함수의 측정을 통해 실험적으로 검증하였다. 전자의 에너지 분포가 볼츠만 관계식을 따를 때, 탐침의 전위를 고정시켜 각 위치마다 측정 할 경우 탐침과 플라즈마 간의 전위차의 감소와 플라즈마 밀도 감소가 서로 상쇄되는 효과로 인해 공간상에서 전자전류가 일정하게 측정이 된다. 또한 볼츠만 관계식을 전자역학적으로 해석할 때, 전자에너지 분포함수의 비국부적 특성을 의미하기 때문에 공간상에서 전자에너지 분포함수가 일정하게 측정된다. 낮은 압력에서 전자전류는 공간상에서 일정하였고, 전자에너지 분포함수 또한 전체 에너지 상에서 일치하는 것을 확인할 수 있었다. 이는 전자가 아르곤과 산소 플라즈마에서 각각의 경우에 볼츠만 관계식을 따르는 것으로 볼 수 있다. 하지만 압력이 높을 때, 산소 플라즈마인 경우 볼츠만 관계식 따르지 않았지만 아르곤 플라즈마에서는 여전히 볼츠만 관계식을 따르는 것을 확인할 수 있었다. 이러한 차이는 산소기체의 경우 분자기체에서 비탄성 충돌을 유발하는 반응들이 다양한 전자에너지 영역에 대해서 존재하여, 전자의 에너지 특성이 비국부적 영역에서 국부적 영역으로 전이가 되기 때문인 것으로 해석할 수 있다. 또한 챔버 벽면으로 빠져나가는 전자에 대해서도 볼츠만 관계식을 실험적으로 검증을 해 보았고, 플라즈마 내에서의 결과와 유사한 경향성을 관찰할 수 있었다.
-
산소 플라즈마는 음이온을 발생시키는 음전성 플라즈마로서 감광제 세정이나 금속, 폴리실리콘 등의 식각을 위해 할로겐 가스와 혼합하여 반도체나 디스플레이 공정에 광범위하게 사용되고 있다. 산소 플라즈마는 아르곤 플라즈마와 그 특성이 상이하고, 다량의 음이온이 국부적으로 만들어지므로 음이온의 공간분포 진단이 중요하다. 본 연구에서는 평판형 부유형 탐침에 고조화파 분석법을 적용하여 양이온의 밀도를 구하고, 직류 차단 커패시터를 제거하여 접지전위에서 전자 전류 측정을 통하여 위치에 따른 전자의 상대적인 공간 분포를 얻었다. 이러한 방법으로 측정된 양이온과 전자의 공간 분포로부터 음이온의 공간 분포를 구할 수 있었다. 가스 압력, 산소 첨가량, 인가 전력 등 여러 조건에서 측정된 음이온의 분포는 이론적인 경향성과 유사함을 확인할 수 있었다.
-
신업플라즈마에서는 라디컬 밀도와 플라즈마 변수를 독립적으로 제어하기 위해어 펄스파워 소스를 사용하고 있다. 펄스플라즈마에서는 플라즈마 상태가 매우 빨리 변한다. 따라서 고시간 분해능으로 플라즈마를 진단하는 방법이 필요하다. 고전적인 단일 랑뮤어 탐침법을 이용하여 펄스 플라즈마를 진단할 경우 수시간 정도의 매우 오랜 시간이 걸리지만 본 연구에서 제안한 방법을 이용하면 수 마이크로 초의 고시간 분해능을 가지면서 수 초내로 측정이 가능하다. 기본 원리는 부유고조화파를 이용하며 고시간 분해능으로 얻기 위해서는 측정된 전류를 인가한 주파수의 주기 단위로 분할하고, 마이크로 시간 단위로 분할된 데이터를 각각 Fourier Transform 하여 전자온도와 밀도를 얻는다. 이 방법을 이용하여 구한 플라즈마 변수 값들은 랑뮤어 방법으로 구한 것과 비교하여 잘 일치하는 결과를 얻을 수 있었다.
-
홀 추력기는 플라즈마를 이용하는 전기추력기 중 하나로, 인공위성의 자세제어, 궤도수정, 궤도천이 뿐만아니라 행성간 임무수행을 위한 우주선의 엔진으로 사용된다. 홀 추력기 채널 내부에 발생된 Xe 이온들은 양극과 음극 사이에 존재하는 전기장에 의해 가속되어 추력을 발생시킨다. 이때 Xe 이온들은 자기장에 의해 감금된 전자와 중성 Xe 원자 사이의 충돌에 의해 발생하며, 실험적 및 이론적 연구를 통해 단일 전하를 띤 이온(Xe II)뿐만 아니라 다중 전하(Xe III 등)를 띤 이온도 생성되는 것으로 알려져 있다. 이온의 전하량 비율은 홀 추력기의 추력효율 및 연료효율에 영향을 미치며, 다중 전하를 띤 이온의 높은 에너지는 채널벽의 침식문제를 야기하는 등 홀 추력기 이온빔의 전하량 분석 연구는 물리적 연구측면 뿐만아니라 실용적인 측면에서도 매우 중요하다. 본 연구에서는 자기장과 그에 수직한 방향의 전기장에서 발생하는 로렌츠 힘을 이용하여 이온의 전하량을 분석할 수 있는
$E{\times}B$ 탐침을 설계 및 개발하였다. 개발된$E{\times}B$ 탐침은 70 mm 길이의 집속기와$148{\times}138{\times}90mm$ 의 본체, 40 mm길이의 콜렉터로 구성된다.$E{\times}B$ 탐침 설계에 가장 중요한 균일한 자기장 설계를 위해 전산모사를 통해 최적화 작업을 진행하였으며, 실험을 위한 진단계의 최적화와 초기 실험결과가 발표될 예정이다. -
Free burning arcs where the work piece acts as an anode are frequently used for a number of applications. Our investigation is exclusively concerned with a simplified unified model of arcs and anode under steady state conditions at atmospheric pressure. The model is used to make predictions of arc and anode temperatures and arc voltage for a 200 A arc in argon. The computed temperatures along the axis between the cathode tip and the anode surface compare well the measured data. This knowledge of free burning arcfeatures can play a role in developing the atmospheric plasma systems, however, further investigation should include the modelling of Cu evaporation from anode and non-LTE situation near electrodes for more realistic calculations.
-
종래의 흑연 위주 연료전지 분리판에서 최근 고분자 전해질 막 연료전지가 높은 전력, 낮은 작동 온도로 자동차 산업에서 상당한 주목을 받고 있다. 분리판의 기술적 요구사항은 높은 전기 전도도, 높은 내식성, 가스 밀봉성, 경량성, 가공성, 저비용 등이다. 후보 물질로는 전기 전도성을 갖는 질화물계가 고려되고 있다. 기판으로는 스테인레스강이 가장 유력하며 Fe에 첨가된 Ni, Cr이 존재하므로 Cr 또는 CrN를 박막의 형태로 전자빔 증발법, 마그네트론 스퍼터링법으로 고속 증착하려는 시도가 있었다. 본 연구에서는, 스테인리스 강박(0.1 mm 이하)에 보호막으로 CrN을 선택하고 고속, 고품질증착을 위해서 새로운 방법인 스퍼터 승화법을 개발하였다. 박막의 품질을 개선할 수 있는 고밀도 유도 결합 플라즈마 영역 내에 Cr 소스를 직류 바이어스 함으로써 가열 및 스퍼터링이 일어나도록 하였다. 5 mTorr의 Ar 유도 결합 플라즈마를 2.4 MHz, 500 W로 유지하면서 직류 바이어스 전력을 30 W (900 V, 0.02 A) 인가하고,
$N_2$ 의 유량을 0.5, 1.0, 1.5 SCCM로 변화를 주어 반응성 증착 공정의 결과로 얻어지는 CrN 박막의 특성을 분석하였다. N2의 유량이 증가할수록$Cr_2N$ 이 감소하고, CrN이 증가하는 것을 확인하였다. 또한 부식성과 접촉저항을 측정하였다. 부식 전위는 N20 SCCM 보다 모두 상승하는 것을 확인하였고,$N_21$ SCCM에서 부식 전류 밀도가 2.097E-6 (at 0.6V)$A/cm^2$ 로 나타났다. 접촉저항 에서는 시료 당 3군데(top, center, bottom)를 측정하였다. 전기전도도 측면에서 가장 좋은 결과는$N_21$ SCCM 일 때$28.8m{\Omega}{\cdot}cm^2$ 의 접촉저항을 갖는 경우였다. 미국 에너지성의 기준은 부식 전류밀도 1.E-6$A/cm^2$ 이하, 접촉 저항$0.02{\Omega}m^2$ 이므로 매우 근접한 결과를 보이고 있다. -
Surface plasmon resonance is the enhancement of electromagnetic wave caused by oscillation on the metal and dielectric interfaces. Surface plasmons with nanohole arrays provides an enhancedresonance for the specific wavelengths of interests. Asymmetric array of nanoscale structures can enable orientation dependent shift of resonance wavelengths when combined with the control of polarization for incident visible light, thus providing color tunability. Appropriate lattice constants along the direction of polarization in rectangular nanohole arrays can determine the resonance condition generating red (R), green (G), and blue (B) colors and potentially be applied to display applications. In ourprevious report, we have optimized the ion beam nanomachining conditions to fabricate the nanostructures on the metal film. We apply the fabrication conditions to make nanoscale hole arrays using 100 nm thick gold layer on the glass substrate with the optimal design of periodicities along x, y, and diagonal directions of a=440 nm, b=520 nm, c=682 nm, and the hole diameter of d=200 nm. Using the reflective light in dark field mode of optical microscope, we can observe different colors. When the polarizer is paralleled along a, b, or c direction, the represented color is changed to R, G, and B, respectively. We further map the color using i1 to correlate the conditions of the nanohole arrays with their characteristic color.
-
Yun, Myeong-Su;Jo, Lee-Hyeon;Son, Chan-Hui;Jo, Tae-Hun;Kim, Dong-Hae;Seo, Il-Won;No, Jun-Hyeong;Jeon, Bu-Il;Kim, In-Tae;Choe, Eun-Ha;Jo, Gwang-Seop;Gwon, Gi-Cheong 568
현재 태양전지 도핑 공정은 퍼니스와 레이저 도핑공정이 주요공정으로 사용되고 있다. 퍼니스 도핑 공정은 POCl3 가스를 도펀트로 사용하여 확산 공정으로 진행한다. 퍼니스 도핑공정은 고가의 장비와 유독 가스사용으로 인한 처리 문제, 웨이퍼의 국부적인 부분에 고농도 도핑을 하는데는 제한적이다. 레이저를 사용한 선택적 도핑의 경우 고가의 레이저장비가 요구되어진다. 본 연구는 기존 도핑공정 문제점을 보완한 저가이면서 새로운 구조의 대기압 플라즈마 제트를 개발하였고, 이를 통한 인산을 사용하여 선택적 도핑에 관한 연구를 하였다. 대기압 플라즈마 제트는 Ar 가스를 주입하여 저주파(1 kHz~100 kHz) 전원을 인가하여 플라즈마를 발생시키는 구조로 제작하였다. 웨이퍼는 태양전지용 P-type shallow 도핑된(120 Ohm/square) 웨이퍼를 사용하였고, 도펀트는 스핀코터를 사용하여 도포를 하였다. 인산의 농도는 10%, 50%, 85%를 사용하였다. 플라즈마 발생 전류는 70 mA, 120 mA에서 실험을 하였다. 대기압 플라즈마 처리시간은 30 s, 90 s, 150 s 처리하여 도핑공정을 진행하였고, 도핑 프로파일은 SIMS (Secondary Ion Mass Spectroscopy)측정을 통하여 분석을 진행하였다. 도펀트의 농도와 전류가 높아짐에 따라서, 도핑 처리시간이 길어짐에 따라서 도핑 깊이가 깊어짐을 확인하였다. 도핑 프로파일을 분석하여 Effective carrier lifetime을 얻었으며, 도펀트 농도가 증가하거나 도핑 처리시간이 길어짐에 따라서 Effective carrier lifetime 낮아짐을 확인하였다. -
Jo, Lee-Hyeon;Yun, Myeong-Su;Son, Chan-Hui;Jo, Tae-Hun;Kim, Dong-Hae;Seo, Il-Won;No, Jun-Hyeong;Lee, Jin-Yeong;Jeon, Bu-Il;Kim, In-Tae;Choe, Eun-Ha;Jo, Gwang-Seop;Gwon, Gi-Cheong 569
대부분의 태양전지 공정은 퍼니스와 레이저 도핑 공정이 중요한 공정 중 하나다. 퍼니스 도핑공정의 경우 저농도 도핑영역에 선택적으로 고농도 도핑영역을 형성하기가 일반적으로 어렵다. 레이저를 사용한 선택적 도핑의 경우 고가의 레이저 장비가 요구되어지며, 레이저 도핑 후 고온의 에너지로 인한 웨이퍼의 구조적 손상 문제를 야기한다. 본 연구는 저가이면서 새로운 구조의 대기압 플라즈마 제트를 개발하였고, 이를 통한 선택적 도핑에 관한 연구를 하였다. 대기압 플라즈마 제트는 Ar 가스를 주입하여 저주파(1~100 kHz) 전원을 인가하여 플라즈마를 발생시키는 구조로 제작하였다. 웨이퍼는 P-type shallow 도핑 된(120 Ohm/square) PSG (Phosphorus Silicate Glass)가 제거되지 않은 웨이퍼를 사용하였다. 대기압 플라즈마 도핑 공정 처리시간은 15 s, 30 s, 플라즈마 발생 전류는 40 mA, 70 mA로 처리하였다. 웨이퍼의 도핑프로파일은 SIMS (Secondary Ion Mass Spectroscopy)측정을 하여 분석을 진행하였으며, 도핑 후 도핑프로파일을 통하여 면저항등 전기적 특성을 파악하였다. 도펀트인 PSG (Phosphorus Silicate Glass)에 대기압 플라즈마 제트로 도핑공정을 처리한 결과 전류가 상승함에 따라, 도핑 처리시간이 길어짐에 따라서 도핑깊이가 깊어지고, 면저항이 낮아짐을 확인하였다. 대기압 플라즈마 도핑 후 웨이퍼의 구조적 손상파악을 위한 SEM (Secondary Emission Microscopy) 측정결과 도핑 전과 후 웨이퍼의 표면구조는 차이가 없음을 확인하였다. -
최근 바이오산업에 플라즈마를 융합하면서 다양한 연구가 진행되고 있다. 그 중 세포 재생이나, 멸균 등의 연구에 플라즈마를 이용하는 연구도 활발하게 진행되고 있다. 그러나 현재 사용되는 대기압 플라즈마 소스는 주로 단일 소스를 이용한다. 그러나 단일소스로는 연구의 진행 속도나 재현성 면에서 오차가 있는 것이 현실이다. 그래서 멀티소스에 대한 필요성이 증대되고 있다. 멀티 대기압 소스는 균일한 플라즈마 방전이 핵심이다. 그러나 대기압 조건에서 각 소스별로 균일하게 방전시키기는 쉽지 않다. 각 소스별로 동일한 power인가를 하고 방전기체의 동일한 flow를 맞추기 위한 연구도 다양하게 진행 중에 있다. 본 연구에서는 4개의 멀티소스를 24 well 크기에 맞춰서 설계 및 제작을 하였고 균일한 방전 및 flow에 대한 측정 연구를 진행하였다. 균일한 방전 측정을 위해서 먼저 전기적으로 각각 그라운드를 설치하여 각 그라운드마다 전압 및 전류를 측정하였고, 방전기체의 균일한 flow를 확인하기 위해 각 소스별로 플라즈마 방전 전에 흐르는 기체의 양을 측정 하였다.
-
본 연구에서는 유연 유기태양전지용 플렉시블 InZnSnO (IZTO)/PEDOT:PSS 투명전극을 제작하고 그 특성을 연구하였다. 이를 위해 선형 대향 타겟 스퍼터(Linear Facing Target Sputtering: LFTS) 시스템을 이용하여 그라비아 프린팅된 PEDOT:PSS/PET 매우 얇은 IZTO 투명전극을 성막하였다. 일반적으로 PEDOT:PSS 전극은 수분/산소에 약하지만 매우 얇은 IZTO passivation 층을 코팅함으로써 PEDOT:PSS의 안정성을 향상시키는 동시에 전기적 특성을 향상시킬 수 있다. 이러한 PEDOT:PSS 기반 하이브리드 투명 전극을 제작하기 위해 IZTO 두께를 5 nm에서 40 nm 까지 조절하여 IZTO/PEDOT:PSS 다층 투명전극을 제작하였으며, 이때 IZTO 두께 변수에 따라 제작된 하이브리드 IZTO/PEDOT:PSS 투명전극의 전기적, 광학적 특성을 분석하였다. 최적화된 20 nm의 IZTO의 두께에서 IZTO/PEDOT:PSS 하이브리드 투명전극은 PEDOT:PSS 단일층으로 제작된 플렉서블 투명전극과 동일한 우수한 유연성을 가짐과 동시에 PEDOT:PSS 단일층보다 현저히 낮은 면저항 값(353.6 ohm/sq.)과 높은 광투과율(83.09%)을 나타내었다. 최적화된 IZTO/PEDOT:PSS 투명전극으로부터 제작된 플렉서블 유기태양전지는 IZTO의 passivation 특성으로 인해 PEDOT:PSS 단일막을 이용하여 제작된 플렉시블 투명전극보다 우수한 소자효율을(FF: 59.04%, Voc: 0.588 V, Jsc: 7.554 mA/cm2, PCE: 2.622%) 나타내었다. 이러한 결과들은 LFTS 공법으로 PEDOT:PSS위에 성막된 IZTO passivation 층이 PEDOT:PSS의 특성을 향상시킬 뿐만 아니라, PEDOT:PSS의 안정성도 향상시킬 수 있기 때문에 기존 PEDOT:PSS 기반 투명 전극의 문제점을 해결할 수 있는 해결책으로 적용이 가능하다.
-
본 연구에서는 Plasma damage-free 선형 대향 타겟 스퍼터(Linear Facing Target sputtering: LFTS) 시스템을 이용하여 성막시킨 GaN-LED의 투명전극용 Ga-doped ZnO (GZO) 박막의 특성을 연구하였다. LFTS 시스템을 이용한 GZO 성막 공정 중 LED소자의 플라즈마 노출에 의한 데미지를 최소화 하기 위해 일정한 타겟간 거리(Target-to-Target distance: 65 mm)에서 타겟과 기판간 거리(Target-to-Substrate distance)를 50 mm에서 120 mm로 변화시키며 GZO 투명 전극을 성막해 박막의 특성과 소자의 특성을 동시에 분석하였다. LFTS에서 플라즈마는 GZO 타겟 사이에 형성된 일방향의 자장에 의해 효과적으로 구속되기 때문에 기판과 타겟 거리를 최적화 할 경우 플라즈마 데미지를 최소화하며 GaN-LED의 제작이 가능하다. 기판과 타겟 사이의 거리가 120 mm에서 최적화된 200 nm 두께의 GZO 투명 전극은 DC 파워 250 W, 공정 압력 0.3 mTorr, Ar 20 sccm 실험 조건하에서 LED 소자 위해 성막되었으며, 이후
$600^{\circ}C$ 수소 분위기에서 1분간 급속 열처리하였고 면저항(37 Ohm/sq.)과 450 nm 파장에서의 투과도(83%)를 나타냄을 확인할 수 있었다. LED 소자와 타겟 사이의 거리가 50 mm에서 120 mm로 증가할수록 성막공정 중 LED 소자에 미치는 플라즈마 데미지의 감소로 인해 GaN-LED 소자의 turn on voltage가 8.2 V에서 3.4 V로 감소한 것을 확인하였으며, 또한 radiant intensity는 20 mA의 전류를 인가하였을 시 0.02 mW/sr에서 8 mW/sr로 400배 향상되었다. 이러한 소자 특성은 대향 타겟 스퍼터 시스템으로 성장시킨 GZO 투명전극이 LED 소자의 투명 전극 층(Transparent Conductive Layer: TCL)에 적용될 수 있음을 말해준다. -
본 연구에서는 Roll-to-Roll 스퍼터를 이용한 ITO 성막 공정에서 Ar 이온 빔 처리가 플렉시블 ITO 박막의 전기적, 광학적, 구조적 특성에 미치는 효과를 연구하였다. Roll-to-Roll 스퍼터를 이용하여 ITO 박막을 성막할 때 Linear ion source를 이용하여 Ar 이온을 ITO 박막에 직접 조사할 때 일어나는 ITO 박막의 변화를 분석하였다. Ar 이온 빔에 인가되는 DC 파워 변화에 따른 ITO 박막의 전기적, 광학적 특성 변화를 Hall measurement 및 UV/Visible spectrometry 분석법을 통해 확인하였다. 이온 빔 처리 공정 시 인가되는 파워가 DC 100 W일 때
$5.81{\times}10^{-4}{\Omega}-cm$ 으로 이온 빔 처리를 하지 않은$1.14{\times}10^{-3}{\Omega}-cm$ 에 보다 낮은 비저항을 나타냄을 확인할 수 있었다. 이온 빔 처리 전/후 ITO 박막의 결정성은 포항 가속기 X-ray scattering법을 이용하여 분석하였으며, 결과를 통해 Ar 이온 빔 처리가 ITO 박막의 표면에서의 국부적인 결정성을 향상을 일으킴을 알 수 있었다. 이러한 결정성 향상이 Roll-to-Roll 스퍼터된 ITO 박막의 전기적 특성을 향상과 매우 밀접한 관계가 있음을 확인할 수 있었다. 또한 이온 빔 처리 전/후 ITO/CPI의 기판 휨에 따른 기계적 안정성을 알아보기 위해 bending frequency 60 Hz, bending radius 15mm로 bending test를 진행을 통하여 이온 빔 처리 전후 ITO 박막의 특성을 비교 확인하였다. 본 실험 결과를 통해 Ar 이온빔 조사에 의해 상온에서 결정형 ITO 박막을 CPI 기판위에 형성 할 수 있었다. 또한 최적화된 ITO 박막을 이용하여 유기 태양전지를 제작하였으며 이를 통해 Ar 이온빔 처리된 결정형 ITO의 유연 태양전지 응용 가능성을 타진하였다. -
Indium Zinc Oxide (IZO)는 가시광 영역(380~780 nm)에서 높은 투과율과 적외선영역에서 높은 반사율을 보이는 투명산화막으로서 Flexible display 적용으로 주목 받는 재료이다. 특히 비 화학적 양론비(non-stoichiometric)로 성장된 박막은 N형 반도체 특성을 갖기 때문에 광전자 소자, 액정표시소자와 태양전지의 투명전극 재료로 이용되고 있으며, 향 후에도 수요는 계속 증가될 전망이다. 일반적으로 IZO 박막은 높은 열처리 온도에 의한 기판재료의 선택이 한정적인 단점이 있다. 따라서 최근에는 정밀하게 제어된 에너지를 가진 전자를 표면에 조사(E-beam irradiation)하여 박막의 물성을 개선하고 기판재료의 선택성을 넓히는 연구가 활발히 진행되고 있다 [1]. 본 연구에서는 RF Magnetron Sputtering 법을 이용하여 Glass 위에 IZO를 증착하였다. 스퍼터링타겟은 고순도 IZO 타겟을 이용하여 100 nm의 두께를 가지는 박막을 증착하였다. 증착된 IZO 박막에 E-beam Source ((주)인포비온)를 이용하여 E-beam irradiation energy 조건에 변화를 주어 박막의 물성 변화를 관찰하였다. IZO 박막의 두께를 측정하기 위해 SEM (Cross section)을 이용하였다. E-beam irradiation energy에 따른 가시광 영역(380~780 nm)에서의 광투 과도는 UV-Vis spectrometer를 사용하여 측정하였고, 전기적인 특성은 Hall measurement system 을 이용하여 측정하였다. 또한 박막의 결정성과 거칠기의 변화는 XRD (X-ray Diffraction)와 원자 간력현미경(Atomic Force Microscope; AFM)을 이용하여 측정하였다. Rf magnetron Sputtering 법을 이용하여 증착한 IZO 박막에 Post E-beam irradiation이 전기전도 및 광 투과특성과 결정성과 표면 조도를 향상시키는데 크게 기여함을 확인할 수 있었다.
-
Recently, diagnostics of plasma becomes more important due to requirement of precise control of plasma processing based on measurement of plasma characteristics. The Langmuir probe has been used for the diagnostics but it has an inevitable uncertainty and error sources such as incorrect tip length and RF noise. Instead of the Langmuir probe, various diagnostic methods have been developed and researched. The cutoff probe is promising one for plasma density using microwaves and resonance phenomenon at the plasma frequency. The cutoff probe has various advantages as follows; (i) it is simple and robust, (ii) it uses few assumptions, and (iii) it is free from deposition by reactive gas. However, the cutoff probe also has uncertainty and error sources such as gap between tips, tip length, direction of tip plane, and RF noise. In this study, the uncertainty and error sources in manufacturing both probes and in diagnostics process were analyzed via comparative experiment at various discharge conditions. Furthermore, to reveal the user dependence of both probes, three well trained Ph. D students made the Langmuir probe and the cutoff probe, respectively, and it were analyzed. Thought this study, it is established that reliability and validity of the Langmuir probe and the cutoff probe related with not only the intrinsic characteristics of probes but also probe user.
-
플라즈마를 이용하는 공정은 반도체 산업에서 박막트랜지스터, 평면 모니터와 LCD 등 다양한 반도체 및 디스플레이 소자를 제작하는데 중요한 기술 중 하나로 발전되어 왔다. 현재 플라즈마 공정 기술을 이용하여 소자의 크기를 수십 나노 이하로 공정함으로써 수율을 향상시킬 수 있었지만, 소자의 미세화는 그 한계점에 봉착하였다. 그러한 이유로 웨이퍼 사이즈의 크기를 대구경화 시킴으로써 이런 문제점을 극복하고자 하였지만, 대구경 웨이퍼 사이즈에 맞는 차세대 대면적 공정 장비와 그에 따른 플라즈마 소스의 개발과 이를 컨트롤 할 수 있는 능력이 매우 절실하게 되었다. 본 연구에서는 대구경 웨이퍼용 플라즈마 소스를 위해 상대적으로 낮은 압력과 고밀도 플라즈마를 발생시킬 수 있는 유도 결합형 플라즈마원을 사용하였다. 하지만 유도 결합형 플라즈마 소스의 경우 대구경화에 따른 안테나의 길이가 길어짐으로써 생기는 정상파 효과를 피할 수가 없게 되었다. 그러한 이유로, 본 연구에서는 정상파 효과를 줄일 수 있는 상대적으로 낮은 주파수를 사용하는 안테나의 형태를 이용하여 실질적인 공정 영역에 있어서의 균일도 문제를 해결하였다. 또한, 플라즈마의 특성조절을 위해 이중주파수를 사용함 으로써 플라즈마 특성 조절을 하였다. 이러한 형태의 이중주파수를 사용하는 플라즈마 소스를 이용하여 10 mTorr의 Ar/CF4 조건에서 양이온 종들을 PSM (Plasma Sampling Mass spectroscopy, HIDEN Inc.)을 통해 에너지를 분석하였다. 이중 주파수에 있어 전력비율에 따라 IEDs (Ion Energy Distributions)는 많은 변화가 관찰되었으며, 인가하는 입력전력에 따라 E-H mode 전이가 일어남을 관찰할 수 있었다.
-
We proposed a method for synthesized nanoparticle trapping in capacitively coupled plasma (CCP) reactor. The nanoparticle in nonthermal plasma can be negatively charged by a charged particle in plasma. Thus, it can be placed between sheath and bulk plasma with zero net force on nanoparticle. However, synthesized nanoparticle can be pumped out due to the neutral drag force when the large size of sheath thickness. We try to make a potential well using the sheath for trapping the synthesized nanoparticle.
-
We study on Argon metastable and 4p state neutral atom density in magnetized ICP Helicon plasmas by Laser Induced Fluorescence and plasma emission. The results show that metastable density is too low at the center of chamber due to significant neutral depletion. Otherwise, 4p state is high at the center of chamber because electron density is very high. Power and pressure dependence of metastable and 4p state neutral atom have been spatially measured in the radial direction of cylindrical chamber.
-
Kim, Dong-Hwan;Lee, Hyo-Chang;Kim, Yu-Sin;Kim, Yeong-Do;Park, Il-Seo;Gang, Hyeon-Ju;Jeong, Jin-Uk 580
공정 플라즈마에서 사용할 수 없는 단일 랭뮤어 탐침법의 단점을 극복하기 위해서 부유 고조화파 탐침법이 개발되었고, 개선되어왔다. 다중 주파수를 인가하여 발생하는 고조화파 신호들 중 상호간섭주파수의 진폭을 이용하는 Sideband 방법과 원신호의 주파수 진폭을 이용하는 이중 주파수 방법이 있는데, 본 연구에서는 위와 같이 응용된 방법들과 기존의 부유 고조화파 탐침법의 장단점을 파악하고, 차이점을 규명하였다. 플라즈마 변수를 이끌어내기 위해 사용된 베셀함수의 민감도를 통해 특정 전자온도 영역에서의 각 방법들의 신뢰성을 비교해보았고, 측정값에서의 차이를 주파수 응답 특성 차이 및 전자 에너지 분포의 차이로 설명하였다. 이런 비교 연구를 통해 상황 별 적합한 측정 방법을 선택적 이용할 수 있을 뿐만 아니라, 이를 통해 측정하는 플라즈마 변수의 신뢰성을 향상시킬 수 있을 것으로 예상된다. -
ITO (Indium Tin oxide)는 비화학 양론적 조성을 띄는 n-type 반도체 특성이 있으며 가시광 영역(380~780 nm)의 파장에 대한 높은 광 투과도(>85%)를 가지며 비교적 높은 전도도(
${\sim}10^4/{\Omega}-cm$ )를 갖고 화학적 안정성이 우수하여 투명전극 박막으로 많이 사용되어왔다. 또한, PET film은 전기절연성, 내후성이 우수하고, 85%의 투과율을 보이는 특성에 의하여 Flexible display의 기판으로 많은 연구가 진행되고 있다. 이와 같은 PET film에 ITO를 증착하여 광 투과도와 전기전도도가 우수한 Flexible display의 투명전극으로 많은 연구 개발이 이루어지고 있다. Flexible ITO 박막의 특성을 향상하기 위해서는$200^{\circ}C$ 이상의 열처리 공정이 필요하지만, PET는 약$200^{\circ}C$ 이상에서 열 변형이 일어나므로 열처리 공정이 어렵고 이러한 문제점을 해결하기 위해 ITO/PET film에서 PET film의 변형 없이 ITO 박막의 표면에 전자빔 형태로 조사하여 박막의 물성을 개선하는 연구가 진행되고 있다 [1]. 본 연구에서는 ITO/$SiO_2$ 가 증착된 PET film에 전자빔을 조사하여 ITO 박막의 물성 변화를 관찰하였고, 전자빔 에너지 변화 및 전자빔 조사 시간에 따라 ITO film의 전기적, 광학적 특성 변화를 분석하였다. 구조적 특성은 XRD (X-ray diffraction), 전기적 특성은 4-point probe, Hall measurement를 이용하였으며, 가시광영역의 광 투과도는 UV-Vis spectrometer로 측정하였다. 전기 광학적 특성 변화는 Figure of Merit (FOM) 수치로 분석하였다. 이 실험으로 PET film에 직접적인 열을 가하지 않으면서 ITO 박막의 표면에 전자빔을 조사 하여, 박막의 전기전도도 및 광 투과율, 결정성 향상 등을 관찰할 수 있었다. -
Oxide TFT (thin film transistor) active channel layer에 대한 저온 열처리 공정은 투명하고 flexibility을 기반으로하는 display 산업과 AMOLED (active matrix organic light emitting diode) 분야 등 다양한 분야에서 필요로 하는 기술로서 많은 연구가 이루어지고 있다. 과거 active layer는 ALD (atomic layer deposition), CVD (chemical vapor deposition), pulse laser deposition, radio frequency-dc (RF-dc) magnetron sputtering 등과 같은 고가의 진공 장비를 이용하여 증착 되어져 왔으나 현재에는 진공 장비 없이 spin-coating 후 열처리 하는 저가의 공정이 주로 연구되어 지고 있다. Flexible 기판들은 일반적인 OTFT (oxide thin films Transistor)에 적용되는 열처리 온도로 공정 진행시 열에 의한 기판의 손상이 발생한다. Flexible substrate의 열에 의한 기판 손상을 막기 위해 저온 열처리 공정이 연구되고 있지만 기존 열처리와 비교하여 소자의 특성 저하가 동반 되었다. 본 연구에서는 Si 기판위에 SiO2 (100)를 절연층으로 증착하고 그 위에 IZO (indium zinc oxide) solution을 spin-coating 한뒤
$250^{\circ}C$ 이하의 온도에서 열처리하였다. 저온 공정으로 인하여 소자의 특성 저하가 동반 되었으므로 소자의 저하된 특성 복원하고자 post-treatment로 고가의 진공장비가 필요 없고 roll-to roll system 적용이 수월한 remote-type의 APP (atmospheric pressure plasma) 처리를 하였다. Post-treatment로 APP를 이용하여$250^{\circ}C$ 이하에서 소자에 적용 가능한 on/off ratio를 얻을 수 있었다. -
화학 기상 증착법(Chemical Vapor Deposition)이나 플라즈마 식각(Etch) 등의 반도체 공정에서 챔버 내벽의 상태에 대한 모니터링은 매우 중요하다. 챔버 벽면에 증착된 유기 또는 무기 물질이 다시 떨어져 나와 불순물 입자 형성의 원인이 되며, 플라즈마를 원하지 않는 상태로 바꾸어 놓아 공정 조건이 달라질 수도 있기 때문에 반도체 제조 수율 저하를 초래하기도 한다. 본 연구에서는 챔버 벽면이 증착되는 환경에서 평판형 탐침을 삽입하여, 증착된 박막의 두께측정 기술을 개발하였다. 전기적으로 부유된 평판 탐침에 정현파 전압을 인가하고 이 경우 플라즈마로부터 들어오는 전류의 크기 및 위상차 측정을 통해 대략적인 증착 박막 두께를 측정 하였다. 플라즈마와 챔버 벽 사이에 존재하는 쉬스의 회로 모델을 적용하여 플라즈마 상태에 무관하고, 가스 종류 및 유량, 입력 전력, 챔버 내부 압력등의 외부 변수에도 독립적으로 측정이 가능하였다. 본 연구는 반도체 장비에서 내벽 모니터링을 통해, PM 주기 조정을 최적화 시키는 잣대의 역할을 할 수 있을 것이다. 더 나아가, 반도체생산 수율 향상에 많은 도움이 될 것이다.
-
부유 고조화파 진단법을 이용할 경우에 직렬 커패시터가 달린 프로브에 정현파를 인가하게 되면 자기바이어스가 생긴다. 이런 자기바이어스에 의한 플라즈마 변수 측정에 대한 연구가 진행되어 왔다. 본 연구에서는 아르곤 유도 결합 플라즈마에서 실험을 진행하였으며 서로가 정수 배인 두 개의 주파수를 이용하였다. 이 두 개의 주파수를 평판형 프로브에 인가하여 각각 주파수의 위상 차이에 따라 자기바이어스를 측정하였다. 이 측정값은 이론적으로 구한 위상 차이에 따른 정현파 곡선과 잘 일치하였다. 또한 측정된 자기바이어스를 이용하여 플라즈마 변수를 계산하였으며 이는 랑뮤어 프로브로 측정한 결과와 비슷한 경향성이 나타남을 확인 하였다.
-
유도 결합 플라즈마는 비교적 간단한 방전 구조와 고밀도 플라즈마 생성에 유리하기 때문에 산업 플라즈마 공정 장비로 널리 사용되고 있으며, 안테나에 의한 전력 전달 특성 및 방전 특성이 공정 결과에 큰 영향을 주게 된다. 이러한 유도 결합 플라즈마는 변압기 모델을 통하여 전력 전달 특성을 해석할 수 있으며, 안테나의 감은 수가 증가할수록 플라즈마로의 전력 전달 효율 및 플라즈마 밀도 상승이 기대된다. 반면에, 안테나 양단 또는 챔버 벽면과의 원치 않는 용량성 결합에 의하여, 실제로 안테나 감은 수가 증가함에 따라서 플라즈마로의 전력 전달 효율 및 방전 특성이 향상되지 않을 수도 있다. 본 연구는 이러한 안테나 감은 수 효과에 의한 전력 전달 효율 및 플라즈마 변수 변화에 대한 내용을 다루고 있으며, 플라즈마 변수 진단을 통하여 방전 특성을 연구하였다. 용량성결합을 최소화하기 위하여, 평형 전력 안테나를 사용하여 실험을 진행하였으며, 평형 전력이 인가되지 않은 안테나 구조에서의 안테나 감은 수에 따른 방전 특성과 결과를 비교하였다.
-
저밀도 플라즈마는 반도체 공정, 나노 신소재 분야 및 우주 항공 분야 등 여러 분야에 이용되며, 플라즈마 진단 및 분석을 통해 효과적인 플라즈마 제어가 가능하다. 특히, 전자 에너지 분포 함수(Electron Energy Distribution Function, EEDF)는 전자 온도, 플라즈마 밀도 및 플라즈마 전위 등의 플라즈마 변수를 측정하거나 전자 가열 매커니즘 등을 이해하는데 있어서 매우 중요하므로 정밀한 측정이 필요하다. 그러나 RF fluctuation에 의해 낮은 전자 에너지 부분에서 EEDF가 왜곡되어 측정된 데이터 및 분석의 신뢰도가 떨어지게 된다. 이러한 문제점을 해결하기 위해 RF fluctuation 보상을 위한 쵸크 필터가 사용되며, 쉬스 임피던스에 비해 쵸크필터의 임피던스가 클수록 보상 효과는 높아진다. 하지만 플라즈마의 밀도가 낮아지면 쉬스 확장에 의해 쉬스 임피던스가 증가하므로 쵸크 필터에 의한 보상만으로는 충분한 개선 효과를 얻기 힘들다. 따라서 본 연구에서는 효과적인 RF fluctuation 보상을 위해 임피던스가 높은 쵸크 필터를 설계하고 추가적으로 레퍼런스링에 전압을 걸어 쉬스의 임피던스를 줄이는 방법도 적용하였다. 유도결합방식으로
$10^{-8}cm^{-3}$ 대의 저밀도 아르곤플라즈마 방전시켰으며, 단일 랑뮤어 탐침법으로 EEDF를 측정한 결과 낮은 전자 에너지 부분의 왜곡이 개선됨을 확인하였다. -
ZnS is well-known direct band gap II-VI semiconductor, and it attracts intense interest due to its excellent properties of luminescence which enable ZnS to have promising materials for optical, photonic and electronic devices. Especially, the emission wavelength of ZnS falls in the UV absorption band of most organic compoundsand biomolecules, thus it is envisaged that ZnS based devices may find applications in increasingly important fluorescence sensing. We have developed a facile and effective one-step process for the fabrication of single-crystalline and pure-wurtzite ZnS nanostructures possessing sharp band-edge emission at room-temperature having diverse length-to-width ratios. Each of nanostructures was composed of chemically pure, structurally uniform, single-crystalline, and defect-free ZnS. These features not only suppress trap or surface states emission centered at 420 nm, but also enhance UV band-edge emission centered at 327 nm, which give as-synthesized our ZnS nanostructures possible sharp UV emission at room temperature. The reaction medium consisting of mixed solvents such as hydrazine, ethylenediamine, and water as well as proper reaction time and temperature have played an important role in the crystallinity and optical properties of ZnS nanostructures. As-synthesized our ZnS nanostructures possessing sharp UV emission guarantee high potential for both fundamental research and technological applications.
-
Nanoscale noble-metals have attracted enormous attention from researchers in various fields of study because of their unusual optical properties as well as novel chemical properties. They have possible uses in diverse applications such as devices, transistors, optoelectronics, information storages, and energy converters. It is well-known that nanoparticles of noble-metals such as silver and gold show strong absorption bands in the visible region due to their surface-plasmon oscillation modes of conductive electrons. Silver nanocubes stand out from various types of Silver nanostructures (e.g., spheres, rods, bars, belts, and wires) due to their superior performance in a range of applications involvinglocalized surface plasmon resonance, surface-enhanced Raman scattering, and biosensing. In addition, extensive efforts have been devoted to the investigation of Gold-based nanocomposites to achieve high catalytic performances and utilization efficiencies. Furthermore, as the catalytic reactivity of Silver nanostructures depends highly on their morphology, hollow Gold nanoparticles having void interiors may offer additional catalytic advantages due to their increased surface areas. Especially, hollow nanospheres possess structurally tunable features such as shell thickness, interior cavity size, and chemical composition, leading to relatively high surface areas, low densities, and reduced costs compared with their solid counterparts. Thus, hollow-structured noblemetal nanoparticles can be applied to nanometer-sized chemical reactors, efficient catalysts, energy-storage media, and small containers to encapsulate multi-functional active materials. Silver nanocubes dispersed in water have been transformed into Ag@Au nanoboxes, which show highly enhanced catalytic properties, by adding
$HAuCl_4$ . By using this concept,$SiO_2$ -coated Ag@Au nanoboxes have been synthesized via galvanic replacement of$SiO_2$ -coated Ag nanocubes. They have lower catalytic ability but more stability than Ag@Au nanoboxes do. Thus, they could be recycled.$SiO_2$ -coated Ag@Au nanoboxes have been found to catalyze the degradation of 4-nitrophenol efficiently in the presence of$NaBH_4$ . By changing the amount of the added noble metal salt to control the molar ratio Au to Ag, we could tune the catalytic properties of the nanostructures in the reduction of the dyes. The catalytic ability of$SiO_2$ -coated Ag@Au nanoboxes has been found to be much more efficient than$SiO_2$ -coated Ag nanocubes. Catalytic performances were affected noteworthily by the metals, sizes, and shapes of noble-metal nanostructures. -
열전재료는 제백효과(Seebeck effect)에 의해 폐열을 전기에너지로 변환시킬 수 있는 소재로서, 기존의 열전재료가 나노수준으로 크기가 줄어들 경우 양자제한효과에 의한 제백계수의 증가와 표면산란에 의한 열전도도 감소로 인해 벌크재료에 비해 높은 에너지변환효율을 가질 수 있을 것으로 기대되고 있다. 에너지 변환효율은 열전성능계수인
$ZT=S2{\sigma}T/k$ 로 정의되며 따라서 우수한 열전재료는 높은 제백계수 S와, 높은 전기전도도${\sigma}$ 및 낮은 열전도도 k를 갖는 재료여야 한다. 그러나 나노소재는 낮은 측정 신호와 측정소자준비가 어려워 기존 측정시스템으로는 원활한 측정이 어렵다. 특히 열전도도의 경우 나노소재 자체의 열전도 보다 나노소재 주변 구조에 의한 열전도가 큰 경우 정확한 열전도도 평가가 어렵다. 본 연구에서는 나노선의 열전물성을 평가하기 위해 MEMS기반 기술을 이용하여 열전물성 측정플랫폼(MEMS-based thermoelectric measurement platform, MTMP)을 개발하였다. 개발 된 MTMP는 얇은 Si nitride 브릿지들이 허공에 떠 있는 두 개의 아일랜드 형태의 멤브레인 구조를 지지하는 형태로 제작되었으며, 한 쪽 아일랜드구조 위에는 나노히터가 있어 두 아일랜드 구조 사이에 온도구배를 만들 수 있도록 제작되었다. 제작된 멤브레인을 이용하여 전기화학적인 방법으로 합성한 Bi-Te계 나노선의 S,${\sigma}$ 그리고 k를 측정하였다. 측정결과 화학양론적 미세구조를 갖는 단결정 Bi2Te3 나노선은 300 K의 측정온도에서$S =-57{\mu}V/K$ ,${\sigma}=3.9{\times}10^5S/m$ , k=2.0 W/m-K의 측정 값으로 ZT=0.19였다. 본 연구에서 개발한 MTMP는 나노선 뿐만 아니라 나노플레이트의 열전 측정에도 활용할 수 있는 구조로서 나노열전소재 측정에 널리 활용될 수 있다. -
Direct growth of graphene using CVD method has been done on CVD grown boron nitride substrate. From the SEM image, we have shown that the size of grain of graphene could be clearly controlled by varying the amount of injected hydrocarbon. To convince the existence of graphene on boron nitride, XPS and Raman has been checked. Both B1s and N1s peaks in XPS spectra and the Raman peak around 1,370
$cm^{-1}$ demonstrated that boron nitride did remain after high temperature treatment during the graphene growth process. And along the graphene grain boundary, the Raman fingerprint of graphene was neatly appeared. -
Fully and partially grown hexagonal boron nitride (h-BN) on Cu foil, synthesized by chemical vapor deposition method, was studied using Raman and SEM measurements. Fully and partially grown samples were successfully made from borane-ammonia complex to controlling pressure and growth time. The fully grown h-BN and partially grown h-BN exhibits a ~1,370
$cm^{-1}$ B-N vibrational mode ($E_{2g}$ ) Especially, well-aligned triangular h-BN monolayer was observed on some domain of Cu foil using SEM measurements. -
We synthesized BiOI nanostructures with various doped-concentrations of Ag (0.1, 1.0, 5.0, 10.0 mol%) and Ti (1.0, 5.0, 10.0, 30.0, 50.0 mol%). They show spherical echinoid-like structures examined by scanning electron microscope. The BET surface areas were measured to be in the range of 40~70
$m^2$ /g, which is reduced by doping. The indirect band gap was estimated to be 1.8 eV for undoped BiOI with no change and 1.0 eV increase upon Ag and Ti doping, respectively. The structures were further examined by X-Ray diffraction analysis, FT-IR, and photoluminescence. We also demonstrated adsorption and photocatalytic degradation performances for methyl orange and Rhodamine B on the echinoid-like BiOI structures. -
나노패턴 제작은 차세대 초고밀도 반도체 메모리기술과 바이오칩 등 나노기술의 핵심 분야로, 나노패턴 구조를 나노-바이오 전자소자 및 반도체 산업분야에 적용할 경우 시장 선점 및 막대한 부가가치 창출 등을 통해 국가경쟁력 강화에 크게 기여할 것으로 기대된다. 하지만 대면적 패턴형성이 어려워 뿐만 아니라
$300^{\circ}$ 이상의 열처리 과정에 의한 생산성이 떨어진다. 또한 나노구조가 잘 이루어진 차원, 표면상태, 결정성, 화학적 조성을 갖도록 하는 합성 및 제조상의 어려움 때문이다. 이에 반해 자기정렬 ITO Dot 형성은 상기 기술한 1차원 나노구조형성을 하는 것에 비하여, 나노구조를 제작하기 위하여 공정이 단순하며, 비용 및 생산성 측면에서 유리 할 것으로 생각된다. 이에 본 연구는 E-beam을 이용하여 형성된 ITO 박막에 HCl solution을 이용하여 자기정렬 ITO Dot 형성 후 n-AlGaInP Vertical LED[VLED] 표면에 nano pillar의 두께에 각기 다르게 형성하였으며, 최종적으로 제작된 VLED의 전기적, 광학적 특성을 조사하였다. -
금속이 첨가된 타이타니아 물질은 밴드갭이 감소해 자외선보다 파장이 긴 가시광 영역에서도 광촉매 반응을 나타낸다. 바나듐이 도핑된 타이타니아를 sol-gel법으로 제조하여 가시광과 자외선 환경에서 methylene blue의 감소량을 측정해 촉매특성평가를 하였다. 기존 상용 타이타니아(Degussa, P25)를 대조군으로 특성을 평가한 결과 가시광 영역에서 초기 반응 속도가 3배 이상 빨라짐을 확인하였다. UV-vis를 사용해 optical band gap을 측정한 결과 밴드갭이 감소함을 확인할 수 있었다. 도핑이 끝난 타이타니아 전구체 물질을 AAO template 위에 코팅한 후 sodium hydroxide로 template을 제거 후 나노그물을 제작하였다.
-
본 연구에서는 brush painting공법을 이용하여 인쇄형 유기태양전지에 적용이 가능한 ITO nanoparticle/Ag nanowire/ITO nanoparticle (Nano IAI) 다층 하이브리드 투명 전극의 전기적, 광학적, 구조적 특성을 연구하였다. 평균 25 nm 사이즈의 ITO 나노 입자로 구성된 ITO 나노 잉크와 직경 20~25 nm의 Ag nanowire 잉크를 기반으로 Brush painting 기술을 적용해 상온, 상압에서 낮은 면저항과 높은 투과도를 가지는 Nano IAI 하이브리드 투명 전극을 제작하였다. Nano IAI 투명 전극 제작 시 일정한 두께에서 Ag nanowire 코팅을 위한 brush painting 횟수를 변수로 하여 최적화 공정을 진행하였으며, Ag nanowire가 2번 brush painting 된 Nano IAI 다층 하이브리드 투명전극은
$3.4{\times}10^{-3}$ ohm-cm의 비저항과 52.33 ohm/square의 낮은 면저항을 나타내었다. 이를 통해 효과적으로Ag nanowire를 ITO nanoparticle 사이에 삽입할 경우, 고온의 열처리 공정을 통하지 않고 낮은 면저항을 가지는 인쇄형 투명 전극을 구현할 수 있음을 확인할 수 있었다. 특히 Nano IAI 다층 하이브리드 전극은 83.83%의 높은 투과도를 나타내는데 이는 삽입된 Ag Nanowire의 폭과 길이가 나노 사이즈이기 때문에 입사되는 빛이 흡수되기보다 대부분 투과하기 때문으로 사료된다. 또한, XRD 분석과 HRTEM 분석을 통해 Nano IAI 다층 하이브리드 투명전극의 전도 메커니즘을 설명하였다. 이와 같은 우수한 전기적, 광학적 특성은 brush painting 기법으로 제작된 Nano IAI 다층 하이브리드 투명 전극의 인쇄형 유기태양전지 적용 가능성을 나타낸다. -
본 연구에서는 Carbon nanotube 용액을 brush-painting 시스템을 이용하여 유연성 있는 PET 기판 위에 고품위의 플렉시블 투명전극을 제작하였다. CNT 박막의 두께에 따른 특성을 알아보기 위해 brushing 횟수를 증가시켜 UV/Vis Spectrometry, four-point probe 및 Hall measurement를 이용하여 전기적, 광학적특성을 알아보았다. 최적조건인 bilayer의 CNT 박막은 244 Ohm/sq.의 면 저항과 550 nm에서 68%의 투과도를 얻을 수 있었다. CNT 박막의 기계적 응력에 따른 전기적 안정성을 알아보기 위해 bending test를 진행하였다. 10,000번 구부려도 저항의 변화가 거의 없어 이 박막이 플렉시블한 소자에 적합하다는 것을 알았다. 유기태양전지의 적용 가능성을 알아보기 위해 CNT 박막을 유기태양전지의 anode 층으로 적용하여 1.6% (VOC: 0.566(V),
$J_{SC}$ : 7.118(mA/$cm^2$ ), Fill Factor: 40.49(%))의 효율을 얻어 유기태양전지 소자의 적용 가능성을 알았다. 최종적으로 실험에서 성막된 CNT 박막은 기존의 CVD공정과 같은 복잡한 공정 대신에 쉽고 간편하게 고품위의 flexible brush-painting Carbon nanotube (CNT) 투명전극을 제작 하여 플렉시블한 유기태양전지 소자의 가능성을 알아보았다. -
The resistive switching behaviors of Pt nanodisk on Nb-doped SrTiO3 single-crystal have been studied with conductive atomic force microscopy in ultra-high vacuum. The nanometer sizes of Pt disks were formed by using self-assembled patterns of silica nanospheres on Nb-doped SrTiO3 single-crystal semiconductor film using the Langmuir-Blodgett, followed by the metal deposition with e-beam evaporation. The conductance images shows the spatial mapping of the current flowing from the TiN coated AFM probe to Pt nanodisk surface on Nb:STO single-crystal substrate, that was simultaneously obtained with topography. The bipolar resistive switching behaviors of Pt nanodisk on Nb:STO single-crystal junctions was observed. By measuring the current-voltage spectroscopy after the forming process, we found that switching behavior depends on the charging and discharging of interface trap state that exhibit the high resistive state (HRS) and low resistive state (LRS), respectively. The results suggest that the bipolar resistive switching of Pt/Nb:STO single-crystal junctions can be performed without the electrochemical redox reaction between tip and sample with the potential application of nanometer scale resistive switching devices.
-
The short lifetime of Proton Exchange Membrane Fuel Cell (PEMFC) is the one of the main problems to be solved for commercializing. Especially, the weak adhesion between metal nanoparticles and supports deteriorate the performances of nanocatalysts, therefore, it is considered to be a major failure mechanism. Using force-distance spectroscopy of atomic force microscopy (AFM), we characterized the adhesion between Pt nanoparticles and carbon supports that is crucially related to the durability for membrane fuel cell (MFC) electrode. In our study, force distance curves measured with Pt coated AFM cantilever, mimicking the behavior of corresponding nanoparticles on carbon supports, leads to the adhesion between metal nanoparticles and carbon supports. We found that theadhesion between Pt and HNO3-treated carbon is enhanced by a factor of 4, compared to Pt and bare carbon support, that is consistent with the macroscopic durability test of PEMFC. The higher adhesion between Pt and HNO3-treated carbon can be explained in light of the stronger chemical interaction by C/O functional groups.
-
Gwon, Sang-U;Yang, U-Cheol;Jeon, Gwang-Deok;Lee, Ji-Eun;Lee, Hui-Su;Jeong, Jin-Gwon;Hong, Hyeon-Min;Lee, Jeong-Min;Choe, Pu-Reum;Jang, Ju-Hui;Choe, Yun-Gyeong;Jeon, Song-Hui 599
최근의 원자간력현미경(AFM)은 생체물질을 대상으로 여러 구조적 형상뿐만 아니라 물리적 특성 측정이 가능하여 바이오분야에 다양이 활용되고 있다. 줄기세포의 신경세포로 분화 인지에 대한 연구와 관련하여 본 연구에서는 AFM의 한 기능인 Force-Distance curve 측정법을 활용하여 신경암세포주라 불리는 SH-SY5Y를 대상으로 분화 전과 후의 세포막의 stiffness 변화를 측정하였다. 세포막의 stiffness값은 시료표면과 맞닿은 AFM 탐침에 계속적으로 수직방향의 힘이 가해질 시 AFM 캔티레버의 구부러짐 정도로 측정된다. SH-SY5Y는 RA (retinoic acid) 처리에 의해 분화유도 되었으며, 생물학적 방법인 western blotting법을 통해 분화여부를 확인하였다. 측정영역은 AFM topography 이미지 상에서 roughness가 가장 낮은 분화 전과 후 SH-SY5Y의 핵 주변영역으로 선정하였다. 선정된 영역 내에 여러 부분의 분화 전후 세포막의 stiffness 값을 측정하여 통계화한 결과, 분화 전과 후 세포막의 stiffness 차이를 확인할 수 있었다. 분화 전 SH-SY5Y 세포막의 stiffness는 0.79445 N/m인 반면, 분화 후 SH-SY5Y 세포막의stiffness는 0.60324 N/m로 확인되었다. 이는 분화 전에 비하여 분화 후 SH-SY5Y 세포막의 stiffness가 약 24.07% 감소된 것으로 판단할 수 있다. 본 연구는 생물학적 복잡한 방법이 아닌 간단한 방법으로 세포의 stiffness의 변화 측정을 통한 세포의 분화를 판별할 수 있는 방법을 개발한 것으로 여러 줄기세포의 특정세포로 분화여부 판단에 활용할 수 있을 것으로 사료된다. -
We have fabricated Cr nanodot Schottky diodes utilizing AAO templates formed on n-Si substrates. Three different sizes of Cr nanodots (about 75.0, 57.6, and 35.8 nm) were obtained by controlling the height of the AAO template. Cr nanodot Schottky diodes showed a rectifying behavior with low SBHs of 0.17~0.20 eV and high ideality factors of 5.6~9.2 compared to those for the bulk diode. Also, Cr nanodot Schottky diodes with smaller diameters yield higher current densities than those with larger diameters. These electrical behaviors can be explained by both Schottky barrier height (SBH) lowering effects and enhanced tunneling current due to the nanoscale size of the Schottky contact. Also, we have fabricated Cr-Si nanorod Schottky diodes with three different lengths (130, 220, and 330 nm) by dry etching of n-Si substrate. Cr-Si nanorod Schottky diodes with longer nanorods yield higher reverse current than those with shorter nanorods due to the enhanced electric field, which is attributed to a high aspect ratio of Si nanorod.
-
Superhydrophobic W18O49 nanowire (NW) arrays were synthesizedusing a thermal evaporation and surface chemistry modification methods by self-assembled monolayer (SAM). As-prepared non-wetting W18O49 NWs surface shows water contact angle of
$163.2^{\circ}$ and has reliable stability in underwater conditions. Hence the superhydrophobic W18O49 NWs surface exhibits silvery surface by total reflection of water layer and air interlayer. The stability analysus of underwater superhydrophobicity of W18O49 NWs arrays was conducted by changing hydrostatic pressure and surface energy of W18O49 NWs arrays. The stability of superhydrophobicity in underwater conditions decreased exponentially as hydrostatic pressure applied to the substrates increased3. In addition, as surface energy decreased, the underwater stability of superhydrophobic surface increased sharply. Specifically, sueprhydrophobic stability increased exponentially as surface energy of W18O49 NWs arrays was decreased. Based on these results, the models for explaining tendencies of superhydrophobic stability underwater resulting from hydrostatic pressure and surface energy were designed. The combination of fugacity and Laplace pressure explained this exponential decay of stability according to hydrostatic pressure and surface energy. This study on fabrication and modeling of underwater stability of superhydrophobic W18O49 NW arrays will help in designing highly stable superhydrophobic surfaces and broadening fields of superhydrophobic applications even submerged underwater. -
산화아연(ZnO)은 직접 천이 와이드 밴드갭(3.37 eV)과 큰 excitation binding energy (60 meV)를 갖는 II-VI 반도체로 광촉매, light emitting diodes (LED), dye-sensitized solar cell 등의 여러 가지 분야에서 각광받고 있는 물질이다. ZnO는 열역학적으로 안정한 polar terminated (001)면과 nonpolar low-symmetry (100)면을 갖으며 (100)면이 (001)면보다 더 안정하기 때문에 (100)방향의 일차원구조가 쉽게 합성된다. 이러한 일차원 구조는 빛의 산란을 유도하여 더 많은 빛의 흡수를 야기 시킬 뿐만 아니라 일차원 구조를 따라 효율적인 전하 전달을 가능하게 한다. 본 연구에서는 일차원 구조의 장점을 살리면서 더 넓은 표면적을 갖는 hierarchical ZnO nanowire 구조를 수열합성법과 스퍼터링증착법을 이용하여 합성하였다. Hierarchical ZnO nanowire는 SEM, TEM을 이용하여 구조를 관찰하였고 UV-visable spectroscopy를 이용하여 일차원 구조의 ZnO nanowire와의 absorbance, transmittace 차이를 확인하였다.
-
3D 배열구조의 Vertical nanowire Integrated Nanogenerator (VING)은 낮은 출력, 유연 기판 상에 부적합, 나노선의 부서지기 쉬움, 장기 안정성, 균일한 나노선의 성장을 필요로 하는 문제점을 가지고 있다. 본 연구에서는 이러한 VING방식의 단점을 보완하여 2D 배열 구조의 Lateral nanowire Integrated Nanogenerator (LING)로 고출력 전압, 유연기판의 상에 적합 등을 개선하는 방향으로 연구를 하였다. 본 연구의 실험 방법으로는 RF magnetron sputter를 이용하여 AZO Seedlayer를 제작하였으며 제작된 AZO Seedlayer를 photolithography 공정으로 제작하였다. 패터닝된 샘플을 Hydro thermal synthesis method로 성장시켰다. 구조적 분석으로는 XRD, FE-SEM 등을 이용하여 측정하였다.
-
Kim, Jeong-Hun;Kim, Seon-Ho;Jeon, Gwang-Deok;Lee, Ji-Eun;Lee, Hui-Su;Jeong, Jin-Cheol;Choe, Pu-Reum;Eo, Jin-Seok;Park, Jun-Ho;Lee, Ji-Un;Choe, Seung-Yeon;Choe, Gyu-Hyeon;Baek, Min;Yang, U-Cheol 604
차세대 반도체 분야인 스핀트로닉스 소자의 필수적인 물질인 강자성-반도체 하이브리드 물질인 Dilute magnetic semiconductor (DMS)에 관한 연구가 최근 많은 관심을 가지고 있다. 그중에서 넓은 에너지 밴드 갭 에너지(3.37 eV)를 가지고 있고 상온에서 엑시톤 결합 에너지가 ~60 mV로 광전자 소자, 전계 디스플레이 에 응용이 가능한 물질인 ZnO는 최근에 전이금속을 도핑하여 상온에서 강자성 특성을 나타내어 활발한 연구가 이루어지고 있다. 그러나, 이 물질에 대한 특성과 자성의 원인 규명에 관한 연구는 논란이 되고 있다. 본 연구에서는 Mn이 도핑된 ZnO 나노 입자를 만들고, Mn 물질의 도핑 농도에 따른 ZnO 나노 입자의 구조, 크기 및 자기 구조를 측정하여 구조와 자성의 상관관계에 관한 연구하였다. ZnxMn1-xO 나노 입자는 화학적 졸-겔(sol-gel) 방법을 이용하여 준비하였다. ZnxMn1-xO 나노 입자의 크기 및 격자 구조적 특징은 XRD (X-ray diffraction)와 TEM (Transmission Electron Microscope), SEM (Scanning Electron Microscope), SANS (Small Angle Neutron Scattering)를 이용하여 측정하였고 물질의 자기적 특징은 SQUID를 이용하여 조사하였다. Mn 도핑이 증가함에 따라 격자간격이 커지고 나노 입자의 크기는 감소하였으며, Zn와 Mn의 성장 시, 비율이 9:1의 경우에 상온에서 강자성 특성이 나타남을 보았다. 그 이상의 Mn 도핑 비율에서는 상자성 특성이 나타남을 보았다. 본 연구를 통하여 스핀트로닉스 소자 응용을 위한 ZnO 나노 입자에 최적의 Mn 도핑 농도를 제시하고 나노 입자의 자기 특성 형성의 원인 및 모델을 제시하였다. -
고온플라즈마 시스템을 이용하여 BCl3와 CH4 전구체 기체를 고온 플라즈마 영역으로 분사하여 고온에서 분해시킨 후, 기체상 응핵 및 성장과정을 통하여 붕소 카바이드 입자를 제조하였다. XPS를 이용하여 붕소 카바이드와 관련된 B-C 결합 구조 내의 붕소와 탄소의 원자 비율을 측정 및 분석하였다. 실험 시 BCl3는 20~40 sccm와 CH4는 10~60 sccm의 범위 안에서 유량이 조절되었으며, BCl3/CH4의 비는 0.67-4의 범위에 있었다. 이러한 실험조건에서 얻어진 붕소카바이드 나노입자의 B/C의 최대 값은 2.13이었다. 이를 바탕으로 고온플라즈마 시스템 내에서 붕소카바이드 입자의 형성과정에 대해 논하였다.
-
ZnO nanowire를 기반으로 하는 nanogenerator는 미세한 움직임을 전기 에너지로 변환 시키는 압전 에너지 하베스팅 기술로 기존 에너지 하베스터와 비교하여 사용환경의 제약이 적고, 소형화가 가능한 장점으로 주목을 받고 있다. 특히 혈류, 심장박동, 호흡 등 인체 활동 에너지를 이용한 발전 소자 등의 활용이 가능하여 활발한 연구가 진행되고 있다. 하지만, 최근 발표된 film like generator나 lateral 구조의 nanogenerator는 nanowire의 구조 취약성으로 인해 내구성이 좋지 못한 단점이 있다. 본 연구에서는 nanogenerator의 내구성을 향상시키기 위해 capping layer로 실리콘 계 유무기 하이브리드를 적용하고자 하였다. 또한 상부 전극을 CNT-Ag소재로 대체하여 유연기판에 대응코자 하였다. 코팅 물질 및 코팅 방법을 최적화하고, 내구성 테스트를 실시하였고, 소자의 발전 특성은 PVDF generator와 비교분석하였다.
-
차세대 비휘발성 메모리 소자로서 각광받고 있는 저항 변화 메모리(resistive switching random access memory; ReRAM) 소자는 속도가 빠르고, 에너지 소모가 적으며, 고집적화를 이루기 용이하다는 강점을 보유하고 있다. 지금까지 저항변화 물질의 최적화를 위해 매우 다양한 물질들이 연구되고 있으며, 그 물질에 따라 스위칭 메커니즘 및 동작 방법이 다르게 보고되어 왔다. 하지만 저항변화 메모리의 스위칭 거동은 전형적인 전기적 제어 조건으로부터 구현되었기 때문에 한정된 소자 특성을 나타낼 수밖에 없었다. 본 연구에서는 새로운 메모리 제어 조건으로 빛을 이용함으로써 한정된 소자 특성으로부터 벗어나고자 하였다. 저항 변화 물질로 잘 알려진 ZnO를 표면적이 넓은 형태로 합성하기 위하여 hydrothermal 방법으로 FTO 기판 위에 수직하게 배열된 ZnO 나노와이어를 형성하고 그 위에 Au 상부 전극을 형성하여 금속-절연체-금속 소자 구조를 제작하였다. 본 연구에서는 전형적인 전기적 제어 조건에 더불어 빛의 입사 유무 조건을 바꿔가면서 Au/ZnO 나노와이어/FTO 소자의 저항 변화 특성을 평가 하였을 뿐만 아니라 전기적 인가 없이 오직 빛만으로 두 가지 안정한 저항 상태를 반복적으로 전환하는 특성을 유도하였다. 본 결과를 바탕으로 필라멘트 이론에 기초한 저항 변화 메커니즘을 설명하는 모델이 제시되었다.
-
직선운동하는 하전입자의 진행방향에 수직한 평면상에 서로 직교하는 전기장과 자기장을 걸어주면, 하전입자에는 전기장에 의한 힘
$F_E$ 와 자기장과 속도 v에 의한 로렌츠력$F_B=q(v{\times}B)$ 가 동시에 작용하게 된다. 이때 Wien 조건$F_B=-F_E$ 를 만족하는 질량$m_A$ 과, 에너지$E_A$ 를 가지는 하전입자 A는 휘지 않고 직선운동을 계속하나, 하전입자 A와 다른 에너지$E_B(=E_A+{\delta}E)$ 나 질량$m_B$ $(=m_A+{\delta}m)$ 을 가지는 하전입자는 휘게 되며, 그 휘는 정도는${\delta}E$ 나${\delta}m$ 에 비례하게 된다. 이 현상을 이용하여 다양한 종류의 에너지 또는 질량 분석기가 독일, 미국, 일본 등의 분석기기 선진국에서 개발되어 왔고, 전자현미경의 이미지 필터로도 활용되고 있으며, 통상 EXB 필터 또는 발명자의 이름을 딴 Wien 필터로 불리어지고 있다.$E{\times}B$ 필터는 일반적인 하전입자빔 렌즈와 다른 광학특성을 가진다. 예를 들면 3차 이상의 기하 수차만 가지는 일반 렌즈와는 달리$F_B$ ,$F_E$ 전자기력에 의해 다양한 2차 기하 수차를 가지게 되며, 초점거리 등의 1차 광학 특성도 일반 렌즈와는 다른 경향을 보여준다. 본 발표에서는$E{\times}B$ 필터의 전후로 각각 6극자+4극자를 조합시킨 보정기를 배치시켜 필터의 에너지 분해능의 성능을 향상시킬 수 있음을 빔 궤도 방정식을 분석적으로 계산하여 보여준다. 위 에너지 필터 구성에서 4극자는 1차 광학 특성을 조정하는 역할을 하며 6극자는 2차 수차를 줄여주는 역할을 한다. 수치해석을 통해서는 6극자+4극자를 조합시킨 보정기와$E{\times}B$ 필터의 좀더 정확한 전극 전압 등의 제어 수치를 추출하고, 빔 궤도 방정식 분석을 통한 수차 보정 알고리즘이 유효함을 보여준다. -
나노선은 대표적인 일차원 나노구조로 높은 부피-표면적 비율과, 조절 가능한 밴드갭 에너지, 뛰어난 광학적/전기적 특성으로 인해 다양한 잠재적 응용처를 가지며, 많이 연구되고 있다. 특히 ZnO 나노선은 대표적인 광촉매로, 높은 감광성과 높은 부피-표면적 비율 등의 특징을 가지지만, 상대적으로 넓은 밴드갭 에너지 때문에 가시광선 영역을 사용하지 못하는 단점이 있다. 본 연구에서는 CuS 나노입자/ZnO 나노선 이종구조를 간단한 두 가지의 방법으로 합성하였다. ZnO 나노선은 간단한 수열합성 방법으로 합성하였고, 그 위에 CuS 나노입자를 successive ionic layer adsorption and reaction (SILAR) 방법으로 증착하였다. 합성된 나노 구조는 기존의 ZnO 구조와는 달리 가시광 영역에서도 향상된 광촉매 특성을 보였으며, 이는 ZnO와 CuS사이의 interfacial charge transfer (IFCT)에서 기인한 것이다. SEM, TEM, XRD를 통해 CuS/ZnO 이종구조의 형태와 결정구조, 구성성분을 분석할 수 있었고, Acid Orange 7의 광분해 실험을 통해 향상된 광촉매 특성을 확인 할 수 있었다.
-
그래핀을 구성하는 탄소 원자는 모두 표면에 존재하기 때문에, 맞닿아 있는 물질에 따라 그래핀의 물리적 특성에 민감한 영향을 미치기도 한다. 본 연구에서는 라만분광법과 원자 힘 현미경(atomic force microscopy, AFM)을 이용하여 통상적인 열처리 조건에서 그래핀에 탄화수소가 흡착될 수 있는 가능성을 탐구하였다. 산소가 없는 조건에서 열처리된 그래핀으로부터 D, G, 2D 봉우리와 겹쳐지는 폭이 넓은 새로운 라만 봉우리들이 관찰되었다. 열처리 시간, 온도 및 진공도에 따른 라만 스펙트럼의 변화와AFM에 의해 확인된 표면의 구조 변화로부터 새로이 관찰된 라만 봉우리는 탄화수소의 탄화에 의해 그래핀의 표면에 형성된 비결정성 탄소물질(amorphous carbon)임을 확인하였다. 기계적 박리법과 화학기상증착법으로 시료를 준비할 때 사용된 폴리머 잔유물이 열처리 과정에서 탄화수소를 배출하는 것으로 판단된다. 이 연구는 그래핀 소자의 작동에 있어서 환경적인 효과를 이해하고 탄화에 의해 그래핀 표면에 만들어진 비결정질 탄소를 정량화하는데 도움을 줄 것이다.
-
In this study, iron oxide nanoclusters layer (Nc) was prepared onto functionalized silicon substrate by wet method. The amine-terminated SAM fabricated on silicon substrate (APTMS/Si) was carried out by UV-treatment and immersed into the FeCl3/HCl aqueous solution. Then, Nc were immobilized onto oxidized SAM silicon substrate (SAMs/Si) through electrostatic interaction between cationic Nc and anionic SAMs/Si. This catalytic layer (Nc/SAMs/Si) was used to grow carbon nanotubes (CNTs). The characterization results clearly show that the well-graphitized CNTs were synthesized by using functionalized silicon substrate as a template having appropriate density of catalyst. These consequences show that SAM containing template is important to achieve the effective layer of catalyst to synthesize CNTs.
-
Song, U-Seok;Kim, Su-Yeon;Kim, Yu-Seok;Kim, Seong-Hwan;Lee, Su-Il;Song, In-Gyeong;Jeon, Cheol-Ho;Park, Jong-Yun 612
The band-gap opening in graphene is a key factor in developing graphene-based field effect transistors. Although graphene is a gapless semimetal, a band-gap opens when graphene is formed into a graphene nanoribbon (GNR). Moreover, the band-gap energy can be manipulated by the width of the GNR. In this study, we propose a site-specific synthesis of a width-tailored GNR directly onto an insulating substrate. Predeposition of a diamond-like carbon nanotemplate onto a SiO2/Si wafer via focused ion beam-assisted chemical vapor deposition is first utilized for growth of the GNR. These results may present a feasible route for growing a width-tailored GNR onto a specific region of an insulating substrate. -
Jeong, Sang-Hui;Song, U-Seok;Lee, Su-Il;Kim, Yu-Seok;Cha, Myeong-Jun;Kim, Seong-Hwan;Jo, Ju-Mi;Jeong, Min-Uk;Park, Jong-Yun 613
그래핀은 저차원계 구조에서 기인하는 뛰어난 전기적, 물리적, 기계적 성질을 지니고 있어 실리콘 기반 기술을 대체할 전계 효과 트랜지스터 이외에도 투명전극, 초고용량 커패시터, 전계방출 디스플레이 등 다양한 응용분야에 적용 가능하다. 최근에는 이러한 응용 연구분야에서 그래핀과 탄소나노튜브 각각의 단점을 최소화하고 장점을 극대화하기 위한 그래핀-탄소나노튜브 혼성 나노구조에 대한 연구들이 진행되고 있는 추세이다. 이전 연구들에서 환원된 그래핀 산화물(Reduced Graphene Oxide, RGO)을 이용한 그래핀-탄소나노튜브 혼성 나노구조가 제작되었는데, 이는 RGO의 제작과정에서 복잡한 공정과 긴 합성과정이 요구될 뿐 아니라, 복합 물질에서 탄소나노튜브의 밀도 제어가 어렵다는 단점을 지닌다. 또한 현재까지 제작된 그래핀-탄소나노튜브 혼성 나노구조의 경우, 열 화학기상증착법으로 합성된 다층(few-layers)의 그래핀과 탄소나노튜브 혼성 나노구조를 제작하였다 [1-6]. 본 연구에서는 우수한 전기적 특성을 가진 단층(monolayer)의 그래핀을 열 화학기상증착법으로 합성한 후, 그래핀 위에 단일벽 탄소나노튜브를 성장시킴으로써 그래핀-탄소나노튜브 혼성 나노구조를 제작하였다. 합성된 그래핀-탄소나노튜브의 구조적 특징은 주사 전자 현미경과 라만 분광기 측정을 통해 확인하였고, 촉매의 표면 형상 및 화학적 상태는 원자힘 현미경과 X선 광전자 분광법을 통해 확인하였다. 또한 그래핀 기반의 전계 효과 트랜지스터의 경우, 상온에서 그래핀은 우수한 전하 이동도를 가지며 웨이퍼 스케일에서 제작하기 쉬우나 밴드 갭이 없으므로 높은 Ion/Ioff를 가지는 그래핀 기반의 트랜지스터를 만드는 것이 과제이다. 반면 탄소나노튜브는 큰 에너지 갭을 가지고 있으므로 높은 Ion/Ioff를 구현하는 소자 제작이 가능하다. 그리하여 제작된 그래핀-탄소나노튜브 혼성 나노구조의 소자 제작을 통해 전기적 특성을 조사하였다. -
The effect of graphene growth parameters on the number of graphene layers were systematically studied and growth mechanism on copper substrate was proposed. Parameters that could affect the thickness of graphene growth include the pressure in the system, gas flow rate, growth pressure, growth temperature, and cooling rate. We hypothesis that the partial pressure of both the carbon sources and hydrogen gas in the growth process, which is set by the total pressure and the mole fraction of the feedstock, could be the factor that controls the thickness of the graphene. A synthetic method to produce such large area graphene films with precise thickness from mono- to few-layer would be ideal for chemists and physicists to explore the promising electronic applications of these materials. Here, large-area uniform mono-, bi-, and few-layer graphene films were successfully synthesized on copper surface in selective growth windows, with a finely tuned total pressure and
$CH_4$ /$H_{2gas}$ ratio. Our findings may facilitate both the large-area synthesis of well-controlled graphene features and wide range of applications of graphene. -
탄소나노튜브(carbon nanotubes; CNT)는 강철보다 10~100배 견고할 뿐만 아니라 영률과 탄성률 은 각각 1.8 TPa, 1.3 TPa에 달하는 매우 우수한 기계적 강도를 지니고 있으며, 구리보다 좋은 전기 전도도와 다이아몬드의 2배에 이르는 열전도도를 지닌 물질이다. 이러한 탄소나노튜브의 우수한 특성을 이용하여 나노섬유, 고분자-탄소나노튜브의 고기능 복합체, 나노소자, 전계방출원(field emitter), 가스센서 등 다양한 분야로의 활용을 위한 연구가 진행되고 있다. 특히, 수백
${\mu}m$ 이상의 길이로 수직 성장된 탄소나노튜브(VA-CNTs)의 합성은 길이 대 직경의 비(aspect ratio)가 비약적으로 증가하여 앞서 언급한 분야로의 활용이 더욱 유리하며, 그 중에서도 대량 생산, 나노섬유 및 나노복합체로서의 활용에 극히 유용하다. 최근에는 열 화학기상증착(thermal chemical vapor deposition; TCVD)법을 이용하여 탄소나노튜브의 구조를 제어하는 연구들이 많이 보고되고 있다. 열 화학기상증착을 이용한 수직 정렬된 탄소나노튜브의 합성에서 합성조건의 변화는 탄소나노튜브의 길이, 벽의 수, 직경, 결정성 등 구조에 큰 영향을 미친다. 탄소나노튜브는 이러한 구조에 따 라 물리적 특성이 달라지기 때문에 다양한 분야로의 응용을 위해서는 합성에 대한 근본적인 이해 가 절실히 요구된다. 본 연구에서는 열 화학기상증착법을 이용한 합성에서 성장압력의 변화에 따른 탄소나노튜브의 구조적 특성을 조사하였다. 성장압력의 변화는 탄소나노튜브의 밀도, 길이, 결정성에 큰 영향을 미치는 것을 주사전자현미경과 라만분광법을 이용하여 확인하였다. 이러한 결과 는 탄소나노튜브 박막(CNT forest)의 가장자리(edge)에 비정질 탄소(amorphous carbon)의 흡착으로 인한 나노튜브사이의 간격(intertube distance)이 좁아짐에 따른 가스공급 차단 효과로 설명이 가능 하다. 또한, 마이크로웨이브 플라즈마 화학기상증착법을 이용하여 탄소나노튜브를 합성하였다. 합성과정 중 산소(O2)를 주입 하였을 경우, 그렇지 않은 경우에 비하여 성장 속도가 증가하여 3시간 합성 시 2 mm가 넘는 수직 정렬된 탄소나노튜브를 합성 할 수 있었다. 이러한 결과는 과잉 공급 되어 탄소나노튜브로 합성되지 못하고 촉매금속의 표면과 탄소나노튜브의 벽에 비정질의 형태로 붙어있는 탄소 원자들을 추가 주입해 준 산소에 의하여 CO 또는 CO2 형태로 제거해 줌으로써 활성화된 촉매금속의 반응 시간을 향상 시켜주어 탄소공급이 원활하게 이루어졌기 때문이라 생각된다. -
Characterizationof Graphene Modified by Self-Assembled Monolayers on Polyethylene Terephthalate FilmJo, Ju-Mi;Jeong, Dae-Seong;Kim, Yu-Seok;Song, U-Seok;Adhikari, Prashanta Dhoj;Cha, Myeong-Jun;Lee, Su-Il;Jeong, Sang-Hui;Park, Sang-Eun;Park, Jong-Yun 616
그래핀(Graphene)은 열전도도가 높고 전자 이동도(200,000 cm2V-1s-1)가 우수한 전기적 특성을 가지고 있어 전계 효과 트랜지스터(Field effect transistor; FET), 유기 전자 소자(Organic electronic device)와 광전자 소자(Optoelectronic device) 같은 반도체 소자에 응용 가능하다. 최근에는 아크 방출(Arc discharge method), 화학적 기상 증착법(Chemical vapor deposition; CVD), 이온-조사법(Ionirradiation)등을 이용한 이종원자(Hetero atom)도핑과 화학적 처리를 이용한 기능화(Functionalization)등의 방법으로 그래핀의 전도도를 향상시킬 수 있었다. 그러나 이러한 방법들은 기판의 표면을 거칠게 하며, 그래핀에 많은 결함들이 발생한다는 단점이 있다. 이러한 단점을 극복하기 위해 자가조립 단층막법(Self-assembled monolayers; SAMs)을 이용하여 기판을 기능화한 후 그 위에 그래핀을 전사하면, 자가조립 단층막의 기능기에 따라 그래핀의 일함수를 조절 가능하고 운반자 농도나 도핑 유형을 변화시켜 소자의 전기적 특성을 최적화 할 수 있다 [1-3]. 본 연구에서는 PET(polyethylene terephthalate) 기판에 SAMs를 이용하여 유연하고 투명한 그래핀 전극을 제작하였다. 산소 플라즈마와 3-Aminopropyltriethoxysilane (APTES)를 이용하여 PET 기판 표면 위에 하이드록실 기(Hydroxyl group; -OH)와 아민 기(Amine group; -NH2)를 순차적으로 기능화 하였고, 그 위에 화학적 기상 증착법을 이용하여 합성한 대면적의 균일한 그래핀을 전사하였다. PET 기판 위에 NH2 그룹이 존재하는 것을 접촉각 측정(Contact angle measurement)과 X-선 광전자 분광법(Xray photoelectron spectroscopy: XPS)을 통해 확인하였으며, NH2그룹에 의해 그래핀에 도핑 효과가 나타난 것을 라만 분광법(Raman spectroscopy)과 전류-전압 특성곡선(I-V characteristic curve)을 이용하여 확인하였다. 본 연구 결과는 유연하고 투명한 기판 위에 안정적이면서 패턴이 가능하기 때문에 그래핀을 기반으로 하는 반도체 소자에 적용 가능할 것이라 예상된다. -
Jeon, Seung-Han;Song, U-Seok;Jeong, Dae-Seong;Cha, Myeong-Jun;Kim, Seong-Hwan;Park, Jong-Yun 617
최근 그래핀 연구와 더불어 2차원 구조의 나노소재에 대한 관심이 급증하면서 육각형의 질화붕소(hexagonal boron nitride; h-BN) 박막(nanosheet) [1]이나 붕소 탄화질화물(boron caronitride; BCN) 박막 [2,3]과 같은 2차원 구조체에 대한 연구가 활발히 진행되고 있다. 그 중 BCN은 반금속(semimetal)인 흑연(graphite)과 절연체인 h-BN이 결합된 박막으로 원소의 구성 비율에 따라 전기적 특성을 제어할 수 있다는 장점이 있다. 따라서 다양한 나노소자로의 응용을 위한 연구가 활발히 진행되고 있다. 본 연구에서는 폴리스틸렌(polystyrene, PS)과 보레인 암모니아(borane ammonia)를 고체 소스로 이용하여 열화학기상증착법으로 BCN 박막을 SiO2 기판 위에 직접 합성하였다. SEM과 AFM 관측을 통해 합성된 BCN 박막의 두께가 약 10 nm이며, RMS roughness가 0.5~2.6 nm로 매우 낮은 것을 확인하였다. 합성과정에서 PS의 양을 조절하여 BCN 박막의 탄소의 밀도를 성공적으로 제어하였으며, 이에 따라 전기적인 특성이 제어되는 양상을 확인하였다. 또한 합성온도 변화에 따른 BCN 박막의 전기적인 특성이 제어되는 양상을 확인하였다. 추가적으로 같은 방법을 이용하여 BCN 박막을 Cu 위에서 합성하여 SiO2 기판위에 전사하였다. 합성된 BCN 박막의 구조적 특징과 화학적 조성 및 결합 상태를 투과전자현미경(transmission electron microscopy), X-선 광전자 분광법(X-ray photoelectron spectroscopy), 라만 분광법(Raman spectroscopy)을 통해 조사하였고, 이온성 용액법(ionic liquid) [4]을 이용하여 전계효과 특성을 측정하였다. -
Graphene is only one atom thick planar sheet of sp2-bonded carbon atoms arranged in a honeycomb crystal lattice, which has flexible and transparent characteristics with extremely high mobility. These noteworthy properties of graphene have given various applicable opportunities as electrode and/or channel for various flexible devices via suitable physical and chemical modifications. In this work, for the development of all-graphene devices, we performed to synthesize alternately patterned structure of mono- and multi-layer graphene by using the patterned Ni film on Cu foil, having much different carbon solid solubilities. Depending on the process temperature, Ni film thickness, introducing occasion of methane and gas ratio of CH4/H2, the thickness and width of the multi-layer graphene were considerably changed, while the formation of monolayer graphene on just Cu foil was not seriously influenced. Based on the alternately patterned structure of mono- and multi-layer graphene as a channel and electrode, respectively, the flexible TFT (thin film transistor) on SiO2/Si substrate was fabricated by simple transfer and O2 plasma etching process, and the I-V characteristics were measured. As comparing the change of resistance for bending radius and the stability for a various number of repeated bending, we could confirm that multi-layer graphene electrode is better than Au/Ti electrode for flexible applications.
-
The interfacial state between
$Ta_2O_5$ and a Si substrate during the growth of$Ta_2O_5$ films by atomic layer deposition (ALD) was investigated using in-situ synchrotron radiation photoemission spectroscopy (SRPES). A newly synthesized liquid precursor Ta($N^tBu$ )$(dmamp)_2Me$ was used as the metal precursor, with Ar as a purging gas and$H_2O$ as the oxidant source. After each half reaction cycle, samples were analyzed using in-situ SRPES under ultrahigh vacuum at room temperature. SRPES analysis revealed that Ta suboxide and Si dioxide were formed at the initial stages of$Ta_2O_5$ growth. However, the Ta suboxide states almostdisappeared as the ALD cycles progressed. Consequently, the$Ta^{5+}$ state, which corresponds with the stoichiometric$Ta_2O_5$ , only appeared after 4.0 cycles. Additionally, tantalum silicate was not detected at the interfacial states between$Ta_2O_5$ and Si. The measured valence band offset between$Ta_2O_5$ and the Si substrate was 3.22 eV after 3.0 cycles. -
When
$MoS_2$ is thinned to single layer (1L), photoluminescence (PL) quantum yield drastically increases due to emergence of direct band gap. A recent theory predicts that the electronic structure of 1L$MoS_2$ is very sensitive to its lattice constants. We investigated the response of 1L$MoS_2$ to biaxial tensile strain using spatially resolved PL and Raman spectroscopy. Changes in the lattice constants were monitored by the Raman frequency of the in-plane ($E^1{_2g}$ ) mode. Systematic correlations between PL and Ramanspectral features, revealed in the preliminary results, will be further tested with samples on other substrates and against thermal stress. The results will also be discussed in regard to the theory which predicts that 1L$MoS_2$ becomes an indirect semiconductor at small tensile strain and turns metallic when further extended. -
ZnO는 수열합성법을 사용하여 저비용으로 일차원 형태의 잘 정렬된 nanowire의 제작이 가능하며 높은 화학적, 열적 안정성을 가져 전계 방출 소자로써 많은 연구가 진행되고 있다. 본 연구는 수열합성법에 사용되는 ZnO 전구체(Zinc Nitrate, HMTA, PEI & Ammonium Chloride), 안정제(Ethanolamine)의 농도에 따른 ZnO의 형상 변화와 이에 따른 전계방출 특성을 비교 분석하였다. 전구체의 농도 변화에 따라 ZnO Film, Rod, Tip과 같은 형상 변화를 확인 할 수 있었으며, 안정제를 사용함으로써 고종횡비를 가지는 ZnO Tip을 제작하였다. 제작된 ZnO 에미터의 전계방출특성을 고진공 챔버에서 측정하였고, 에미터 형상이 전계방출에 미치는 영향을 비교 분석하였다.
-
Piezoelectric energy harvesting (PEH) device refers to a power device for acquiring mechanical energy from the environment surrounding us which would otherwise be wasted and for converting it into usable electrical energy. While much work has been done on developing ZnO nanogenerator (NG) with nanowire arrays, there are some issues of not only scaling up its output power but also optimizing structure for operating feasibly in various conditions. Efficiency of NG is highly dependent on fixed orientation. But in many cases, it is not easy to predict where the pressure and vibration may come from. Furthermore, the direction of the applied mechanical stress is usually non-stationary and can be random in various practical applications. Therefore an omnidirectional PEH is needed.In this work, we investigate an omnidirectional PEH device consisting ZnO nanowires. We deposited spiral patterned ZnO seed layer on Kapton film. We deposited thin Cr layer on the ZnO seed layer using DC-sputter to form a passivation layer to retard un-expected growth of ZnO nanowires. We grew ZnO nanowires along the spiral arms using hydrothermal method. ZnO nanowires have been selectively grown from the ZnO sidewall without Cr layer and have the average length of
$5{\mu}m$ and the average diameter of 40nm. We reduced the defect in the as-grown ZnO nanowires by O2 plasma using asher and by thermal treatment using RTA. Consequently, each nanowire has different directions to each other. This isotropic design can lead to the omnidirectional power generation. The morphology of NG is characterized with FESEM. Maximum output power of the device is measured by using a picoammeter and a nanovoltmeter. -
그래핀(graphene)의 라만 스펙트럼은 전하밀도(charge density)와 기계적 변형(strain)에 민감하여 수많은 연구에 활용되고 있다. 그러나 실제 시료에서 관찰되는 두 물질량의 복잡한 변이를 정량 분석하기 위해서는 기계적 변형뿐만 아니라 전하밀도의 영향에 대한 신뢰도 높은 검정곡선이 필요하다. 본 연구에서는 기계적 박리법으로 만들어진 그래핀에서 나타나는 기계적 변형과 황산 수용액이 미치는 p-형 화학도핑(chemical doping)의 영향을 라만 분광법을 이용하여 연구하였다. 농도 변화에 따른 G와 2D 피크의 진동수 변화는 정전기적 방법을 이용하여 보고된 결과보다 높은 재현성을 보여 검정곡선으로 활용되기에 적합함을 알 수 있었다. 본 연구에서는 514 nm 이외에도 널리 활용되는 몇 가지 파장에서 "전하밀도-변형" 검정곡선을 제시하고자한다.
-
본 연구에서는 전자빔 조사를 이용하여 대기 조건에서 실리카 나노입자를 제조하였다. 제조된 실리카 나노입자는 FT-IR을 통해 전구체가 전자빔에 의하여 분해되었음을 확인하였고 또한 XPS를 통해 Si 2P binding energy가 확인되었다. 본 연구에서 제조된 나노입자의 평균 지름은 각각 210 nm와 73 nm로 나타났고, 입자의 평균지름은 전구체 증기의 전자빔 반응기내 체류시간 조절에 따라 제어된다.
-
본 연구에서는 전자빔 조사를 이용하여 대기조건에서 중공 실리카 나노입자의 새로운 기체상 단일 공정 제조 방법을 제시하였다. 실험에서는 전구체로서 TEOS와 은 나노입자가 사용되었다. EDS 분석 결과 실리카 중공 나노입자의 제조를 확인하였으며, TEM 분석을 통해 제조된 중공나노입자의 평균 지름과 쉘 두께가 각각 56 nm와 10 nm임을 알 수 있었다.
-
P-N 접합에 의해 절연된 게이트를 통해 전류 통로를 제어하는 접합형 전계효과 트랜지스터(Junction Field Effect Transistors; JFETs)는, 입력 임피던스가 크고, 온도에 덜 민감하며, 제조가 간편하여 집적회로(IC) 제조가 용이하고, 동작의 해석이 단순하다는 장점을 가지고 있다. 특히 JFET는 선형적인 전류의 증폭 특성을 가지고 있으며, 잡음이작기 때문에, 감도가 우수한 음향 센서의 증폭회로, 선형성이 우수한 증폭회로, 입력 계측 증폭 회로 등에 주로 사용되고 있다. 기존에 사용되는 JFET 소자는 구조와 제조 공정에 따라서, 컷 오프 전압(
$V_{cut-off}$ )과 드레인-소스 포화 전류($I_{DSS}$ )의 변화가 심하게 발생하여, 소자의 전기적 특성 제어가 어렵고, 소자의 수율이 낮다는 문제점이 있다. 본 연구에서는 TCAD 시뮬레이션을 통해 게이트 전압에 의해 채널이 형성되는 채널 층의 상하부에 각각$Si_xGe_{1-x}$ 로 이루어진 상부 및 하부 확산 저지층을 삽입한 JFET 소자 형성하여, 게이트 접합부의 접합 영역 확산을 저지하고, 상기 게이트 접합부가 계면에서 날카로운 농도 구배를 갖도록 함으로써, 공정 변화에 따른 전기적 특성의 편차가 작아지는 JFET 소자 구조를 만들어 전기적 특성을 개선하였다. JFET은 채널층에 삽입된$Si_xGe_{1-x}$ 층의 두께, Ge 함유량 및 n채널층의 두께를 변화하였을 때, off 상태의 게이트-소스 전압이 감소한 반면에 드레인-소스 포화 전류($I_{DSS}$ )와 컨덕턴스(gm) 값이 증가하였다. 삽입된$Si_xGe_{1-x}$ 층이 Boron이 밖으로 확산되는 현상이 감소하여 채널이 좁아지는 현상을 막아 소자의 전기적 특성을 개선함으로써 제조공정의 변화에 관계없이 컷오프 전압을 정확하고 안정되게 제어할 수 있고 이를 통해 소자의 수율을 높일 수 있을 것으로 기대된다. -
Recently, most studies concerning inorganic CdSe/ZnS quantum dot (QD)-polymer hybrid LEDs have been concentrated on the structure with multiple layers [1,2]. The QD LEDs used almost CdSe materials for color reproduction such as blue, green and red from the light source until current. However, since Cd is one of six substances banned by the Restriction on Hazardous Substances (RoHS) directive and classified into a hazardous substance for utilization and commercialization as well as for use in life, it was reported that the use of CdSe is not suitable to fabricate a photoelectronic device. In this work, we demonstrate a novel, simple and facile technique for the synthesis of ZnO-graphene quasi-core.shell quantum dots utilizing graphene nanodot in order to overcome Cd material including RoHS materials. Also, We investigate the optical and structural properties of the quantum dots using a number of techniques. In result, At the applied bias 10 V, the device produced bluish-white color of the maximum brightness 1118 cd/
$m^2$ with CIE coordinates (0.31, 0.26) at the bias 10 V. -
Graphene, a single layer of graphite, has raised extensive interest in a wide scientific community for its extraordinary thermal, mechanical, electrical and other properties [1,2]. However, because of zero-band gap of graphene, it is difficult to apply for electronic applications. To overcome this problem, chemical doping is one of way to opening grahene bandgap. According to experimental results, by changing doping concentration and doping time, it is possible to control work function of graphene. We can obtain results through raman spectroscopy, UPS, Sheet resistance. Moreover, electronic properties of doped graphene were studied by making field effect transistors. We were able to control the doping concentration, dirac point of graphene and work function of graphene by formng n-type, p-type doping materials. In this research, the chemicals of diazonium salts, viologen, etc. were used for extrinsic doping.
-
Graphene is a carbon based material and it has intriguing features, such as phenomenally strong, thin, flexible, transparent and conductive, those make it attractive for a broad range of applications.Unfortunately, graphene is extremely sensitive to contamination. When we fabricate graphene devices, electrical properties of graphene are altered [1], and the charge carrier mobility drops accordingly by orders of magnitude. This significant impact on electron mobility occurs because any surrounding medium could act as a dominant source of extrinsic scattering, which effectively reduces the mean free path of carriers [2,3]. The dominant contaminant is generated through fabrication stage by polymethyl methacrylate (PMMA) [4], or photo resist (PR). Surface contamination by these residues has long been a critical problem in probing graphene's intrinsic properties. If we clearly solve this problem, we can get highly performed graphene devices. Here, we will report on graphene cleaning process by Induced Coupled Plasma (ICP). We demonstrated how much decomposition of residue impact on improving electrical properties of graphene.
-
Recently, atomically smooth hexagonal boron nitride(h-BN) known as a white graphene has drawn great attention since the discovery of graphene. h-BN is a III-V compound and has a honeycomb structure very similar to graphene with smaller lattice mismatch. Because of strong covalent sp2bonds like graphene, h-BN provides a high thermal conductivity and mechanical strength as well as chemical stability of h-BN superior to graphene. While graphene has a high electrical conductivity, h-BN has a highly dielectric property as an insulator with optical band gap up to 6eV. Similar to the graphene, h-BN can be applied to a variety of field, such as gate dielectric layers/substrate, ultraviolet emitter, transparent membrane, and protective coatings. However, up until recently, obtaining and controlling good quality monolayer h-BN layers have been too difficult and challenging. In this work, we investigate the controlled synthesis of h-BN layers according to the growth condition, time, temperature, and gas partial pressure. h-BN is obtained by using chemical vapor deposition on Cu foil with ammonia borane (BH3NH3) as a source for h-BN. Scanning Transmission Electron Microscopy (STEM, JEOL-JEM-ARM200F) is used for imaging and structural analysis of h-BN layer. Sample's surface morphology is characterized by Field emission scanning electron microscopy (SEM, JEOL JSM-7100F). h-BN is analyzed by Raman spectroscopy (HORIBA, ARAMIS) and its topographic variations by Atomic force microscopy (AFM, Park Systems XE-100).
-
Battery has major drawbacks including its size and life expectancy, and environmental problem. As an alternative, energy harvesting is emerging as a potential solution to replace battery along with more energy-efficient IT devices. The idea of harnessing energy from our living environment is sustainable, semi-permanent, and eco-friendly. Also, unlike battery, energy harvester does not require much space to store energy. Therefore, energy harvesting can provide a better source of power for small, portable, and wireless devices. Among various ways of harvesting energy from our surroundings, triboelectricity is chosen due to its potential to be miniaturized, and efficient. Triboelectric effect occurs as two different materials with different polarity of charge separation come into contact through friction, and then become separated so that electric potential difference is achieved. In this research, such characteristic of triboelectricity is used as a way to convert ambient mechanical energy into electric energy.Series of recent researches have shown promising results that the triboelectric energy harvester can be simple and cost effective. However, sufficient electricity level required to operate mobile devices has not yet been achieved.In this research, our group focuses on the design and optimization of triboelectric energy harvesting device to enhance its output. By using maskless lithography to pattern Kapton film and silicon substrate, which is used as a mold for PDMS thin layer, and sputtering metal electrodes on each side, we fabricate and demonstrate different designs of triboelectric energy harvester that utilizes the contact electrification between a polymer thin film and a metal thin foil. In order to achieve optimized result, the output voltage and current are measured under diverse conditions, which include different surface structure and pattern, material, and the gap between layers.
-
We generated single-crystal organic nanowire arrays using a direct printing method (liquidbridge- mediated nanotransfer molding) that enables the simultaneous synthesis, alignment and patterning of nanowires from molecular ink solutions. Using this method, single-crystal organic nanowires can easily be synthesized by self-assembly and crystallization of organic molecules within the nanoscale channels of molds, and these nanowires can then be directly transferred to specific positions on substrates to generate nanowire arrays by a direct printing process. The position of the nanowires on complex structures is easy to adjust, because the mold is movable on the substrates before the polar liquid layer, which acts as an adhesive lubricant, is dried. Repeated application of the direct printing process can be used to produce organic nanowire-integrated electronics with twoor three-dimensional complex structures on large-area flexible substrates. This efficient manufacturing method is used to fabricate all-organic nanowire field-effect transistors that are integrated into device arrays and inverters on flexible plastic substrates.
-
Im, Byeong-Jik;Lee, Gyeong-Il;Lee, Han-Seong;O, Se-Uk;Lee, Cheol-Seung;Kim, Seong-Hyeon;Ju, Byeong-Gwon;Jo, Jin-U 633
직접쓰기 기술은 재료의 낭비가 적고, 생산가격의 절감, 빠른 공정속도 및 유독물질 발생 없이 친환경적인 공정이 가능하여 디스플레이 및 인쇄전자 산업 등 다양한 분야에서 적용이 가능한 기술로 평가 받고 있다. 특히 EHD (Electro-Hydro-Dynamics) 기술을 이용한 잉크젯 방식의 경우 기존의 직접쓰기 기술에서는 어려운 고해상도의 패터닝이 가능하고 다양한 특성의 잉크에 적용 가능하다는 장점을 지니고 있어 크게 각광받고 있다. 본 연구는 내경$60{\mu}m$ , 외경 100${\mu}m$ 인 지르코니아 재질의 세라믹 노즐을 사용하여 EHD 잉크젯에서의 인가전압과 기판속도 변화에 의한 토출 현상을 연구하였다. BM 잉크를 이용하여 전압을 1.7~2.25 kV 증가하여 토출 시 구현된 라인의 선폭은 22~38${\um}m$ 까지 커졌고, AMO 잉크를 이용하여 기판속도를 25~500 mm/s 증가시켜 토출 시 구현된 라인의 선폭은$91{\sim}21{\mu}m$ 로 줄어들며 라인의 두께는 400~110 nm얇아지는 것을 확인하였다. 이처럼 노즐에 인가되는 전압과 기판 속도에 따라 토출의 양상이 달라지므로 이를 적절히 조합하면 안정적으로 원하는 토출을 구현할 수 있다. -
Transfer molding methods have a problem that weak adhesion between nanostructures and substrates. It is important to make various nano scale applications, also the stability of nanostructure on substrate is related with device performance. We studied an effect of poly 4-vinylphenol (PVP) as the polymeric adhesion layer between organic nanowires and a Si substrate when the nanowires are transferred by liquid-bridge-mediated nanotransfer molding method (LB-nTM). Their structural stability was examined by optical microscopy, scanning electron microscopy as multiple transfer molding and washing process. Field-effect transistors were fabricated with organic semiconductor nanowires on a polymeric adhesion layer and their electrical properties showed no significant difference as the one without the adhesion layer. As a result, adhesion layer can be used in the washing process and making multi-layer nano-scale patterns.
-
Lee, Hyun Uk;Yun, Hyung Joong;Son, Byoungchul;Seo, Jung Hye;Kim, Hyeran;Choi, Saehae;Jeon, Cheolho;Kim, Hae Jin;Lee, Jouhahn 635
We report three-dimensional polycrystalline anatase TiO2 structures (3D a-TiO2) for environmental and bio-medical applications. The 3D a-TiO2 was synthesized without thermal treatment by the growth of rod-like polycrystals on Degussa P25 (P25) via low temperature (<$85^{\circ}C$ ) modified alkali hydrothermal processing. X-ray diffraction and high-resolution transmission electron microscopic results showed that the rod-like polycrystals of 3D a-TiO2 possessed the highly anatase nanostructures. The photocatalytic activity of 3D a-TiO2 was found to be 2.2 times higher than that of P25. The recyclability of the 3D a-TiO2 was found to be high: the decolorization rate was 94.8% of the initial value after fifteen cycles. In addition, 3D a-TiO2 exhibited excellent antibacterial activities for the sterilization of gram-negative Escherichia coli (E. coli) and gram-positive Staphylococcus aureus (S. aureus). Even at the 10th recycled use, more than 98.4% of E. coli and S. aureus can be killed. These results indicated that 3D a-TiO2 might have utility in several promising applications such as photocatalytic water/air purification and bactericidal agents. -
Since first discovery of strong Raman spectrum of molecules adsorbed on rough noble metal, surface enhanced Raman scattering (SERS) has been widely used for detection of molecules with low concentration. Surface plasmons at noble metal can enhance Raman spectrum and using Au nanostructures as substrates of SERS has advantages due to it has chemical stability and biocompatibility. However, the photoluminescence (PL) background from Au remains a problem because of obtaining molecular vibration information. Recently, graphene, two-dimensional atomic layer of carbon atoms, is also well known as PL quenchers for electronic and vibrational excitation. In this study, we observed SERS of single layer graphene on or under monolayer of Au nanoparticles (NPs). Single layer graphene is grown by chemical vapor deposition and transferred onto or under the monolayer of Au NPs by using PMMA transfer method. Monolayer of Au NPs prepared using Langmuir-Blodgett method on or under graphene surface provides closed and well-packed monolayer of Au NPs. Scanning electron microscopy (SEM) and Raman spectroscopy (WItec, 532 nm) were performed in order to confirm effects of Au NPs on enhanced Raman spectrum. Highly enhanced Raman signal of graphene by Au NPs were observed due to many hot-spots at gap of closed well-packed Au NPs. The results showed that single layer graphene provides larger SERS effects compared to multilayer graphene and the enhancement of the G band was larger than that of 2D band. Moreover, we confirm the appearance of D band in this study that is not clear in normal Raman spectrum. In our study, D band appearance is ascribed to the SERS effect resulted from defects induced graphene on Au NPs. Monolayer film of Au NPs under the graphene provided more highly enhanced graphene Raman signal compared to that on the graphene. The Au NPs-graphene SERS substrate can be possibly applied to biochemical sensing applications requiring highly sensitive and selective assays.
-
We develop single-crystal poly(3,4-ethylenedioxythiopene nanowires using liquid-bridge-mediated nanotransfer printing via vapor phase polymerization. This direct printing method can simultaneously enable the synthesis, alignment and patterning of the nanowires from molecular ink solutions. Twoor three-dimensional complex structures of various single-crystal organic nanowires were directly fabricated over a large area using many types of molecular inks. This method is capable of generating several optoelectronic devices. LB-nTM is based on the direct transfer of various materials from a mold to a substrate via a liquid bridge between them. To demonstrate its usefulness, we used LB-nTM to fabricate nanowire field-effect transistors and arrays of 6,13-bis (triisopropyl- silylethynyl) pentacene (TIPS-PEN) nanowire field-effect transistors.
-
ZnO는 넓은 밴드갭(3.37 eV)를 가지기 때문에 UV detector로 유용하게 쓰일 수 있다. 본 연구에서는 Graphene 위에 ZnO nanorod를 hydrothermal 방법을 사용하여 성장한 후 Graphene 위에 전극을 형성한 후 UV 센서를 제작하였다. Si의 기판위에 SiO2의 막을 증착을 하고 그 위에 Graphene을 전도시킨다. Graphene위에 ZnO nanorod의 성장을 위해서 ZnO seed layer를 sputtering 방법으로 얇게 증착을 시킨다. ZnO nanorod의 성장은 hydrothermal의 방법으로 Zinc nitrate hexahydrate와 암모니아를 수용액에 넣은 후
$80^{\circ}C$ 에서 성장하였다. Graphene 위에 ZnO가 없는 부분에 전극을 형성하여 UV의 세기에 따른 IV 전기적 특성의 변화를 관측한다. -
In this research we report the significant contribution of the as-spun multi-walled carbon nanotube (MWCNT) on the x-ray images formation using a low tube voltage x-ray source. The MWCNT, which was used for the fabrication of the spun CNT, was grown using a microwave plasma-enhanced chemical vapor deposition machine. Electrical-optics simulation software was utilized to determine the electron field emission trajectory of the triode-structure-as-spun CNT-based x-ray source. It was shown that a significant amount of converging electrons hit the target anode producing a clear x-ray image. These x-ray images where produced at a small amount of anode current of 0.67 mA at a tube voltage of 5 kV with the gate voltage of 0 V. Also, comparisons of the radiographs at various exposure times of the sample where analyzed with and without an x-ray dose filter. Results showed that spatially-resolved images were formed using the as-spun CNT at a low tube voltage with a
$54-{\mu}m$ Al x-ray filter. This study can be used for low-voltage medical applications. -
본 연구에서는 유리 기판과 Si 기판에 Ag-doped ZnO 나노로드를 수열합성법을 이용하여 성장하였다. ZnO는 UV 영역에서 exciton 발광을 하며, 가시광선에서도 발광을 하는 것으로 알려져 있다. 그리고 Ag 금속은 입자형태로 ZnO 박막에 도포되었을 때 UV영역의 발광 세기를 강화시킨다는 사실이 알려져 있다. 이러한 내용을 바탕으로 ZnO 나노로드 합성 용액에 Ag powder의 양을 변화시켜 첨가하고, 유리와 Si기판을 넣고 80도에서 30분간 성장하였다. XRD, XPS를 통해 구조적 특성 변화를 보았고 SEM을 통해 나노로드의 형태를 확인하였다. 또한 PL, 투과도 측정을 통해 Ag 도핑에 따른 광학적 특성 변화를 확인하였다. SEM 측정으로 샘플의 단면을 확인한 결과 Ag 도핑 농도에 따른 차이가 거의 없음을 알았다. ZnO 나노로드가 성장된 유리 기판은 본래의 유리기판보다 투과도가 높았으며, Ag를 많이 첨가할수록 투과도가 낮아졌다.
-
We report the transparentsilver nanowire electrode fabricated by a direct printing process, liquid-bridge-mediated nanotransfer molding. We fabricated silver nanowire arrays by liquidbridge- mediated nanotransfer molding using the silver nanoparticle ink and PEDOT:PSS polymer. Weinvestigated the formation of silver nanowire arrays by SEM and transmittance of the transparent silver nanowire electrode. We also measured the conductivity to confirm the potential of our approach.
-
Graphene, two dimensional single layer of carbon atoms, has tremendous attention due to its superior property such as high electron mobility, high thermal conductivity and optical transparency. Especially, chemical vapor deposition (CVD) grown graphene has been used as a promising material for high quality and large-scale graphene film. Unfortunately, although CVD-grown graphene has strong advantages, application of the CVD-grown graphene is limited due to ineffective transfer process that delivers the graphene onto a desired substrate by using polymer support layer such as PMMA(polymethyl methacrylate). The transferred CVD-grown graphene has serious drawback due to remaining polymeric residues generated during transfer process, which induces the poor physical and electrical characteristics by a p-doping effect and impurity scattering. To solve such issue incurred during polymer transfer process of CVD-grown graphene, various approaches including thermal annealing, chemical cleaning, mechanical cleaning have been tried but were not successful in getting rid of polymeric residues. On the other hand, lithographical patterning of graphene is an essential step in any form of microelectronic processing and most of conventional lithographic techniques employ photoresist for the definition of graphene patterns on substrates. But, application of photoresist is undesirable because of the presence of residual polymers that contaminate the graphene surface consistent with the effects generated during transfer process. Therefore, in order to fully utilize the excellent properties of CVD-grown graphene, new approach of transfer and patterning techniques which can avoid polymeric residue problem needs to be developed. In this work, we carried out transfer and patterning process simultaneously with no polymeric residue by using a metal etch mask. The patterned thin gold layer was deposited on CVD-grown graphene instead of photoresists in order to make much cleaner and smoother surface and then transferred onto a desired substrate with PMMA, which does not directly contact with graphene surface. We compare the surface properties and patterning morphology of graphene by scanning electron microscopy (SEM), atomic force microscopy(AFM) and Raman spectroscopy. Comparison with the effect of residual polymer and metal on performance of graphene FET will be discussed.
-
Recently, synthesis of low-dimensional nanostructures is gaining more importance due to their structural properties and growing potential applications. On the other hand, luminescent materials doped with rare earth ions have drawn immense attention. The commercial phosphors are based on many host materials. Among them, tungstates are being currently investigated by many research groups owing to a wide range of applications. Tungstates are formed by different metal cations (e.g., SrWO4, Na2WO4, NiWO4, Cr2WO6, and ZrW2O8) and their structure depends on the size of the metal cation. Tungstates with large bivalent cations (
${\gg}0.1\;nm$ ) have the scheelite structure and the wolframite structure with smaller ions (<0.1 nm). Strontium tungstate has the scheelite structure which is tetragonal with space group I41/a. The luminescent properties of the tungstate have been extensively explored in application fields such as sensors, detectors, lasers, photoluminiscent devices, photo catalysts, etc. In this work, we synthesized SrWO4 phosphors with different Eu3+ concentrations by using a facile route. The morphology was analyzed by using a field-emission scanning electron microscope, which exhibits the spherical shape. Transmission electron microscope image revealed the spheres composed of nanoparticles. X-ray diffraction patterns confirmed their tetragonal shape. The photoluminescence excitation and emission spectra were analyzed by varying the Eu3+ concentration, which shows a dominant red emission. -
Graphene is a two-dimensional sp2 layer material. Despite the short history in the empirical synthesis of the graphene layers, the academic/industrial unique features have brought highly significant interest in research and development related to graphene-related materials. In particular, the electrical and optical performances have been targeted towards pre-existing microelectronicand emerging nanoelectronic applications. The graphene synthesis relies on a variety of processing factors, such as temperature, pressure, and gas ratios involving H2, CH4, and Ar, in addition to the inherent selection of copper substrates. The current work places its emphasis on the role of experimental factors in growing graphene thin films. The thermally-grown graphene layers are characterized using physical/chemical analyses, i.e., four point resistance measurements, Raman spectroscopy, and UV-Visible spectrophotometry. Ultimately, an optimization strategy is proposed in growing high-quality graphene layers well-controlled through empirical factors.
-
Applying a first-principles computational approach combining density-functional theory and matrix Green's function calculations, we have studied the effects [2+2] cycloaddition olligormerization of fullerene
$C_{60}$ chains on their junction charge transport properties. Analyzing first the microscopic mechanism of the switching realized in recent scanning tunneling microscope (STM) experiments, we found that, in agreement with experimental conclusions, the device characteristics are not significantly affected by the changes in electronic structure of$C_{60}$ chains. It is further predicted that the switching characteristics will sensitively depend on the STM tip metal species and the associated energy level bending direction in the$C_{60}-STM$ tip vacuum gap. Considering infinite$C_{60}$ chains, however, we confirm that unbound$C_{60}$ chains with strong orbital hybridizations and band formation should in principle induce a much higher conductance state. We demonstrate that a nanoelectromechanical approach in which the$C_{60}-STM$ tip distance is maintained at short distances can achieve a metal-independent and drastically improved switching performance based on the intrinsically better electronic connectivity in the bound$C_{60}$ chains. -
그래핀은 이차원의 탄소 원자들이 벌집구조를 이루는 탄소 원자 한 층의 물질이다. 우수한 기계적, 전기적, 광학적 특성으로 인해 투명전극, 가스 센서, 트랜지스터 등과 같이 다양한 응용이 가능하고 연구가 행해지고 있다. 최근 몇 년 동안, 그래핀의 우수한 특성을 이용해서 마이크로센서나 신축성 있는 전자소자를 위한 전도막과 같은 응용이 시도되고 있다. 본 연구에서는 화학기상증착법 (CVD)으로 합성한 그래핀을 이용해서 암모니아 가스 센서 소자를 제작, 센서 특성을 관찰하였다. 구리 기판을 이용하여 화학기상증착법으로 그래핀을 합성하였으며 진공로에서 수소(H2)와 메탄(CH4) 가스를 사용하였다. 그래핀 합성 온도, 가스 유량 등을 변화시키며 그래핀을 합성하고, 합성된 그래핀을 구리기판을 식각용액을 이용해 제거하는 방법으로 그래핀을 전사시키는 공정거쳐 Au/Ni 전극패턴 위에 전사시킴으로 가스 센서 소자를 제작하였다. 제작된 센서 소자를 이용해 상온에서 암모니아(NH3) 가스의 유량을 변화시키며 실험하였다. 암모니아 가스가 흐를 때 그래핀에 암모니아 분자가 흡착되어 그래핀의 전기저항을 증가시켜 이를 이용해서 암모니아 가스를 감지할 수 있었다. 본 연구에서 제작한 소자는 상온에서 암모니아 가스에 민감하게 반응했으며 이는 기존의 금속산화물을 이용한 암모니아 센서는 대부분 고온에서 작동하는 점과 비교 하였을 때 가스 센서 소자로써 큰 장점이라고 할 수 있다.
-
We apply a density functional theory (DFT) and DFT-based non-equilibrium Green's function approach to study the structures, energetics and charge transport characteristics of nitrogen-doped graphene and graphene nanoribbons (GNRs) with additional doping of phosphorus or boron atoms. Considering graphitic, pyridinic, and porphrin-like N doping sites and increasing N-doping concentration, we analyze the structures of N-P and N-B doped graphene and particularly focus on how they affect the charge transport along the lateral direction. For the GNRs, we also consider the differences between defects formed at the edge and bulk regions. Implications of our findings in the context of electronic and energy device applications will be also discussed.
-
물리적, 열적, 광학적, 그리고 전기적으로 우수한 특성을 가져 학계의 관심을 받는, 2차원 탄소 물질인 그래핀을 준비하는 방법에는 여러가지가 있다. 초창기 그래핀 연구방법에 사용됐던 흑연 플레이크와 스카치테이프를 이용한 기계적 박리법, 흑연의산화와 환원을이용한 화학적 합성법, 구리나 니켈과 같은 전이금속을 촉매로 이용한 화학기상증착을 이용한 합성법 등이 있고 각각은 그 방법에 따른 장단점이 있다. 본 포스터에서는 이 중 물리적 박리법과 화학기 상증착 성장법을 이용해 얻은 그래핀의 물리적, 전기적 특성을 비교분석하였다.
-
그래핀은 우수한 전기적, 광학적, 기계적인 특성들로 인해 주목을 받아왔지만 그래핀의 상용화에는 많은 문제점들이 있었다. 그 중 비교적 고품질의 대면적 그래핀 필름을 얻기 위한 방법으로 화학증기 증착법이 개발됨에 따라 그래핀 합성은 더 이상 어려운 문제는 아니다. 다만, 소자 제작에 있어서 좋은 전하이동도와 저항을 유지하기 위해 소자 제작과정 중의 도핑의 감소가 중요하다. 본 연구에서는 화학증기 증착법을 통해 만들어진 그래핀 소자 제작과정 중 그래핀 전사과정에서의 도핑을 줄이기 위한 방법으로 전기화학적 박리법(버블링 전사)을 이용하였고 이 때 사용되는 전해질의 종류에 따라 도핑의 영향을 분석하였다.
-
Although imprinted nanopatterns of organic polymer can be modified by the heat treatment [1], it generally requires high process temperatures and is material-dependent since the heat-induced mass loss of the organic polymer is greatly affected by its chemical characteristics. When oxygen is added during the annealing process, one can reduce the process temperature as well as the dependence of the materials. With the oxygen, line width reduction of a polymer (SU-8) patterns could be accomplished at temperature of as low as
$250^{\circ}C$ which was not possible in the heat only process. This oxidative line width reduction can be dramatically promoted with the introduction of oxygen plasma. The oxygen plasma, with its highly-reactive oxygen species, vigorously etches away the organic materials, proven to be extremely effective line with reduction method. It is, however, very hard to control the extent and homogeneity of the etching, particularly of very fine patterns. Here, we report an effective and reliable line width reduction method of imprinted nanopatterns by combined plasma and heat treatment. The merits of this process include the reduction of process temperature, time and material-dependence. -
Templated strategy is a very powerful tool for creating multi-dimensional self assembly of nanomaterials. Since viral protein cages have a uniform size with a well-defined structure, they can serve as an excellent template for the formation of a three-dimensional self-assembly of synthetic nanoparticles. In this study, we have examined the feasibility of the 3D self-assembly of gold nanoparticles of various sizes using a brome mosaic virus (BMV) capsid with cysteine groups expressed on its surface as a scaffold for the assembly. It was found that the three-dimensional clusters of gold nanoparticles with a designed structure were attainable by this approach, which was verified by transmission electron microscope (TEM) and dynamic light scattering (DLS) analysis.
-
Kim, Sang-Min;Mag-isa, Alexander E.;Oh, Chung-Seog;Kim, Kwang-Seop;Kim, Jae-Hyun;Lee, Hak-Joo;Yoon, Jonghyuk;Lee, Eun-Kyu;Lee, Seung-Mo 652
The conventional thermal chemical vapor deposition (CVD) setup for the graphene synthesis has mainly used convective heat transfer in order to heat a catalyst (e.g. Cu) up to$1,000^{\circ}C$ . Although the conventional CVD has been so far widely accepted as the most appropriate candidate enabling mass-production of high-quality graphene, this method has stillremained under the standard for the commercialization largely due to the poor productivity arisen out of the required long processing time. Here, we introduced a fast and efficient synthetic route toward CVD-graphene. Unlike the conventional CVD using convection heat transfer, we adopted a CVD setup utilizing conduction heat transfer between Cu catalyst and rapid heating source. The high thermal conductive nature of Cu and the employed rapid heating source led to the remarkable reduction in processing timeas compared to the conventional convection based CVD (Fig. 1A), moreover, the synthesized graphene was turned out to have comparable quality to that synthesized by the conventional CVD (Fig. 1B). For the optimization of the conduction based CVD process, the parametric studies were thoroughly performed using through Raman spectroscopy and electrical sheet resistance measurement. Our approach is thought to be worth considerable in order to enhance productivity of the CVD graphene in the industry. -
Truong, Thuy Kieu;Nguyen, T.N.T.;Trung, Tran Quang;Son, Il Yung;Kim, Duck Jin;Jung, Jin Heak;Lee, N.E. 653
In this study, ITO extended gate reduced graphene oxide field effect transistor (rGO FET) was demonstrated as a transducer for a proton sensing application. In this structure, the sensing area is isolated from the active area of the device. Therefore, it is easy to deposit or modify the sensing area without affecting on the device performance. In this case, the ITO extended gate was used as a gate electrode as well as a proton sensing material. The proton sensing properties based on the rGO FET transducer were analyzed. The rGO FET device showed a high stability in the air ambient with a TTC encapsulation layer for months. The device showed an ambipolar characteristic with the Dirac point shift with varying the pH solutions. The sensing characteristics have offered the potential for the ion sensing application. -
One of the most important yet unresolved problems in molecular electronics is the controversy over the number and nature of multiple conductance peaks in single-molecule junctions. Currently, there are three competing explanations of this observation: (1) manifestation of different molecule-electrode contact geometries, (2) formation of gauche defects within the molecular core, (3) involvement of different electrode surface orientations [1]. However, the exact origin of multiple conductance peaks is not yet fully understood, which indicates our incomplete understanding of the scientifically as well as techno-logically important organic-metal contacts. To theoretically resolve this problem, we previously applied a multiscale computational approach that combines force fields molecular dynamics (FF MD), density functional theory (DFT), and matrix Green's function (MGF) calculations [2] to a thermally fluctuating haxanedithiol (C6DT) molecule stretched between flat Au(111) electrodes, but could observe only a single conductance peak [3]. In this presentation, using DFT geometry optimizations and MGF calculations, we consider molecular junctions with more realistic molecule-metal contact conformations and Au(111) electrode surface directions. We also conduct DFT-based molecular dynamics for the highly stretched junction models to confirm our conclusion. We conclude that the S-Au coordination number should be the more dominant factor than the electrode surface orientation.
-
그래핀은 높은 전자 이동도, 열전도도, 기계적 강도, 유연성 등의 고유한 특성으로 다양한 분야에 응용하기 위한 연구가 수행되고 있으며, 특히 전자 소자에의 적용에 관한 연구가 활발히 이루어지고 있다. 전자 소자에 적용하기 위해서는 성장 및 물성에 관한 규명, 응용 소자에 따른 특성 평가가 필요하다. 이러한 소자 특성은 그래핀 물성에 의한 영향이 기본적이지만 에칭, 전사 등의 공정 중 발생하는 오염, 표면 특성, 잔여물 등에 의한 물성 변화 또한 분석 및 제어에 관한 연구가 필요하다. 열화학증착법(thermal chemical vapor deposition)을 이용한 그래핀 합성은 구리 기판을 사용하며, 합성된 그래핀의 에칭, 박리 및 전사 공정이 있다. 이러한 공정 중 발생하는 오염 입자가 그래핀 표면에 흡착되거나, 제거되지 않은 PMMA 잔여물이 그래핀의 특성에 영향을 미치게 된다. 따라서 본 연구에서는
$CO_2$ 클러스터의 표면 충돌을 이용하여 이러한 오염 물질 및 잔여물을 제거하고 그래핀 표면을 평탄화하는 것에 관한 연구를 수행하였다. 가스 클러스터란 작동기체의 분자가 수십에서 수백 개 뭉쳐 있는 형태를 뜻하며 이렇게 형성된 클러스터는 수 nm 크기를 형성하게 된다. 그리고 짧은 시간의 응축에 의해 수십 nm 크기 까지 성장 하게 된다. 클러스터를 이용한 표면 처리는 충돌에 의한 제거에 기반 한다. 따라서 생성 및 가속되는 클러스터로부터 대상으로 전달되는 운동량의 정도가 세정 특성에 영향을 미치며 이는 생성되는 클러스터의 크기에 종속적이다. 생성 클러스터의 크기 분포는 분사거리, 유량, 분사 각도, 노즐 냉각 온도 등의 변수에 관한 함수이다. 본 연구에서는 이러한 변수들을 제어하여 클러스터를 이용한 그래핀 표면 처리 실험을 수행하였다. 평가는 클러스터 표면 처리 전과 후의 특성 비교에 기반 하였으며, 광학 현미경을 이용한 표면 형상 측정, 라만분광 분석, AFM을 이용한 표면 조도 측정, 그래핀 면저항 측정 결과를 비교하였다. 평가 결과를 통하여 표면 처리를 하지 않은 그래핀에 비하여 면저항과 표면 조도가 낮아지는 것을 확인 할 수 있었다. 또한 클러스터 세정은 300 mm 웨이퍼 크기 이상의 대면적을 짧은 시간에 건식으로 세정할 수 있다는 장점이 있어 향후 최적화를 통해 그래핀 양산 시 특성 향상을 위한 후처리 방법으로 사용될 수 있음을 확인하였다. -
Multi-layer ceramic capacitor (MLCC)는 '전자산업의 쌀'이라고 일컬어 질만큼, 전자부품내에서 매우 중요하고 핵심적인 역할을 하며, 그 응용분야 또한 매우 광범위하다. 이러한 MLCC는 그 사용처에 따라, 초고용량 MLCC, 고전압용 MLCC, 저가제품용 MLCC, 그리고 고용량용 MLCC 등등으로 나뉘어진다. 이 중 최근 각광 받고 있는 스마트폰, 태플릿PC 등의 전자제품군에 사용되는 초고용량 MLCC의 경우, 높은 유전상수 값을 지닌 BaTiO3 기반의 물질이 일반적으로 사용되어지고 있으며, 또한 더 높은 용량(capacitance)을 얻기 위하여 해마다 유전체층의 두께가 점점 줄어들고 있고, 이러한 얇은 유전체층을 만들어 내기위해 유전체층의 결정립 크기도 수 마이크로미터에서 수백, 수십 나노미터 사이즈로 점점 작아지고 있는 상황이다. 하지만 점점 줄어들고 있는 유전체층의 두께와 결정립 크기의 추세와는 상관없이, 전기회로에서 요구되는 인가전압은 줄어들지 않고 일정하게 유지되고 있기 때문에, 유전체층의 내전압 특성은 전자제품의 높은 신뢰성을 위해서 날이 갈수록 중요시 되고 있다. 특히, 수백 나노미터 이하의 결정립 크기를 갖는 BaTiO3 유전체층의 내전압 특성은, 다양한 내전압 특성 메커니즘이 연구되어진 수 마이크로미터 대역의 결정립 크기를 갖는 BaTiO3 유전체층과는 다르게, MLCC 제조공정상의 한계와 BaTiO3의 결정립 성장이라는 어려움 때문에, 그 연구가 전무하다 할 수 있다. 따라서 본 연구에서는 수십 나노미터 결정립 크기를 갖는 BaTiO3 막을 만들어낼 수 있는 에어로졸 데포지션 공정을 이용하여 수십 나노미터 결정립 크기를 갖는 BaTiO3 막을 제조 하였으며, 이 막을 다양한 온도 조건에서 후속 열처리를 통한 결정립 성장을 통해 수십에서 수백 나노미터의 다양한 결정립 크기를 갖는 BaTiO3 막의 내전압 특성을 분석하였다.
-
In-situ observations of nano-scale behavior of nanomaterials are very important to understand onthe nano-scale phenomena associated with phase change, atomic movement, electrical or optical properties, and even reactions which take place in gas or liquid phases. We have developed on the in-situ experimental technologies of nano-materials (nano-cluster, nanowire, carbon nanotube, and graphene, et al.) and their interactions (percolation of metal nanoclusters, inter-diffusion, metal contacts and phase changes in nanowire devices, formation of solid nano-pores, melting behavior of isolated nano-metal in a nano-cup, et al.) by nano-discovery membrane platform [1-4]. Between two microelectrodes on a silicon nitride membrane platform, electrical percolations of metal nano-clusters are observed with nano-structures of deposited clusters. Their in-situ monitoring can make percolation devices of different conductance, nanoclusters based memory devices, and surface plasmonic enhancement devices, et al. As basic evidence on the phase change memory, phase change behaviors of nanowire devices are observed at a nano-scale.
-
;Lee, Jae-Hyeon;Choe, Sun-Hyeong;Im, Se-Yun;Lee, Jong-Un;Bae, Yun-Gyeong;Hwang, Jong-Seung;Hwang, Seong-U;Hwang, Dong-Mok 658
Graphene is an attractive material for various device applications due to great electrical properties and chemical properties. However, lack of band gap is significant hurdle of graphene for future electrical device applications. In the past few years, several methods have been attempted to open and tune a band gap of graphene. For example, researchers try to fabricate graphene nanoribbon (GNR) using various templates or unzip the carbon nanotubes itself. However, these methods generate small driving currents or transconductances because of the large amount of scattering source at edge of GNRs. At 2009, Bai et al. introduced graphene nanomesh (GNM) structures which can open the band gap of large area graphene at room temperature with high current. However, this method is complex and only small area is possible. For practical applications, it needs more simple and large scale process. Herein, we introduce a photosensitive graphene device fabrication using CdSe QD coated nano-porous graphene (NPG). In our experiment, NPG was fabricated by thin film anodic aluminum oxide (AAO) film as an etching mask. First of all, we transfer the AAO on the graphene. And then, we etch the graphene using O2 reactive ion etching (RIE). Finally, we fabricate graphene device thorough photolithography process. We can control the length of NPG neckwidth from AAO pore widening time and RIE etching time. And we can increase size of NPG as large as 2$cm^2$ . Thin CdSe QD layer was deposited by spin coatingprocess. We carried out NPG structure by using field emission scanning electron microscopy (FE-SEM). And device measurements were done by Keithley 4200 SCS with 532 nm laser beam (5 mW) irradiation. -
Choe, Sun-Hyeong;Lee, Jae-Hyeon;;Kim, Byeong-Seong;Choe, Yun-Jeong;Hwang, Jong-Seung;Hwang, Seong-U;Hwang, Dong-Mok 659
Recently, graphene has been intensively studied due to the fascinating physical, chemical and electrical properties. It shows high carrier mobility, high current density, and high thermal conductivity compare with conventional semiconductor materials even it has single atomic thickness. Especially, since graphene has fantastic electrical properties many researchers are believed that graphene will be replacing Si based technology. In order to realize it, we need to prepare the large and uniform graphene. Chemical vapor deposition (CVD) method is the most promising technique for synthesizing large and uniform graphene. Unfortunately, CVD method requires transfer process from metal catalyst. In transfer process, supporting polymer film (Such as poly (methyl methacrylate)) is widely used for protecting graphene. After transfer process, polymer layer is removed by organic solvents. However, it is impossible to remove it completely. These organic residues on graphene surface induce quality degradation of graphene since it disturbs movement of electrons. Thus, in order to get an intrinsic property of graphene completely remove of the organic residues is the most important. Here, we introduce modified wet graphene transfer method without PMMA. First of all, we grow the graphene from Cu foil using CVD method. And then, we deposited several metal films on graphene for transfer layer instead of PMMA. Finally, we fabricate graphene FET devices. Our approaches show low defect density and non-organic residues in comparison with PMMA coated graphene through Raman spectroscopy, SEM and AFM. In addition, clean graphene FET shows intrinsic electrical characteristic and high carrier mobility. -
나노 구조를 가지는 무기물은 입자의 형상, 크기, 분산도, 다공성, 표면적 등에 따라 광학, 전기 및 물리적인 특성에 큰 영향을 준다. 특히 희토류 금속 중 가장 풍부한 원소인 Cerium의 산화물은 착색제, 자동차배기가스 정화촉매, 화학 공업 촉매, 유리 연마재, 반도체 장치, 자외선 흡착제, 발광재료 등 다양한 분야에서 활용이 되는 중요한 소재이다. 본 연구에서는 공통 이온효과를 이용하여 시간을 조절하여 Cerium hydroxide의 성장 과정을 연구 하였고, Ammonium chloride의 농도를 조절하여 수백 나노 미터에서 수 마이크로 미터까지 막대와 같은 Cerium hydroxide를 합성하였다. 이들 입자의 형상 및 물리화학적 특성을 FE-SEM, XRD, EDS, FT-IR 분석장비를 사용하여 확인하였다.
-
열전재료는 열-전기가 상호 가역적으로 변하는 재료로서, 에너지 변환소재 분야에서 널리 각광받고 있다. 열전재료의 성능은 무차원 열전성능지수(dimensionless figure of merit,
$ZT={\alpha}^2{\sigma}T/{\kappa}$ )로 평가된다. 여기서${\alpha}$ 는 제벡계수(Seebeck coefficient),${\sigma}$ 는 전기전도도(electrical conductivity),${\kappa}$ 는 열전도도(thermal conductivity), T는 Kelvin 온도를 나타낸다. 500 K에서 800 K까지의 중온 영역에서 우수한 열전특성을 보이는$Mg_2X$ (X=Si, Ge, Sn)와 이들의 고용체는 성분원소가 독성이 없고, 매장량이 많아 친환경 열전재료로 각광받고 있다.$Mg_2X$ 고용체 중$Mg_2Si-Mg_2Sn$ 고용체는 Si와 Sn의 큰 원자량 차이로 인해 낮은 열전도도와 높은 성능지수(ZT)를 얻을 것이라 예상되며 열전발전 소자로서의 응용이 기대된다. Sb가 도핑된$Mg_{2+x}Si_{0.7}Sn_{0.3}Sb_y$ (x=0, 0.1, 0.2, y=0, 0.01) 고용체를 고상합성과 기계적 합금화로 합성한 후, 진공 열간압축 성형을 통해 성공적으로 제조하였다. X선 회절분석으로 상합성과 고용체 형성 여부를 확인하였고, Mg의 과잉첨가와 Sb 도핑에 따른 열전특성의 변화를 조사하였다. -
Over the last several decades, innovative light-harvesting devices have evolved to achieve high efficiency in solar energy transfer. Research on the mechanisms for plasmon resonance is very desirable to overcome the conventional efficiency limits of photovoltaics. The influence of localized surface plasmon resonance on hot electron flow at a metal-semiconductor interface was observed with a Schottky diode composed of a thin silver layer on
$TiO_2$ . The photocurrent is generated by absorption of photons when electrons have enough energy to travel over the Schottky barrier and into the titanium oxide conduction band. The correlation between the hot electrons and the surface plasmon is confirmed by matching the range of peaks between the incident photons to current conversion efficiency (IPCE, flux of collected electrons per flux of incident photons) and UV-Vis spectra. The photocurrent measured on Ag/$TiO_2$ exhibited surface plasmon peaks; whereas, in contrast to the Au/$TiO_2$ , a continuous Au thin film doesn't exhibit surface plasmon peaks. We modified the thickness and morphology of a continuous Ag layer by electron beam evaporation deposition and heating under gas conditions and found that the morphological change and thickness of the Ag film are key factors in controlling the peak position of light absorption. -
We prepared Cu oxides, and Ni and Pd-TiO2@SiO2 core-shellnanostructures, and tested their CO oxidation performances by temperature-programmed mass spectrometry. We found the starting temperatures of CO oxidation are around
$200^{\circ}C$ and$300^{\circ}C$ for Ni and Pd-TiO2@SiO2 nanostructures, respectively. Cu oxides are cubes with 50~200 nm with, prepared with different concentrations of NaOH and ascorbic acid. For the core-shell structures, we prepared 100 nm SiO2 spheres, first coated the surface with TiO2 precursor, and then coated with Ni and Pd. Their characteristics are further examined by scanning electron microscopy, optical microscope, FT-IR, and UV-Vis absorption spectroscopy. -
Red europium(III) and green terbium(III) activating phosphors have been doped and co-doped in gadolinium oxide supports by a hydrothermal method. Scanning electron microscope images reveal that they are one-dimensional nanorods of 40~50 wide and 250~300 nm long. The gadolinium oxide supports show Gd(OH)3 of hexagonal phase and Gd2O3 of cubic crystal structure before and after a thermal annealing, respectively based on X-ray diffraction analysis. Their physicochemical characteristics have further been examined by photoluminescence spectroscopy, FT-IR, UV-visible absorption, and optical microscope. The emission colors are characterized by CIE coordinates. In addition, the emissions from Eu(III) and Tb(III) are assigned to
$5D0{\rightarrow}7FJ$ (J=0,1,2,3,4) and$5D4{\rightarrow}FJ$ (J=6,5,4,3), respectively. -
박막형 태양전지에서 광흡수층으로 널리 쓰이는 metal chalcogenide 화합물 중, CuInS2(CIS)은 전기적, 광학적 특성이 우수하여 널리 연구되고 있다. CIS계 태양전지 최근 동시 증발법을 이용하여 20.3%의 고효율을 기록한 바 있으나 기존 진공, 고온 기반 공정 기술은 초기 투자 비용이 높고, 고가의 희귀원소인 In 등의 원료 활용도가 떨어져 원가 절감에 있어 한계가 있다. 이에 따라 제조 비용 절감과 원료 사용 효율을 향상시키기 위해 비진공 방식을 이용한 광흡수 층 증착 공정에 관한 연구가 활발히 진행되고 있다. 본 연구에서는 상온, 상압, 저온에서 합성이 가능한 CIS계 광흡수층을 전자 전달 및 빛 포집에 유리한 ZnO 나노구조와 응용함으로써 superstrate 구조의 박막형 태양전지를 구현하고 그 특성을 평가하였다. CIS 박막 태양전지에서 투명창층으로 쓰이는 ZnO 박막을 수열합성법으로 합성된 ZnO 나노로드 어레이로 대체하여 빛 산란 효과를 줄이고, 전하 수집 및 이동 효과를 극대화하였다. 또한 CIS 광흡수층은amine계 용매와 금속염 및 thiourea를 조합하여 저온에서 코팅 후 건조시켜 박막을 제조하였다. 각 요소 박막들의 물성을SEM, XRD, UV-transmittance 분석을 통해 살펴보았으며, 소면적 태양전지 제작을 통해 박막 구조 대비 30배 이상의 광변환효율(최고효율 3.30%)을 기록하였다.
-
CIGS solar cell에서 p-type semiconductor역할을 수행하는 Cu(In,Ga)Se로 이루어진 Absorber layer는 4 element multi binary compound로 stoichiometry 측면에서 다양한 형태가 나타나기 때문에 태양전지 효율을 향상시키기 위해 이에 대한 연구가 활발하다. 우리는 E-beam evaporation 방법으로 다양한 조건의 multi layer로 증착된 CIG layer 위에 일정 두께의 Se을 증착하면서 열처리 조건에 따른 Selenization 메커니즘에 대한 연구를 수행하였다. 결과분석을 위해(in-situ High Temperature) XRD, XPS, Micro Raman spectroscopy, FE-SEM, (Nano Indentor, Atomic Force Microscopy) 등을 이용하여 결정구조, 결정화도, Depth profile, Eg (band gap energy) 등을 알아보고 분석결과간의 상관관계를 고찰하였다.
-
Optimizing morphology of the front surface with three dimensional structures (3D) in solar cell is essential element for not only effectivelight harvesting but also carrier collection and separation without the cost burden in process. We designed a three-dimensionally ordered front surface with wet chemical etching. Wet chemical etching is a proper way to have three dimensional structures. The method efficiently transmits the incident light at the front surface to a Si absorber and has competitive price in manufacturing when comparing with reactive ion etching (RIE) to have three dimensional structures. This indicates that optimized front surface with three dimensional structures by wet chemical etching will bring effective light management in solar cells.
-
CIGS 박막태양전지는 다른 박막태양전지에 비해 높은 에너지 변환효율을 보이고 있으며, 광범위한 기술 응용분야를 가지고 있다. CIGS를 광흡수층으로 하는 태양전지의 구조는 5개의 단위박막(배면전극, 광흡수층, 버퍼층, 앞면 투명전극, 반사방지막)을 순차적으로 형성시켜 만든다. 단위박막별로 다양한 종류의 재료와 조성, 또한 제조방법에서는 갖가지 물리적, 화학적 박막 제조방법이 사용된다. 현재 광흡수층인 CIGS층의 경우 동시증발법과 스퍼터링법이 높은 효율을 보이고 있다. 본 연구에서는 CIGS층을 3-stage process를 적용한 동시증발법을 사용하였고, Fluxmeter와 기판후면 온도 모니터링을 이용하여 제조하였으며, 버퍼층은 moving 스퍼터링 법으로 ZnS를 증착하였고, 투명전극층은 PLD (Pulsed Laser Deposition)를 이용하여 제조하였다. 가장 높은 광변환효율을 보인 Al/ZnO/CdS/Mo/SLG박막시료는 유효면적 0.45
$cm^2$ 에 광변환효율 15.71%, Jsc: 33.64 mA/$cm^2$ , Voc: 0.64 V, FF: 73.18%를 얻을 수 있었으며, CdS를 ZnS로 대체한 Al/ZnO/ZnS/Mo/SLG 박막시료는 유효면적 0.45$cm^2$ 에 광변환효율 12.13%, Jsc: 33.22 mA/$cm^2$ , Voc: 0.60 V, FF: 62.85%를 얻을 수 있었다. -
Hierarchical N doped TiO2 nanostructured catalyst with micro, meso and macro porosity have been synthesized by a facile self-formation route using ammonia and titanium isopropoxide precursor. The samples were calcined in different calcination temperature ranging from
$300^{\circ}C$ to$800^{\circ}C$ at slow heating rate ($5^{\circ}C$ /min) and designated as NHPT-300 to NHPT-800.$TiO_2$ nanostructured catalyst have been characterized by physico-chemical and spectroscopy methods to explore the structural, electronic and optical properties. UV-Vis diffuse reflectance spectra confirmed the red shift and band gap narrowing due to the doping of N species in TiO2 nanoporous catalyst. Hierarchical macro porosity with fibrous channel patterning was observed (confirmed from FESEM) and well preserved even after calcination at$800^{\circ}C$ , indicating the thermal stability. BET results showed that micro and mesoporosity was lost after$500^{\circ}C$ calcination. The photocatalytic activity has been evaluated for methanol oxidation to formaldehyde in visible light. The enhanced photocatalytic activity is attributed to combined synergetic effect of N doping for visible light absorption, micro and mesoporosity for increase of effective surface area and light harvestation, and hierarchical macroporous fibrous structure for multiple reflection and effective charge transfer. -
The concept of a fusion-driven transmutation reactor based on LAR (Low Aspect Ratio) tokamak as a neutron source is studied based on ITER physics and technology. The radial build of transmutation reactor components are self-consistently determined by coupling the systems analysis with radiation transport analysis and an optimal configuration of a transmutation reactor for aspect ratio, A in the range of 1.5 to 2.0 is found. The performance of a transmutation reactor is investigated and shows that a transmutation reactor with a neutron source producing fusion power less than 150 MW can destroy the transuranic actinides contained in the spent fuels produced from more than two 1 GWe PWRs with production of the fission power being greater than 2 GW.
-
실리콘 태양전지와 박막형 태양전지의 뒤를 이어, 제3세대로 분류되는 양자점 감응형 태양전지(QDSC)에 대한 연구가 활발히 진행되고 있다. 이 태양전지의 TCO로는 주로 ZnO, TiO2가 대부분 사용되고 있으며, 양자점 물질로는 CdS, CdSe, CdTe, PbS, PbSe 등의 카드뮴 및 납을 주 성분으로 하는 물질들에 대한 연구만 중점적으로 이루어지고 있는 실정이다. 이런 물질들은 현재까지 알려진 한도 내에서는 QDSC 효율 중 가장 좋은 효율을 나타내고는 있으나 이런 타입의 QDSC가 상용화된다면 환경에 노출되었을 때에 미치는 악영향이 매우 큰 중금속 물질들로 이루어져 있어, 이를 극복할 수 있는 친환경 성분의 물질에 대한 연구 또한 필요한 시점이다. 따라서 본 연구에서는 CdS를 대체할 수 있는 물질로 Ag2S를 선정, 이에 대한 연구를 진행하였다. Ag2S는 밴드갭이 1.1eV의 물질로, CdS의 2.3 eV와 비교해 상당히 작은 밴드갭을 가져 월등히 넓은 영역에서 빛을 흡수할 수 있다는 장점을 가지고 있으며, 동시에 이로 인한 전자-정공 재결합이 빨라 태양전지로 제작시에 Voc가 낮게 형성된다는 단점도 가지고 있다. 태양전지에 사용된 TCO물질은 ZnO 나노선을 사용했으며, 본 연구실에서 기존에 개발한 수열합성법을 통해 제작하였다. 이를 활용하여 최종적으로 제작한 태양전지의 효율은 CdS/ZnO QDSC가 1.2%, Ag2S/ZnO QDSC가 1.2%로 동일한 성능을 나타냈으며, CdS를 대체할 물질로 Ag2S의 가능성을 보여준 결과라 할 수 있다.
-
본 연구에서는 수열합성법을 기반으로 한 3차원 ZnO 나노구조의 합성을 통해 효율적인 양자점 감응형 태양전지로의 응용을 하고 그 특성을 평가하였다. 기존의 1차원 ZnO 나노구조의 경우 높은 전자이동도와 구조적으로 얻을 수 있는 방향성 있는 전자의 효율적인 전달을 통해 효과적인 광전극으로 많은 관심을 받아왔다. 하지만 나노파티클 기반의 필름에 비해 표면적이 크게 떨어지기 때문에 효과적인 흡광이 어렵다는 단점이 존재하여 높은 효율특성을 내지는 못하였다. 본 연구에서는 이러한 단점을 극복하면서 기존 ZnO 나노선의 장점을 극대화 하기 위해 성장시킨 ZnO 나노선 위에 추가적으로 가지를 형성하여 표면적 향상과 효과적인 전자전달 특성을 얻고자 하였다. 3차원 ZnO 나노구조는citrate 계열의 capping agent의 첨가를 통한 수열 합성법을 통해 1차원의 ZnO 나노선 위에 nanosheet 형식의 가지를 형성하였고 이는 빛의 효과적인 산란특성 및 표면적 향상을 통한 CdS, CdSe의 양자점 증착량을 증가시키는 효과를 얻을 수 있었다. 이러한 태양전지의 소자 특성은 SEM, TEM을 통한 구조 특성평가 및 DRS, J-V curve 및 IPCE를 통한 광학적 특성평가를 통해 확인하였다.
-
최근 시대의 흐름에 따라 많은 에너지의 사용으로 여러 가지 에너지원이 필요로 하게 되면서 지금까지는 석탄, 석유 등 매장된 에너지원을 사용하고 있지만, 최근 에너지 위기와 여러 가지의 환경문제가 대두 되면서 세계적으로 새로운 청정에너지원을 필요로 하게 되었다. 그 결과 태양광, 풍력, 지열 등 여러 가지의 신재생에너지원이 대두되게 되었으며, 여러 가지의 신재생에너지원 중 주목받고 있는 풍력에너지에 대한 연구가 현재 활발히 진행 중에 있다. 풍력발전은 바람의 에너지를 이용해 블레이드에 연결된 터빈을 구동하여 전기 에너지를 얻는 방식이며, 아직까지는 많은 곳에서 사용될 만큼 생산이 되지 않고 있지만 조만간 많은 곳에서 쓰일 것으로 예상된다. 풍력발전 시스템이 전력시장에서 차지하는 비중이 점차 증가하고 있으나 풍향, 풍속 등의 변화로 인하여 안정적인 발전 출력을 항상 보장할 수 없다. 그러므로 본 논문에서는 실제 풍력발전기로부터 수집된 풍향, 풍속, 발전출력 데이터를 처리하여 데이터베이스를 구축하고, 퍼지 뉴런에 기반한 퍼지-뉴럴 네트워크 예측 모델을 이용하여 풍력발전 출력을 예측하였다.
-
Seo, Je-Hyeong;Jeong, Seung-Uk;Lee, Won-Seon;Choe, Yun-Seong;Choe, Jin-Cheol;Choe, Myeong-Un 674
$600{\times}1200$ mm 기판에 대면적 CIGS 광흡수층 증착을 위한 선형증발원 개발을 위해 다른 크기의 노즐(nozzle)과 일정한 노즐 간격을 가지는 선형증발원의 플럭스 밀도(flux density)를 전산 모사하여 플럭스 균일도${\pm}5%$ 의 조건을 구하였다. 이를 바탕으로 제작된 선형증발원을 이용하여 Cu, In의 단일막 두께균일도를 확인하였고, CIGS 광흡수층을 동시증발법으로 증착하여 박막의 두께 균일도 및 증착 조성의 균일도로 선형 증발원을 평가하였다. EDS 조성 분석을 통해 구한 조성불균일도는 600 mm 폭에서 Cu$${\leq_-}6%$$ , In$${\leq_-}3%$$ Ga$${\leq_-}1%$$ Se$${\leq_-}2%$$ 으로 균일한 조성비로 성막된 것을 확인하였고 SEM 분석을 통해 표면 결정립의 형상을 확인하였다. 또한 XRD측정을 통해 선형증발원 방향의 대면적 CIGS 광흡수층이 칼코피라이트(Chalcopyrite) 구조임을 확인하였다. 이를 통해서 개발된 선형증발원이 CIGS 광흡수층 증착에 적합함을 확인하였다. -
CIGS thin films have received a great attention as a promising material for solar cells due to their high absorption coefficient, appropriate bandgap, long-term stability, and low cost production. CIGS thin films have been deposited by various methods such as co-evaporation, sputtering, spray pyrolysis and electro-deposition. In this study, Cu(In,Ga)Se2(CIGS) thin films were prepared using a single quaternary target by rf magnetron sputtering. The effect of sulfurization on the structural, compositional and electrical properties of the films was examined in order to develop the deposition process. An optimal sulfurization process will be selected for the preparation of CIGS thin films with good structural, optical and electrical properties by applying various sulfurization processes. In addition, the electrical properties of CIGS thin films were investigated by post-deposition annealing process. The carrier concentration of CIG(SSe) thin films after sulfurization was increased from
$10^{14}cm^{-3}$ to$10^{16}cm^{-3}$ and the resistivity was increased from 10${\Omega}cm$ to$10^3$ ${\Omega}cm$ . It is confirmed that CIG(SSe) thin films prepared at optimal deposition condition have similar atomic ratio to the target value after sulfurization. -
무기물 양자점을 광감응 염료로 사용하는 경우 양자점의 사이즈 조절만으로 밴드갭을 조절할 수 있어 광학적 특성 조절이 용이하며, 유기 염료보다 광흡수 능력이 뛰어난 장점을 가진다. 특히 카드뮴 계열의 CdS, CdSe 양자점을 순차적으로 증착하여 사용하는 경우 가시광 전 영역을 효율적으로 흡수, 이용할 수 있어 광전기화학 셀의 광전극으로 사용 시 높은 성능을 기대할 수 있다. 하지만, 카드뮴 계열 양자점의 경우 광전기화학 셀로의 구동에 있어 안정성이 낮은 문제점이 있으며, 이는 양자점에 남아있는 정공이 관여하는 양자점 부식 반응으로 인한 것이다. 본 연구에서는 보다 안정적이면서도 고효율의 광전기화학적 수소생산 시스템을 위해, CdSe/CdS 양자점 감응형 ZnO 나노선 광전극에 IrO2 촉매물질을 증착하였다. CdSe/CdS 양자점이 가시광 전 영역을 흡수하며, ZnO 나노선 구조를 통해 생성된 광전자를 효율적으로 포집하여 높은 광전류 특성을 기대할 수 있다. 나아가 산소생산용 조촉매로 많이 사용하는
$IrO_2$ 촉매 물질의 추가증착을 통해 양자점에서 생긴 정공을 빼 줌으로서 정공이 관여하는 양자점 부식 반응을 방지할 수 있다. 실험결과 촉매물질의 증착 이후 광전류 생성 특성 및 수소생산량이 증가하였으며, 안정성 또한 상당히 향상된 것을 확인할 수 있었다. -
최근 석유에너지의 고갈과 휴대용 전자기기의 사용의 증가로 고효율의 배터리의 개발이 요구되고 있다. 생체칩에서 부터 전기자동차, 에너지 저장체까지 광범위한 산업군에 걸처 배터리의 개발이 되고 있어 시장규모의 계속적인 성장이 있을 것으로 전망하고 있다. 현재 상용되고 있는 음극 재료는 카본재료(이론 용량 372 mAh/g)이다. 이 카본재료의 특징은 값이 싸고, 표준 환원전위가 낮아 비교적 높은 전압을 낼 수 있다. 그러나 낮은 에너지밀도를 갖으므로 높은 에너지를 필요로 하는 차세대 산업군인 전기자동차 등에는 적합하지 않은 것으로 평가되고 있다. 그래서 더 높은 에너지 밀도를 갖는 다른 재료들에 대한 연구들이 활발히 이루어지고 있다. 본 연구에서는 음극 재료로서 주석을 선택해서 연구를 하였다. 카본계열의 음극재료의 질량당 이론 에너지 밀도는 372 mAh/g임에 반해 주석같은 경우는 약 991 mAh/g 정도의 비교적 큰 이론용량을 갖고 있다. 하지만, 주석 등 금속, 혹은 금속 합금을 음극재료로 사용할 경우 많은 양의 리튬이 삽입/탈착되면서 약 300% 이상의 부피변화가 있게 된다. 그러한 과정에서 주석이 분쇄되어 떨어지거나 전자를 제공받는 집전체로부터 떨어지게 되고, 이 과정에서 심각한 에너지 밀도의 손실이 일어나게 된다. 이러한 문제점들을 극복하기 위해 다음과 같은 구조들을 고안하여 도금 공정을 사용하여 음극재료를 제작하여 실험을 진행하게 되었다. 도금법은 대면적을 싼 가격으로 할 수 있으며 원하는 두께 및 모폴로지까지 쉽게 조절할 수 있다. 부피팽창에 의한 스트레스를 최소화하기 위해 도금법을 사용하여 나노구조를 만들어 그에 따른 전기화학적 특성 변화를 측정하였다. 다공성 필름인 AAO 디스크의 한 면에 구리를 sputtering 공정을 사용하여 0.5 um 두께의 seed layer 구리 박막을 형성하고 형성된 구리 박막 위에 도금공정을 이용하여 두껍게 구리를 증착함으로 구리 음극 집전체를 형성한다. 그 후 AAO 구조 안에 주석을 도금하면 AAO의 구조를 따라 주석 나노와이어가 형성이 된다. 마지막으로 NaOH로 AAO를 제거해주면 직경 200 nm, 길이 2 um 정도의 주석 나노와이어를 구리 집전체위에 만들 수 있었다. 배터리의 용량을 측정한 결과 안정한 싸이클 특성과 약 400 mAh/g의 에너지 밀도를 갖는 것으로 나타났다.
-
은 나노선은 투명 금속전극으로 저온 공정이 가능하고, 플랙서블 기판에 사용 가능하여 다양한 분야의 응용 소재 연구가 진행 중에 있다. 본 연구에서는 전면 전극으로 은 나노선을 스프레이 코팅하고, 알루미늄 도핑된 산화아연(AZO)을 sputter로 증착하였다. 광 경로를 길게 하기 위해 AZO 기판을 수열합성법을 통해 산화아연 나노선을 성장하였다. 은 나노선 전극 기판과 산화아연 나노선이 성장된 기판의 광 투과도를 분석하기 위해 UV-visible을 이용하였으며, FE-SEM, AFM을 이용하여 각 기판의 형상을 분석하였다. 은 나노선은 500 nm 파장영역에서 투과도 86.93%, 면저항 16
${\Omega}/{\square}$ 보였다. ITO 기판보다 400~600 nm 영역에서 헤이즈가 증가되는 것을 확인 할 수 있었다. 산화아연 나노선이 성장된 기판을 이용하여 P3HT:PCBM 블랜딩된 유기 태양전지를 제작하여 전기적 특성 및 효율을 평가하였다. -
Seo, Il-Won;Yun, Myeong-Su;Jo, Tae-Hun;Kim, Dong-Hae;Jo, Lee-Hyeon;Son, Chan-Hui;An, Jeong-Ho;Lee, Jeong-Gyun;Gwon, Gi-Cheong 679
태양전지는 계속되는 유가상승과 무소음 무공해의 녹색에너지원이라는 점에서 각광받고 있다. 더욱이 발전단가가 높기 때문에 특히 저가의 다결정 실리콘 태양전지의 연구가 활발히 진행되고 있다. 태양전지의 texturing 공정은 광 포획 효과를 극대화 시킨다. 이에 따라 웨이퍼 표면에 텍스쳐를 형성하여, 광학적 손실을 줄이는데, 일반적으로 alkaline etching (WET) 공정과 reactive ion etching (RIE) 공정이 사용된다. 본 연구에서는 RIE, WET 공정을 사용하여 만든 texturing 구조의 태양전지를 모듈 공정 진행 전 특성평가를 한 후 다시 모듈 공정 후 특성평가를 진행하였다. 특성평가는 태양전지의 전류-전압 곡선을 통해 개방전압, 단락전류, 곡선인자 을 측정하고, 파장에 따른 양자효율 및 반사율을 측정하였다. 또한 태양전지의 전기에너지를 가하여 생성되는 전계발광 현상과 NIR camera를 이용하여 Grain의 Dark Area 및 Micro crack을 검출하였다. 이와 같은 모듈 공정 전/후 특성을 측정하고, 이를 비교 분석하여 BIPV 적용 시 태양전지의 동작특성을 확인하였다. -
염료감응 태양전지를 구성하는 중요한 요소 중 하나인 나노입자 산화물
$TiO_2$ 는 태양전지 내부의 전자이동과 밀접한 관련이 있어 Cell의 개방전압(Voc) 및 단락전류밀도(Jsc) 특성을 결정짓는 주요 요소이다. 때문에 염료감응 태양전지의 문제점인 효율 증대를 위해서는$TiO_2$ 의 각종 특성을 연구할 필요가 있다. 본 논문에서는$TiO_2$ 의 두께 및 소성온도를 변화시킴에 따라 제반 되는 각종 전기적 특성들을 조사해 보았고 그 원인들을 고찰해 보았다. -
전기는 우리 주변의 에너지 형태 중에서 가장 편리하고 광범위하게 사용되고 있다. 이러한 전기는 전자제품, 전기자동차, 에너지 저장 플랜트 등 매우 많은 분야에서 저장되고 사용되고 있다. 특히 에너지 저장 용량의 확대는 휴대폰, 노트북 PC 등 휴대용 IT 기기의 성장에 결정적인 역할을 하였다. 가볍고 작으면서도 고용량의 전기 에너지 저장 장치가 없었다면, 통신이나 인터넷 그리고 오락 등 다양한 기능을 작은 휴대용 기기에 구현할 수 없었을 것이다. 그러나 시간이 흐를수록 기기의 요구 성능이 높아지고 소비자의 니즈가 더욱더 다양해지고 고도화될수록 단일 부품으로 가장 큰 부피를 차지하는 에너지 저장 장치의 용량과 디자인은 점점 중요해지고 있다. 이러한 에너지 저장 장치에서 가장 친숙한 형태는 2차 전지 계열이다. 납 축전지를 비롯하여, 니켈수소, 니켈카드뮴, electrochemical capacitor와 Li ion 계열 등이 대표적이다. 특히 Li ion 배터리는 모바일, 자동차 및 에너지 저장 그리드 등과 같은 다양한 분야에 가장 많이 적용되고있다. Li ion 배터리에 대하여 현재의 핵심적인 연구분야는 전극 재료(cathode, anode)와 electrolyte에 대한 것이다. Anode 전극 재료 중에서 가장 많이 사용되는 재료는 카본을 기반으로 하는 재료로 안정성에 대한 장점이 있지만 에너지 밀도가 낮다는 단점이 있다. 에너지 저장 용량 증가에 대한 필요성이 증가하기 때문에 현재 많이 사용되고 있는 에너지 밀도가 낮은 카본 재료를 대체하기 위해서 이론 용량이 높다고 알려진 실리콘과 같은 메탈이나 주석 산화물과 같은 천이 금속 산화물에 대하여 많은 연구가 진행되고 있다. 특히 현재까지 알려진 많은 재료 중에서 가장 큰 capacity (~4,000 mAh/g)를 가지고 있다고 알려진 실리콘이 카본의 대체 재료로 많은 연구가 진행되고 있다. 그러나, Li 과 반응을 하며 약 300~400%에 달하는 부피팽창이 발생하고, 이러한 부피 팽창 때문에 충 방전이 진행됨에 따라 current collector로부터 박리되는 현상을 보여 빠른 용량 감소를 보여주고 있다. 본 연구에서는 adhesion layer를 current collector와 실리콘 전극 재료 사이에 삽입하여 충 방전 시 부피팽창에 의한 미세구조의 변화와 electrochemical 특성에 대한 영향을 알아보았다. 실험에 사용한 anode 전극은 상용 Cu foil current collector에 RF/DC magnetron 스퍼터링을 통해 다양한 종류(Ti, Ta 등)의 adhesion layer과 200 nm 두께의 Si 박막을 증착하였다. 또한 Bio-logic Potentiostat/ Galvanostat VMP3 와 WanAtech automatic battery cycler 장비를 사용하여 0.2 C-rate로 half-cell 타입의 코인 셀로 조립한 전극에 대한 충 방전 실험을 진행하였다. Adhesion layer의 사용으로 인해 실리콘 박막과 Cu current collector 사이의 박리 현상을 줄여줄 수 있었고, 충 방전 시 Cu 원자의 실리콘 박막으로의 확산을 통한 brittle한 Cu-Si alloy 형성을 막아 줄 수 있어 큰 특성 향상을 확인할 수 있었다. 또한, 리튬과 실리콘의 반응을 통한 형태와 미세구조 변화를 SEM, TEM 등의 다양한 장비를 사용하여 확인하였고, 이를 통해 adhesion layer의 사용이 전극의 특성향상에 큰 영향을 끼쳤다는 것을 확인할 수 있었다.
-
박막태양전지의 일종인 CIGS 태양전지는 직접천이형 반도체로 광흡수계수가
$1{\times}10^5cm^{-1}$ 로 매우 높고, 전기광학적 안정성이 우수하여 실리콘 결정질 태양전지를 대체할 고효율 태양전지로 각광받고 있다. CIGS 태양전지는 광흡수층 공정방법에 따라 다양한 결정구조 및 효율 차이가 나타난다. 본 실험에서는 Sputtering방법으로 금속전구체를 증착하고, Sequential process를 이용하여 고온에서 셀렌화 열처리를 수행하였다. Soda-lime glass 기판에 배면전극으로 Mo를 증착하고, 1단계로 CuIn0.7Ga0.3 조성비의 타겟을 이용하여 Sputtering법으로$1.0{\sim}1.2{\mu}m$ 두께의 CIG 전구체를 증착하였다. 2단계로 CIG 전구체에 분자빔증착기를 이용하여 Se를 증착하고, 열처리를 통하여 CIGS 화합물 구조의 박막을 형성시켰다.증착된 CIGS 박막은 광전자분광분석기로 원소의 화학적 결합상태를 확인하고, in-situ 엑스선회절분석을 통해 Se층의 증착두께와 열처리 온도 변화에 따른 CIGS 층의 결정구조 및 결정화도 변화를 분석하였다. -
Wi, Jae-Hyeong;Jo, Dae-Hyeong;Kim, Ju-Hui;Park, Su-Jeong;Jeong, Jung-Hui;Han, Won-Seok;Jeong, Yong-Deok 684
p-형 반도체인 Cu(In,Ga)$Se_2$ (CIGS) 광 흡수 층은 이보다 에너지 밴드 간격이 큰 n-형 반도체와 이종 접합을 형성한다. 흡수층과 윈도우층 사이의 결정구조 차이와 밴드갭 에너지 차이를 완화시키기 위해 버퍼층이 필요하다. 버퍼층을 형성하는 물질로 화학적 용액 성장법(Chemical Bath deposition)을 사용한 CdS가 많이 적용되어 왔으나 Cd의 유해성 및 습식 공정으로 인한 연속공정에 대한 어려움이 있다. 따라서 버퍼층을 Cd을 포함하지 않는 ZnS,$In_2S_3$ , (Zn, Mg)O 등과 같은 물질로 대체하여 원자층 증착법(Atomic Layer Deposition), 펄스레이져증착법(Pulsed Laser Deposition), 스퍼터링(sputtering) 등과 같은 건식으로 성장시키는 연구가 활발히 진행되고 있다. 본 연구에서는$ZnO_{1-x}S_x$ ($0.2{\leq} x{\leq}0.4$ )를 반응성 스퍼터링으로 증착하여 큰 밴드갭 에너지와 높은 광투과율를 갖는 버퍼층을 제작하였다. CIGS 박막의 손상을 줄여주기 위하여 RF 파워는 240, 200, 150, 100 W로 변화시켰다. CIGS 태양전지의 I-V 측정 결과, RF 파워가 150 W일 때 10.7%의 가장 높은 변환 효율을 보였고, 150 W 이상에서는 파워가 증가할 때 단락전류는 감소하였으며 개방전압은 다소 증가하였다. 반면 100 W에서 단락전류는 다소 증가하는 것에 반해 개방 전압이 급격히 낮아졌다. 이것은 파워에 따라 결합되는 산소의 양이 다르기 때문으로 생각된다. -
Crystalline silicon solar cell is a semiconductor device that converts light into electrical energy. Screen printing is commonly used to form the front/back electrodes in silicon solar cell. Screen printing method is convenient but usually shows high resistance and low aspect ratio, which cause the efficiency decrease in crystalline silicon solar cell. Recently the plating method is applied in c-Si solar cell to reduce the resistance and improve the aspect ratio. In this paper, we investigated the effect of additional electroless Ag plating into screen-printed c-Si solar cell and compared their electrical properties. All wafers used in this experiment were textured, doped, and anti-reflection coated. The electrode formation was performed with screen-printing, followed by the firing step. Aften then we carried out electroless Ag plating by changing the plating time in the range of 20 sec~5 min and light intensity. The light I-V curve and optical microscope were measured with the completed solar cell. As a result, the conversion efficiency of solar cells was increased mainly due to the decreased series resistance.
-
Local Back Contact Formed by Screen Printing and Atomic Layer Deposited Al2O3 for Silicon Solar CellIn rearpoint contact solar cell and the PERC (passivated emitter rear contact) type cell, surfaces were passivated by SiO2 or Al2O3 to increase solar cell efficiency. Therefore, we have investigated the effect of surface passivation for crystalline silicon solarcell using mass-production atomic layer deposited (ALD) Al2O3. The patttern which consists of cylinders with 100um diameter and 5um height was formed by PR patterning on Si (100) substrate and then Al2O3 of about 10nm and 20nm thickness was deposited by ALD. The pattern in 10 nm Al2O3 film was removed by dipping in aceton solution for about 10 min but the pattern in 20 nm Al2O3 film was not. The influences of process temperature and heat treatment were investigated using microwave photoconductance decay (PCD) and Quasi-Steady-State photoconductance (QSSPC). The solar cell process used in this work combines the advantage of using the applicability of a selective deposition associated with a ALD passivation and the use of low-cost screen print for the contacts formation.
-
Al-doped ZnO (AZO) thin films have attracted a lot of attention as a cheap transparent conducting oxide (TCO) material that can replace the expensive Sn-doped In2O3. In particular, AZO thin films are widely used as a window layer of chalcogenide-based thin film solar cells such as Cu(In,Ga)Se2 and Cu2ZnSnS4 (CZTS). Mostly important requirements for the window layer material of the thin film solar cells are the high transparency and the low sheet resistance, because they influence the light absorption by the activelayer and the electron collection from the active layer, respectively. In this study, we prepared the AZO thin films by RF magnetron sputtering using a ZnO/Al2O3 (98:2wt%) ceramic target, and the effect of the sputtering condition such as the working pressure, RF power, and the working distance on the optical, electrical, and crystallographic properties of the AZO thin films was investigated. The AZO thin films with optimized properties were used as a window layer of CZTS thin film solar cells. The CZTS active layers were prepared by the electrochemical deposition and the subsequent sulfurization process, which is also one of the cost-effective synthetic approaches. In addition, the solar cell properties of the CZTS thin film solar cells, such as the photocurrent density-voltage (J-V) characteristics and the external quantum efficiency (EQE) were investigated.
-
Atomic layer deposition (ALD)에 의한 알루미늄 산화 막(Al2O3)은 고효율 결정질 실리콘 태양전지를 위한 우수한 표면 패시베이션 특성을 제공한다. 알루미늄 산화막는 고정적인 음전하를 가지고 있기 때문에 p-형 실리콘 태양 전지 후면은 전계에 의한 우수한 패시베이션 효과를 형성한다. 그러나, ALD 방식으로 증착된 알루미늄 산화막은 매우 긴 공정 시간을 필요로 하기 때문에 기존의 실리콘 태양 전지 공정에 적용하기가 어렵다. 본 논문에서는 알루미늄 산화막 형성에서 공정 시간을 줄이기 위해 Plasma assisted atomic layer deposition (PA-ALD) 방식을 적용했다. PA-ALD 기술은 trimethylaluminum (TMA)과 O2를 사용하여 기판 표면에 알루미늄 산화막을 증착하는 것으로 ALD 방식과 유사하지만, O2 플라즈마를 사용함으로써 증착 속도를 향상시킬 수 있다. 이는 좋은 패시베이션 특성을 가지는 알루미늄 산화막을 실리콘 태양전지양산 공정에 적용할 수 있는 가능성을 제시한다. PA-ALD 방식에 의한 알루미늄 산화막의 패시베이션 특성을 최적화하기 위해서 증착 후 열처리 조건에 대한 연구도 수행하였다. 막증착률이 1.1
${\AA}$ /cycle인 Al2O3층의 두께 변화에 따른 특성을 최적화하기 위해 공정 온도를$250^{\circ}C$ 고정하고, 열처리 온도와 시간을 가변하였으며 유효 반송자수명을 측정하여 알루미늄 산화막의 패시베이션 특성을 확인했다. -
Field에 설치된 PV모듈은 가혹한 외부 환경에 노출되어 외부 하중, 온도 변화, 자외선, 수분 등의 영향을 받게 된다. 특히 PV모듈 내부로 수분이 침투 하였을 경우에는 태양전지와 전극의 부식 등 발전성능을 크게 저하시킬 수 있다. 이러한 외부 환경으로부터 태양전지가 안정적으로 발전할 수 있도록 PV 모듈은 전면재료인 유리와 후면재료인 Back Sheet를 진공 상태에서 압력을 가해 봉합하는 Lamination 공정을 거쳐 제작 되어 진다. 특히 Lamination 공정에 사용되는 EVA (ethylene vinyl acetate)는 PV모듈의 내구성능을 좌우할 수 있는 가장 중요한 재료중 하나이다. 본 논문에서는 Lamination 공정에 사용되어지는 EVA의 수분 침투의 특성에 관한 연구를 수행하였다. 공정 조건에 따른 EVA에 침투 되는 수분의 양을 확인하기 위해 Lamination 공정 조건을 변경하여 실험을 진행하였다. 그리고 외부 환경 변화에 의한 영향을 확인하기 위해 EVA가 수분에 노출 되는 시간과 온도를 변화시켜 보았다. 실험의 결과는 외부에 노출된 PV 모듈의 내부에 침투할 수 있는 수분의 양을 예상할 수 있게 하며, 수분 침투에 의한 내구성의 저하를 감소시키기 위한 최적의 Lamination 공정 조건과 봉합재 선정을 위한 기초 자료를 제공한다.
-
최근 휴대용 전자기기의 전원으로서 가장 널리 사용되고 있는 리튬 이차 전지는 우수한 에너지 밀도, 낮은 자가방전 속도로 인한 비 메모리 효과, 높은 작동전압으로 다양한 전자기기뿐만 아니라 미래형 자동차산업 및 항공산업 분야에서도 점차 사용 빈도가 증가하고 있다. 현재 리튬 이차 전지의 음극물질로 널리 사용되고 있는 흑연의 경우 초기 용량 감소가 크고 이론적인 최대용량(372 mAhg-1, LiC6)이 낮다는 문제가 있어 다양한 대체물질의 연구가 진행되고 있다. 그 중에서도 Si는 Li과 반응하여 Li4.4Si합금을 형성하며 높은 이론용량을 갖고 상용화된 전지의 전압(~3.7 V)보다 0.3 V정도 밖에 낮지 않기때문에 재료의 개발과 함께 바로 사용화 할 수 있다는 장점이 있다. 그러나 Si의 경우 금속 자체로 사용되는 경우 Li 이온이 삽입되어 Li4.4Si형성 시에 310%의 부피 팽창을 일으키게 되어 분쇄반응(pulverization)을 일으키고 충 방전에 따라 급격한 용량 감소를 야기한다. 본 연구에서는 이러한 문제점을 해결하기 위하여 RF 마그네트론 스퍼터링을 이용하여 보다 간단한 방법으로 Si층 사이에 수 나노의 Al층을 삽입하여 Si 입자의 부피 팽창으로부터 오는 응력을 상쇄시켜 높은 방전 용량 특성과 우수한 수명 특성을 동시에 구현하였다.
-
The effects of Climate change and Installation Price for Photovoltaic System were investigated through power generation analysis and economic analysis though. Photovoltaic System would be the same as governmental regulated 3 KW capacity used for the analysis. Also climate information which are serviced on the KMA (Korea Meteorological Administration) used as well. The experimental results indicate that climate change and installation price change could be influenced more higher on photovoltaic system than last 30-years. Furthermore the economic advantages could be higher on the future.
-
With the growing need of more effective energy harvesting, solar energy has been sought as one of the prominent candidates among the eco-friendly methods. Although many types of solar cells have been developed, the electronic conversion efficiency is limited by the material's physical properties: solar cells can only harvest solar energy from limited range in solar energy spectrum. To overcome this physical limit, we approached by using the down conversion effect, transforming the high energy photons to low energy photons, to the range the designated solar cell can convert to electronic energy. In our study, we have fabricated GaAs single junction solar cells and applied CdSe quantum dots for down-conversion. We examine the effects of such application on the solar cell efficiancy, fill-factor, JSC, VOC, etc.
-
양단간의 온도차를 이용한 열전 발전 및 펠티어 효과를 이용한 열전냉각 소자는 전기와 열의 직접적인 변환으로 활용도가 높아 차세대 에너지 연구 분야로 각광 받고 있다. 열전 소자의 성능 척도는 성능지수 Z (Figure of Merit)로 나타내며, Seebeck 계수 및 전기전도도, 열전도도의 관계로 주어지게 되고 재료의 물성치가 소자의 성능에 큰 영향을 주게 된다. 따라서, 열전재료의 성능을 높이는 연구가 활발히 진행되어 왔으며, 최근 에너지 밴드 구조를 조절하여 Seebeck계수의 향상을 시도하는 연구가 많이 진행되고 있다. 이는 페르미 레벨근처에 도핑 된 원자들이 Density of states에 추가로 준위를 형성하여 Seebeck 계수 향상을 가능하게 한다. 본 연구에서는 상온용 열전 물질인
$Bi_2Te_3$ 에 Iodine 도핑을 통한 열전 성능 변화를 고찰하고자 한다.$Bi_2Te_3$ 는 유기금속 화합물 증착 방법으로 성장하였고 기판으로$4^{\circ}$ 기울어진 GaAs를 사용 하였다. 전기적 특성은 Seebeck 측정 및 Van der Pauw법에 의한 Hall measurement 방법으로 분석하였다. -
Solid Oxide Fuel Cells (SOFCs) have been gaining academic/industrial attention due to the unique high efficiency and minimized pollution emission. SOFCs are an electrochemical system composed of dissimilar materials which operates at relatively high temperatures ranging from 800 to 1000oC. The cell performance is critically dependent on the inherent properties and integration processing of the constituents, a cathode, an electrolyte, an anode, and an interconnect in addition to the sealing materials. In particular, the gas transport, ion transport, and by-product removal also affect the cell performance, in terms of open cell voltages, and cell powers. In particular, the polarization of cathode materials is one of the main sources which affects the overall function in SOFCs. Up to now, there have been studies on the materials design and microstructure design of the component materials. The current work reports the effect of thin film processing on cathode polarization in solid oxide fuel cells. The polarization issues are discussed in terms of dc- and ac-based electrical characterizations. The potential of thin film processing to the applicability to SOFCs is discussed.
-
최근에 유연한 성질을 갖는 전자기기들의 수요가 증가하면서, 그에 따라서 유연 전자기기를 뒷받침 해줄 수 있는 에너지 저장체의 유연한 성질도 중요성이 점점 부각되고 있으며 많은 연구가 진행되고 있다. 유연한 에너지 저장체의 많은 연구들이 유연한 금속 박막이나 특수 공정처리가 필요한 고분자를 이용하고 있으나, 대부분의 유연 에너지 소자들은 에너지 저장체의 성능에 비해 고온과 산 약품과 같은 환경이 필요하며, 비용과 시간이 많이 소모되고 있다. 그에 반해 섬유는 앞에서와 같이 특수 공정 처리가 따로 필요하지 않으며 상온에서도 손 쉽게 이용 가능하며, 신축성이 뛰어난 장점이 있기 때문에 효율적, 비용적으로 유연한 에너지 저장체에 유리한 소재이다. 몸에 해로운 산과 같은 약품처리의 필요도 없으며, 용매를 흡수하는 능력이 뛰어나기 때문에 용매를 이용한 도포 방법을 사용하면 다양한 물질을 폭넓게 적용 가능하다. 그리고 적용 분야에 맞춰서 섬유의 종류를 조절하면 다양한 성질을 갖는 천 기반의 에너지 저장체가 형성되며, 면 섬유가 수소 결합과 높은 반데르 발스 결합에 의해 탄소나노튜브와 결합하여 높은 에너지 밀도를 갖는 에너지 저장체를 형성하는 것을 분석한 논문들도 보고되고 있다. 면 섬유의 특수한 성질을 이용하여 에너지 저장체를 제작하고 이를 확인하기 위해서 일반 합성 섬유인 polyester와 면 섬유를 비교 제작하였으며, 용매의 형태로 손쉽게 도포 가능한 물질은 탄소 계열의 활물질들이며, 탄소 나노 튜브나 그래핀 등이 분산된 용액을 이용해 천에 도포 가능하다. 탄소 계열의 활물질들은 대표적인 슈퍼캐패시터 물질이며, 천에 도포를 함으로써 천 기반의 슈퍼캐패시터를 제작하였다. 일반 합성 섬유 polyester와 CNT를 결합한 형태의 전극은 최대 에너지 축전 용량(Maximum specific capacitance)이 53.6 F/g으로 나타났으며, 면 섬유와 CNT를 결합한 형태의 전극은 최대 에너지 축전 용량이 122.1 F/g으로 나타났다. 따라서 면 섬유에서 높은 에너지 저장 능력을 보이는 것을 실험적으로 확인하였으며, 에너지 저장 능력이 뛰어난 면 섬유를 다음 전극 디자인에서도 일률적으로 적용하였다. 슈도캐패시터의 대표적 물질인 금속 산화물인 망간 산화물(MnO2)을 3전극 도금 시스템을 이용하여 에너지 축전 용량과 에너지 밀도를 올리는 전극을 제작하였다. 특히 망간 산화물의 형태는 표면적을 극대화하기 위해서 평균 지름은 200~300 nm 정도 되는 나노 입자의 형태로 제작하였다. 그 결과, 확연하게 에너지 축전 용량이 향상되었으며, 최대 에너지 축전 용량은 282.0 F/g, 에너지전력 밀도는 14.2 Wh/kg으로 나타나서 금속 산화물의 형태가 주는 효과를 확인할 수 있었다. 하지만 나노 입자의 형태로 제작된 금속 산화물은 문제점이 발생하였다. 금속 산화물의 전기 전도성이 매우 낮기 때문에, 전기 전도성에 비례해서 전력 밀도의 값이 표현되는데, 전기 전도성이 급격히 감소하기 때문에 전력 밀도도 급격한 감소가 나타난다. 다음과 같이 전기 전도성 물질을 첨가하는 방법은 추가의 공정이 필요한 단점이 있지만 오직 기계적인 인장응력만을 가해서 에너지 밀도와 전력 밀도를 증가시키는 전극을 제작하였다. 인장응력을 섬유 기반의 전극에 가했을 시에 가닥들간의 접촉 증가와 CNT가 정렬되면서 특정 변형률(strain) 이전에서는 전기 전도성이 최대 50% 이상 증가하는 것을 확인할 수 있었으며, 선행 연구에서 보고되었다. 이를 이용해서 전기 전도성과 직결되는 전력 밀도의 양도 증가시키고 에너지 밀도의 증가 여부까지 확인한 결과 인장을 가하기 전 면 섬유의 전력 밀도와 에너지 밀도는 6.4 kW/kg and 6.1 Wh/kg으로 나타났으나 30% 변형 인장 후에는11.4 kW/kg과 7.1 Wh/kg으로 나타났다. 그리고 망간 산화물을 첨가한 전극 역시 4.9 kW/kg과 14.2 Wh/kg으로 나타났었으나 인장 이후 전력 밀도는 14.2 kW/kg, 에너지 밀도는 17.6 Wh/kg으로 확연하게 증가한 것을 확인하였다.
-
Park, Yong-Seop;Lee, Su-Ho;Kim, Jung-Gyu;Ha, Jong-Chan;Hong, Byeong-Yu;Lee, Jun-Sin;Lee, Jae-Hyeong 699
Aluminum and hydrogen doped zinc oxide (AZHO) films were prepared by r.f. magnetron sputtering. The structural, electrical, and optical properties of the AHZO films were investigated in terms of the annealing conditions to study the thermal stability. The XRD measurements revealed that the degree of c-axis orientation was decreased and the crystallintiy of the films was deteriorated by the heat treatment. The electrical resistivity was significantly increased when the films were annealed at higher temperature. Although the optical transmittance of AHZO films didn't highly changed by heat treatment, the optical band gap was reduced, regardless of annealing temperature and duration. The thermal stability of AHZO films was worse compared to AZO films. -
표면조직화는 입사되는 빛의 반사를 줄이고 태양전지 내부에서 빛의 이동거리를 길게하여 효율을 향상시키는 중요한 요소가 된다. 결정질 실리콘 표면 조직화에서 일반적으로 알카리 습식 식각이 많이 사용되며 이 식각 방법으로 반사도를 400~1000 nm의 파장에서 평균 11%까지 줄일 수 있다. 본 논문에서는 빛의 반사를 더 줄여 단락전류를 향상 시키기 위해 기존 NaOH를 이용한 표면 조직화를 수행한 후에 반응성 이온 식각 공정을 적용하는 2단계 표면 조직화 공정을 최적화 하였다. 먼저 NaOH 2%, IPA 7.5%용액에서
$80^{\circ}C$ 유지하면서 35분간 식각을 한 후에 ICP 장치에서 SF6/O2 비율 1:1, 공정 압력 25 mTorr, 시간 200 s로 고정하고 RF 파워를 25~200 W로 변화를 주면서 실험 하였다. 그 결과 마이크로 크기의 피라미드 위에 나노 크기의 피라미드를 형성할 수 있었으며 400~1,000 nm 파장에서 평균 4.96%까지 반사도를 낮출 수 있었다. 기존 알카리 식각 공정에 비해 반사도가 많이 낮아지게 되어 입사되는 빛의 양이 증가함으로서 단락전류가 증가하고 효율이 향상될 것으로 기대된다. -
태양전지에서 SiNX층은 반사방지막 역할과 태양전지 소자 보호 역할 2가지를 동시에 하고 있다. 태양전지에서 반사방지막은 굴절률 1.97, 두께 76 nm가 이론적으로 최적의 상태이다. PECVD장비를 이용하여 SiNx 층을 증착하였다. SiNX층 증착 시에 RF 파워와 혼합 가스를 변화한 후 굴절률을 측정하였다. RF 파워는 100~400 W로 변화시켰고 혼합가스 변화는 SiH4가스와 N2, H2, N2+H2 가스 각각을 같이 넣어 주면서 증착하였다. SiNX 가스 자체에 N2가 80%섞여 있는 가스를 사용하기 때문에 SiH4 가스자체 만으로도 SiNx층을 형성 할 수 있다. RF파워 300 W, SiH4 50 sccm, 기판 온도
$300^{\circ}C$ , 공정시간 63초에서 굴절률 1.965, 두께 76 nm를 갖는 SiNx층을 형성 할 수 있었고 개방전압: 0.616 V, 전류밀도: 37.78 mA/$cm^2$ , 충실도:76.59%, 효율: 17.82%로 가장 높은 효율을 얻을 수 있었다. -
다이아몬드상 탄소 박막(Diamond-like carbon, DLC) 박막은 낮은 마찰 계수, 높은 내마모성, 화학적 안정성, 적외선 영역에서의 높은 투과율 등의 장점을 바탕으로 MEMS (Micro-Electro Mechanical System) 소자와 MMAs (Moving Mechanical Assemblies)의 고체윤활코팅, 마그네틱 미디어와 하드디스크의 슬라이딩 표면 등 다양한 분야에 코팅소재로써 응용되어왔다 [1,2]. 현재 전기철도용 집전판은 마찰이 적고 전도성을 지니는 카본 소재로 구성되어 있다. 그러나 그 마모 비율이 너무 심하여 이를 개선할 수 있는 방안으로 고경도 저마찰력을 지니는 DLC 박막을 코팅 소재로써 제안하고자 한다. 그러나 기존에 DLC 박막은 절연특성이 매우 우수하기 때문에 기존에 전도성을 지니는 카본 집전판에 적용하기에는 어려움이 따른다. 따라서 DLC 박막 내에 실리콘(Si) 또는 금속(Metal)을 첨가시키거나, 금속 중간층을 포함시켜 전기적으로 전도특성을 향상시키는 방안이 제시되고 있으며, 본 연구에서는 DLC 박막과 유사하게 우수한 경도특성을 지니고, 낮은 마찰계수등을 지니는 비정질 탄소박막을 연구하여 카본 집전판에 코팅하고자하며, 특히 비정질 탄소박막에 금속 Ti를 도핑하여 집전판과의 접착력과 전기적 전도 특성을 향상시키고자 한다. Ti가 도핑된 탄소박막(TiC) 박막은 비대칭 마그네트론 스퍼터링(unbalanced magnetron sputtering; UBMS) 시스템을 이용하여 제작하였으며, 스퍼터링 조건 중 기판에 인가되어지는 기판온도에 따라 변화되어지는 TiC 박막의 트라이볼로지(Tribology) 특성을 고찰하고자 하였다. 증착시 기판온도의 증가는 TiC 박막의 경도, 마찰계수 특성등 트라이볼로지 특성을 향상시켰으며, 전기적 전도 특성을 향상시켰다. 이러한 결과는 스퍼터링 방법에 의해 증착되어진 TiC 박막내에 존재하는 sp2 결합과 관계가 있음을 확인할 수 있으며, 트라이 볼로지 특성은 TiC 박막내에 sp2 탄소결합의 비율 증가와 관련되어졌다. 특히 sp2 탄소결합은 TiC 박막 증착시 증가된 기판온도와 밀접한 관계가 있으며 기판온도의 증가에 따라 나노결정 클러스터의 크기와 수의 변화와 밀접한 관계가 있음을 확인하였다. 결국 기판온도는 TiC 박막의 트라이볼로지 특성을 향상시켰으며, 전기적 특성 또한 향상시켜 전기철도 집전판에 응용을 위한 소재로 평가할 수 있다.
-
CIGS 박막 태양전지는 I-III-VI족 화합물 반도체로서 직접천이형 에너지 밴드 구조를 가지고 있고,
$1{\times}10$ cm의 높은 흡수계수를 가지고 있으며, Ga, Ag, Al을 첨가함으로써 밴드갭을 1~2.7 eV 넓은 범위로 조절가능하다. 본 연구의 목적은 Sputtering 방식과 Cracker cell을 이용한 실험으로 보다 효율적인 방식으로 CIGS 전구체 조성별 특성에 따른 구조와 전기적, 광학적 특성의 효과에 대하여 조사하였다. Cu-In-Ga 전구체는 CuGa(80-20 at.%)과 In(99.0%) target을 사용하여, Sputtering 공정으로 증착하였으며, Cracker cell이 부착된 RTP (rapid thermal processing)를 통하여 셀렌화를 진행하였다. Reservoir zone 온도는 320도, Cracking zone 온도는 900도로 유지하였으며, 진공상태에서 Se이 공급되면서 열처리가 진행되었다.Cu-In-Ga 전구체 구조에서 In의 증착시간을 변화시켜 CIGS 박막에 미치는 영향에 대해 분석하였다. 이때 기판온도는$500^{\circ}C$ 로 고정하거나,$240^{\circ}C$ 열처리 후$500^{\circ}C$ 에서 열처리하는 두가지를 적용하여 그 영향을 분석하였다. 또한 Selenium이 Cracking zone 온도와 열처리 시간에 따라 미치는 영향의 변화를 조사하였다. 이에 따른 CIGS 박막의 전기적 특성의 변화를 조사하였다. -
CIGS 박막태양 전지는 I-III-VI Chalcopyrite 결정구조를 가진 화합물 반도체 태양전지로 인위적인 밴드갭 조작을 통하여 효율 향상에 용이하다. 4원소 화합물인 CIGS 광흡수층의 대표적인제조 방법으로는 co-evaporation 공정법이 있다. 동시 증발법은 CIGS 결정을 최적화하기 위하여 박막이 증착되는 동안 기판의 온도를 3단계로 변화시켜주는 3-stage 공정을 통하여 제작된다. 일반적으로 CIGS 박막태양전지는 전면전극으로 투명전도막이 사용되며 높은 광투과성과 전기전도성을 가져야 한다. 투명전도막의 광학적, 전기적 특성은 CIGS 박막태양전지의 효율에 영향을 미치기 때문에 최적화된 조건이 요구된다. 본 연구에서는 CIGS 광흡수층은 Ga/(In+Ga)=0.31, Cu/(In+Ga)=0.86으로 최적화 시켰으며, 투명전도막은 Ga이 도핑된 ZnO박막을 RF 마그네트론 스퍼터링법을 이용하여 증착하였다. CIGS 박막 태양전지 직렬저항 성분인 투명 전도막의 비저항이
$4.46{\times}{\square}10{\square}-3{\square}$ (${\Omega}$ -cm)에서$9.3{\times}{\square}0{\square}-4{\square}$ (${\Omega}$ -cm) 으로 변화함에 따라 Efficiency가 9.67%에서 16.47%으로 증가하였으며, Voc가 508 mV에서 596 mV으로, Jsc가 29.27 mA/$cm^2$ 에서 37.84 mA/$cm^2$ 으로, FF factor가 64.99%에서 72.96%로 증가하였다. 이에 따른 투명 전도막의 전기적, 광학적 특성을 통해 CIGS 박막태양전지에 미치는 영향에 대해 조사하였다.