Proceedings of the Korean Vacuum Society Conference (한국진공학회:학술대회논문집)
- Semi Annual
Domain
- Physics > Optics
2011.02a
-
We developed a new generalized synthetic procedure, called as "heat-up process," to produce uniform-sized nanocrystals of many transition metals and oxides without a size selection process. We were able to synthesize uniform magnetite nanocrystals as much as 1 kilogram-scale from the thermolysis of Fe-oleate complex. Clever combination of different nanoscale materials will lead to the development of multifunctional nano-biomedical platforms for simultaneous targeted delivery, fast diagnosis, and efficient therapy. In this presentation, I would like to present some of our group's recent results on the designed fabrication of multifunctional nanostructured materials based on uniform-sized magnetite nanoparticles and their medical applications. Uniform ultrasmall iron oxide nanoparticles of <3 nm were synthesized by thermal decomposition of iron-oleate complex in the presence of oleyl alcohol. These ultrasmall iron oxide nanoparticles exhibited good T1 contrast effect. In in vivo T1 weighted blood pool magnetic resonance imaging (MRI), iron oxide nanoparticles showed longer circulation time than commercial gadolinium complex, enabling high resolution imaging. We used 80 nm-sized ferrimagnetic iron oxide nanocrystals for T2 MRI contrast agent for tracking transplanted pancreatic islet cells and single-cell MR imaging. We reported on the fabrication of monodisperse magnetite nanoparticles immobilized with uniform pore-sized mesoporous silica spheres for simultaneous MRI, fluorescence imaging, and drug delivery. We synthesized hollow magnetite nanocapsules and used them for both the MRI contrast agent and magnetic guided drug delivery vehicle.
-
Single-walled carbon nanotubes (SWNTs) have been considered as a promising candidate for nextgeneration electronics due to its extraordinary electrical properties associated with one-dimensional structure. Since diversity in electronic structure depends on geometrical features, the major concern has been focused on obtaining the diameter, chirality, and density controlled SWNTs. Despite huge efforts, the controlled synthesis of SWNTs has not been achieved. There have been various approaches to synthesize controlled SWNTs by preparation of homogeneously sized catalyst because the SWNTs diameter highly depends on catalyst nanoparticles size. In this study, geometrically controlled SWNTs were synthesized using designed catalytic layers: (a) morphologically modified Al2O3 supporting layer (Fe/Al2O3/Si), (b) Mo capping layer (Mo/Fe/Al/Si), and (c) heat-driven diffusion and subsequent evaporation process of Fe catalytic nanoparticles (Al2O3/Fe/Al2O3/Si). These results clearly revealed that (a) the grain diameter and RMS roughness of Al2O3 supporting layer play a key role as a diffusion barrier for obtaining Fe nanoparticles with a uniform and small size, (b) a density and diameter of SWNTs can be simultaneously controlled by adjusting a thickness of Mo capping layer on Fe catalytic layer, and (c) SWNTs diameter was successfully controlled within a few A scale even with its fine distribution. This precise control results in bandgap manipulation of the semiconducting SWNTs, determined by direct comparison of Raman spectra and theory of extended tight binding Kataura plot. We suggest that these results provide a simple and possible way for the direct growth of diameter, density, and bandgap controlled SWNTs by precise controlling the formation of catalytic films, which will be in demand for future electronic applications.
-
Graphene has been attracting much attention owing to its fascinating physical properties such as quantum electronic transport, a tunable band gap, extremely high mobility, elasticity, thermal conductivity, mechanical strength and so on. There have been many efforts to utilize these outstanding properties of graphene for macroscopic applications such as transparent conducting films useful for flexible/stretchable electronics. However, the scale and the quality graphene need to be further enhanced for practical applications by developing more efficient synthesis, transfer, and doping methods. In this tutorial, the recent advances in graphene synthesis and applications will be reviewed, and discuss the future directions of graphene research.
-
In this tutorial, I will review a brief history of graphene research, summarize current research status, and discuss impacts on various fields in future.
-
Raman spectroscopy has become one of the most widely used tools in graphene research. The resonant Raman scattering process that gives rise to the observed strong Raman signal carries information regarding the electronic structure as well as the structural properties. When polarization of the incident excitation laser light or the scattered signal is carefully controlled, more information on the electronic and structural properties becomes available. In this tutorial, the basics of polarized Raman scattering experiments will be introduced first. Then several examples from real research will be highlighted to illustrate the application of polarized Raman spectroscopy in graphene research.
-
Graphene, a monlayer of carbon atoms arrange to form a 2-dimensional honeycomb lattice, exhibits enormous fascinating properties, such as a linear energy dispersion relation, a wide-range optical absorption, high thermal conductivity, and mechanical flexibility [1]. Because the unique material properties of graphene allow it to be a promising building block for the next generation electronic and optoelectronic devices, sometimes graphene-based devices have refereed to be a strong candidate to overcome the intrinsic limitations of conventional semiconductor-based technology [2,3]. However, there are several fundamental or technological hurdles to be overcome in real applications of graphene in electronics and optoelectronics. In this tutorial we will present a short introduction to the basic materials properties and recent progress in applications of graphene and discuss future outlook of graphene-based electronic and optoelectronic devices.
-
Graphene and graphene derivatives have attracted enormous attention from various research fields for applications in electronic devices, transparent electrodes, biosensors, drug delivery system and surface coatings. In the viewpoint of chemist, the chemical structure of graphene derivatives seems intriguing but detailed structures are being revealed only recently while engineering approaches for various applications are being executed very actively. In addition, cytotoxicity and mammalian cellular responses to graphene have not thoroughly investigated yet in spite of the importance in bio-applications and environment. In this talk, I'll introduce recent studies which report cytotoxicity and behaviors of mammalian cells when the cells are exposed to graphene (as well as some bio-applications of graphene), especially to get closer to answers to these questions, "how we understand and how/why we use graphene in biotechnology".
-
During the last half decade, chemically modified graphene (CMG) has been studied in the wide range of applications, such as polymer composites, energy-related materials, sensors, 'paper'-like materials, field-effect transistors (FET), inks, actuators, and biomedical applications due to its excellent electrical, mechanical, and thermal properties. Chemical modification of graphene oxide, which is generated from graphite oxide, which is produced by simple oxidation of graphite, has been a promising route to achieve mass production of CMG platelets via their colloidal suspensions. Graphene oxide contains a range of reactive oxygen functional groups, which renders it a good candidate for use in the aforementioned applications (among others) through chemical functionalizations. In this presentation, I will discuss my recent research activities on the fundamental chemistry of graphite oxide, as well as novel applications based on CMGs. Topics will include the chemical structure of CMGs and colloidal suspensions of CMG platelets, as well as a wide variety of applications.
-
There has been strong demand for novel nonvolatile memory technology for low-cost, large-area, and low-power flexible electronics applications. Resistive memories based on metal oxide thin films have been extensively studied for application as next-generation nonvolatile memory devices. However, although the metal oxide-based resistive memories have several advantages, such as good scalability, low-power consumption, and fast switching speed, their application to large-area flexible substrates has been limited due to their material characteristics and necessity of a high-temperature fabrication process. As a promising nonvolatile memory technology for large-area flexible applications, we present a graphene oxide-based memory that can be easily fabricated using a room temperature spin-casting method on flexible substrates and has reliable memory performance in terms of retention and endurance. The microscopic origin of the bipolar resistive switching behaviour was elucidated and is attributed to rupture and formation of conducting filaments at the top amorphous interface layer formed between the graphene oxide film and the top Al metal electrode, via high-resolution transmission electron microscopy and in situ x-ray photoemission spectroscopy. This work provides an important step for developing understanding of the fundamental physics of bipolar resistive switching in graphene oxide films, for the application to future flexible electronics.
-
Graphene and graphene derivatives have attracted enormous attention from various research fields for applications in electronic devices, transparent electrodes, biosensors, drug delivery system and surface coatings. In the viewpoint of chemist, the chemical structure of graphene derivatives seems intriguing but detailed structures are being revealed only recently while engineering approaches for various applications are being executed very actively. Recently, several reports are available on interactions of graphene with biomolecules including proteins and nucleic acids. In this talk, I'll introduce recent studies which harness graphene derivatives for developing bioanalytical platforms to quantitatively analyze various enzyme activities. The systems rely on attractive interaction between graphene oxide and nucleic acids or phospholipids.
-
In this talk, I will discuss roles of pseudo vector and scalar potential in changing physical properties of graphene systems. First, graphene under small uniaxial strain is shown to be described by the generalized Weyl's Hamiltonian with inclusion of pseudo vector and scalar potential simultaneously [1]. Thus, strained graphene is predicted to exhibit velocity anisotropy as well as work function enhancement without any gap. Second, if homogeneous strains with different strengths are applied to each layer of bilayer graphene, transverse electric fields across the two layers can be generated without any external electronic sources, thereby opening an energy gap [2]. This phenomenon is made possible by generation of inequivalent pseudo scalar potentials in the two graphene layers. Third, when very tiny lateral interlayer shift occurs in bilayer graphene, the Fermi surfaces of the system are shown to undergo Lifshitz transition [3]. We will show that this unexpected hypersensitive electronic topological transition is caused by a unique interplay between the effective non-Abelian vector potential generated by sliding motions and Berry's phases associated with massless Dirac electrons.
-
Interfacing functional materials with electrical or biological systems is of prime importance in terms of expanding applicative fields and obtaining high performances of devices. Herein, I report the functionalization of graphenes through supramolecular assembly and their electrochemical applications into fuel cells, supercapacitors, and biosensor devices. The solution processable nanohybridization of graphenes by functional materials such as ionic liquids, polyelectrolytes, block copolymers, and biomaterials, described herein would pave the way to obtain high performances of flexible energy and biosensor devices as well as to overcome the existing technology barriers.
-
Graphene based nano-electronic and nano-electromechanical devices will be introduced in this presentation. The first part of the presentation will be covered by our recent results on the fabrication and physical properties of artificially twisted bilayer graphene. Thanks to the recently developed contact transfer printing method, a single layer graphene sheet is stacked on various substrates/nano-structures in a controlled manner for fabricating e.g. a suspended graphene device, and single-bilayer hybrid junction. The Raman and electrical transport results of the artificially twisted bilayer indicates the decoupling of the two graphene sheets. The graphene based electromechanical devices will be presented in the second part of the presentation. Carbon nanotube based nanorelay and A new concept of non-volatile memory based on the carbon nanotube field effect transistor together with microelectromechanical switch will be briefly introduced at first. Recent progress on the graphene based nano structures of our group will be presented. The array of graphene resonators was fabricated and their mechanical resonance properties are discussed. A novel device structures using carbon nanotube field effect transistor combined with suspended graphene gate will be introduced in the end of this presentation.
-
Graphene is known to possess excellent thermal properties, including high thermal conductivity, that make it a prime candidate material for heat management in ultra large scale integrated circuits. For device applications, the key parameters are the thermal expansion coefficient and the thermal conductivity. There has been no reliable experimental determination on the thermal expansion coefficient of graphene whereas the estimates of the thermal conductivity vary widely. In this work, we estimate the thermal expansion coefficient of graphene on silicon dioxide by measuring the temperature dependence of the Raman spectrum. The shift of the Raman peaks due to heating or cooling results from both the intrinsic temperature dependence of the Raman spectrum of graphene and the strain on the graphene film due to the thermal expansion mismatch with silicon dioxide. By carefully comparing the experimental data against theoretical calculations, it is possible to determine the thermal expansion coefficient. The thermal conductivity is measured by estimating the thermal profile of a graphene film suspended over a circular hole of the substrate.
-
Atom-thick graphene membrane and nano-sized graphene objects (NGOs) hold substantial potential for applications in future molecular-scale integrated electronics, transparent conducting membranes, nanocomposites, etc. To realize this potential, chemical properties of graphene need to be understood and diagnostic methods for various NGOs are also required. To meet these needs, chemical properties of graphene and optical diagnostics of graphene nanoribbons (GNRs) have been explored by Raman spectroscopy, AFM and STM scanning probes. The first part of the talk will illustrate the role of underlying silicon dioxide substrates and ambient gases in the ubiquitous hole doping of graphene. An STM study reveals that thermal annealing generates out-of-plane deformation of nanometer-scale wavelength and distortion in
$sp^2$ bonding on an atomic scale. Graphene deformed by annealing is found to be chemically active enough to bind molecular oxygen, which leads to a strong hole-doping. The talk will also introduce Raman spectroscopy studies of GNRs which are known to have nonzero electronic bandgap due to confinement effect. GNRs of width ranging from 15 nm to 100 nm have been prepared by e-beam lithographic patterning of mechanically exfoliated graphene followed by oxygen plasma etching. Raman spectra of narrow GNRs can be characterized by upshifted G band and strong disorder-related D band originating from scattering at ribbon edges. Detailed analysis of the G, D, and 2D bands of GNRs proves that Raman spectroscopy is still a reliable tool in characterizing GNRs despite their nanometer width. -
Plasmas in saline solutions receive considerable attention in recent years. How the operating parameters influence the plasma characteristics and how the electrode erosion occurs have been topics that require further study. In the first part of this talk, the effect of the frequency on the plasmas characteristics in saline solution driven by 50~1000 Hz AC power will be presented. Two distinct modes, namely bubble and jetting modes, are identified. The bubble mode occurs under low frequencies. In this mode, one mm-sized bubble is tightly attached to the electrode tip and oscillates with the applied voltage. With an increase in the frequency, it shows the jetting mode, in which many smaller bubbles are continuous formed and jetted away from the electrode surface. Multiple mechanisms that are potentially responsible to such a change in bubble dynamics have been proposed and the dominant mechanism is identified. From the Stark broadening of the hydrogen optical emission line, electron densities in both modes are estimated. It shows clearly that the driving frequency greatly influences the bubble dynamics, which in turn alters the plasma behavior. In the second part, the study of the erosion of a tungsten electrode immersed in saline solution under conditions suitable for bio-medical applications is presented. The electrode is immersed in 0.1 M saline solution and is positively or negatively biased using a DC power source up to 600 V. It is identified that when the electrode is positively biased, erosion by the surface electrolytic oxidation is the dominant mechanism with an applied voltage below 150 V. An increase in the applied voltage leads to the formation of the plasma and the damage by the plasma and the thermal effect becomes more prominent. The formation of the gas film at the electrode surface leads to the formation of the plasma and hinders the electrolytic erosion. In the negatively-biased electrode, no electrolytic oxidation is seen and the damage is mostly likely due to the plasma erosion and the thermal effect.
-
Plasma in liquid phase has attracted great attention in the last few years by the wide domain of applications in material processing, decomposition of organic and inorganic chemical compounds and sterilization of water. The plasma in liquid is characterized by three main regions which interact each - other during the plasma operation: the liquid phase, which supply the plasma gas phase with various chemical compounds and ions, the plasma in the gas phase at atmospheric pressure and the interface between these two regions. The most complex region, but extremely interesting from the fundamental, chemical and physical processes which occur here, is the boundary between the liquid phase and the plasma gas phase. In our laboratory, plasma in liquid which behaves as a glow discharge type, is generated by using a bipolar pulsed power supply, with variable pulse width, in the range of 0.5~10
${\mu}s$ and 10 to 30 kHz repetition rate. Plasma in water and other different solutions was characterized by electrical and optical measurements. Strong emissions of OH and H radicals dominate the optical spectra. Generally water with 500${\mu}S/cm$ conductivity has a breakdown voltage around 2 kV, depending on the pulse width and the repetition rate of the power supply. The characteristics of the plasma initiated in ultrapure water between pairs of different materials used for electrodes (W and Ta) were investigated by the time-resolved optical emission and the broad-band absorption spectroscopy. The deexcitation processes of the reactive species formed in the water plasma depend on the electrode material, but have been independent on the polarity of the applied voltage pulses. Recently, Coherent anti-Stokes Raman Spectroscopy method was employed to investigate the chemistry in the liquid phase and at the interface between the gas and the liquid phases of the solution plasma system. The use of the solution plasma allows rapid fabrication of the metal nanoparticles without being necessary the addition of different reducing agents, because plasma in the liquid phase provides a reaction field with a highly excited energy radicals. We successfully synthesized gold nanoparticles using a glow discharge in aqueous solution. Nanoparticles with an average size of less than 10 nm were obtained using chlorauric acid solutions as the metal source. Carbon/Pt hybrid nanostructures have been obtained by treating carbon balls, synthesized in a CVD chamber, with hexachloro- platinum acid in a solution plasma system. The solution plasma was successfully used to remove the template remained after the mesoporous silica synthesis. Surface functionalization of the carbon structures and the silica surface with different chemical groups and nanoparticles, was also performed by processing these materials in the liquid plasma. -
Yu, Seung-Min;Yu, Seung-Yeol;Park, Jun-Seok;Hong, Eun-Jeong;Hong, Yong-Cheol;Lee, Sang-Ju;Kim, Ye-Jin;No, Tae-Hyeop;Lee, Bong-Ju 19
수중방전은 다양한 라디칼을 직접 물 속에서 발생시키기 때문에 수처리 공정에 다양한 응용이 가능하다. 특히, 최근에 선박평형수 등의 살균이 국제적인 이슈가 되고 있고, 2017년까지는 모든 선박에 살균을 위한 수처리 설비가 의무화된다. 본 연구에서는 염분이 있는 수체에서의 방전공정을 연구하고 이를 수처리공정에 적용할 수 있는 방법에 대해 연구하였다. 해수의 경우 전도도가 53mS로 자유로운 전하의 이동이 가능하기 때문에 일반적인 민물방전의 전원과 전극 등으로는 방전을 할 수 없다. 이에 세라믹과 금속의 이중구조로 되어 있는 모세관전극을 개발하여 전도성이 있는 수체에서의 방전을 이루었다. 전원장치로는 60 Hz, 380 V를 1차측에 인가하여 2차측에서 약 3 kV, 10 kW의 파워가 발생하는 12위상차 교류전원장치를 개발하여 사용하였다. 모세관 내부에 전압이 인가되면 전류가 발생하여 joule heating에 의하여 모세관 내부에 기포가 형성된다. 이 때, 전류의 단락이 이루어지면서 고전압쪽에 전하가 축적되며 기포내부의 E-field가 상승한다. 이후 기포 내에서 방전이 개시되며 각종 라디칼을 생성한다. 방전에 의해 생성되는 산화제로는 오존, OH라디칼, 과산화수소 등이 있으며, 해수에서는 Cl-의 결합에 의하여 Cl2 가스가 발생한다. 약 30,000 J/L의 체적에너지에 대하여 생성되는 총염소의 농도는 2.5 mg/L이다. 수중방전의 적용대상으로 선박평형수, 멤브레인과의 결합, 용존기포부상법을 선정하여 적용가능성을 연구하였다. 먼저 선박평형수 살균처리를 위해 해수의 처리유량을 20 lpm으로 유지하고 대장균, 바실러스, 조류(테트라셀미스) 등을 투입하여 전극 12개가 삽입된 12위상차 플라즈마 반응기를 통과시켰더니, 약 30,000 J/L의 체적에너지에 대하여 1일 후의 살균력이 각각 99.99, 99.99, 99.9%의 살균력을 나타내었다. 이는 국제해사기구에서 권장하는 살균수준인 99.9%를 초과하는 수치이다. 플라즈마를 이용한 해수살균공정의 안정적 운전을 위해 후단에 UF멤브레인을 추가하여 잔류생존 미생물을 제거할 수 있다. 이를 위해 플라즈마가 후단의 멤브레인 운전에 미치는 영향을 평가하였다. 카올린과 탄산칼슘을 오염원으로 각각 투입하여 멤브레인으로 처리를 하였을 때, 방전 직후 멤브레인에 걸리는 막간압력차가 약 30% 감소하였는데, 이는 막에 형성된 파울링이 방전에 의해 제거된 것으로 평가할 수 있다. 수중방전은 다양한 산화제를 생성함과 동시에 미세기포를 발생시키는데 이는 수중유기물의 부상분리에 적용될 수 있다. 방전모세관전극의 내부직경을 1 mm로 유지하고, 60 Hz, 교류전원으로 방전한 결과 평균입경 44 um의 기포를 발생시켰고, 이는 일반적으로 용존공기부상법에 사용되는 기포의 크기와 일치한다. -
It has been known that, under certain conditions, application of low-temperature atmospheric-pressure plasmas can enhance proliferation of cells. In this study, conditions for optimal cell proliferation were examined for various cells relevant for orthopaedic applications. Plasmas used in our experiments were generated by dielectric barrier discharge (DBD) with a helium flow (of approximately 3 litter/min) into ambient air at atmospheric pressure by a 10 kV~20 kHz power supply. Such plasmas were directly applied to a medium, in which cells of interest were cultured. The cells examined in this study were human synoviocytes, rat mesenchymal stem cells derived from bone marrow or adipose tissue, a mouse osteoblastic cell line (MC3T3-E1), a mouse embryonic mesenchymal cell line (C3H-10T1/2), human osteosarcoma cells (HOS), a mouse myoblast cell line (C2C12), and rat Schwann cells. Since cell proliferation can be enhanced even if the cells are not directly exposed to plasmas but cultured in a medium that is pre-treated by plasma application, it is surmised that long-life free radicals generated in the medium by plasma application stimulate cell proliferation if their densities are appropriate. The level of free radical generation in the medium was examined by dROMs tests and correlation between cell proliferation and oxidative stress was observed. Other applications of plasma medicine in orthopaedics, such as plasma modification of artificial bones and wound healing effects by direct plasma application for mouse models, will be also discussed. The work has been done in collaboration with Prof. H. Yoshikawa and his group members at the School of Medicine, Osaka University.
-
A series of Quasi-Elastic Neutron Scattering (QENS) experiments helps us to understand the single-particle (hydrogen atom) dynamics of a globular protein and its hydration water and strong coupling between them. We also performed Molecular Dynamics (MD) simulations on a realistic model of the hydrated hen-egg Lysozyme powder having two proteins in the periodic box. We found the existence of a Fragile-to-Strong dynamic Crossover (FSC) phenomenon in hydration water around a protein occurring at TL=
$225{\pm}5K$ by analyzing Intermediate Scattering Function (ISF). On lowering of the temperature toward FSC, the structure of hydration water makes a transition from predominantly the High Density Liquid (HDL) form, a more fluid state, to predominantly the Low Density Liquid (LDL) form, a less fluid state, derived from the existence of a liquid?liquid critical point at an elevated pressure. We showed experimentally and confirmed theoretically that this sudden switch in the mobility of the hydration water around a protein triggers the dynamic transition (so-called glass transition) of the protein, at a temperature TD=220 K. Mean Square Displacement (MSD) is the important factor to show that the FSC is the key to the strong coupling between a protein and its hydration water by suggesting TL${\fallingdotseq}$ TD. MD simulations with TIP4P force field for water were performed to understand hydration level dependency of the FSC temperature. We added water molecules to increase hydration level of the protein hydration water, from 0.30, 0.45, 0.60 and 1.00 (1.00 is the bulk water). These confirm the existence of the FSC and the hydration level dependence of the FSC temperature: FSC temperature is decreased upon increasing hydration level. We compared the hydration water around Lysozyme, B-DNA and RNA. Similarity among those suggests that the FSC and this coupling be universal for globular proteins, biopolymers. -
As device dimensions shrink, it is increasingly important to develop fabrication methods that can create sub-15 nm features of regular or arbitrary geometry in a rapid, parallel, and efficient process. This talk will discuss approaches based on self-assembling hybrid polymers containing Si. The thin films of those materials systems can generate well-ordered periodic arrays of dots or lines. For achieving, long-range ordering, it is helpful to use lithographically-defined templates, which are in general much larger than the length-scale of self-assembled nanostructures. For example, the self-assembly of polymer nanostructures can easily be templated using an array of nanoscale topographical elements that act as guiding templates or surrogates for one of two microdomains. The solvent-vapor-induced tunability of pattern dimension and morphology will be discussed as well. Those material systems can excellently serve for high-precision self-assembly that can provide good resolution, reliability, and controllability and be considered as an option for a future nanomanufacturing technology.
-
Molecular self-assembly has several advantages over other nanofabrication methods. Molecular building blocks ensure ultrafine pattern precision, parallel structure formation allows for mass production and a variety of three-dimensional structures are available for fabricating complex structures. Nevertheless, the molecular interaction for self-assembly generally relies on weak forces such as van der Waals force, hydrogen bonding, or hydrophobic interaction. Due to the weak interaction, the structure formation is usually slow and the degree of ordering is low in a self-assembled structure. To promote self-assembly, directed assembly methods employing prepatterned substrates or external fields have been developed and gathered a great deal of technological attention as a next generation nanofabrication process. In this presentation a variety of directed assembly methods for soft nanomaterials including block copolymers, peptides and carbon nanomaterials will be introduced. Block copolymers are representative self-assembling materials extensively utilized in nanofabrication. In contrast to colloid assembly or anodized metal oxides, various shapes of nanostructures, including lines or interconnected networks, can be generated with a precise tunability over their shape and size. Applying prepatterned substrates
$^{1,2}$ or introducing thickness modulation$^3$ to block copolymer thin films allowed for the control over the orientational and positional orderings of self-assembled structures. The nanofabrication processes for metals, semiconductors$^4$ , carbon nanotubes$^{5,6}$ , and graphene$^{6,7}$ templating block copolymer self-assembly will be presented. -
Self-assembled monolayers (SAMs) prepared by sulfur-containing organic molecules on metal surfaces have drawn much attention for more than two decades because of their technological applications in wetting, chemical and biosensors, molecular recognition, nanolithography, and molecular electronics. In this talk, we will present self-assembly mechanism and two-dimensional (2D) structures of various organic thiol SAMs on Au(111), which are mainly demonstrated by molecular-scale scanning tunneling microscopy (STM) observation. In addition, we will provide some idea how to control 2D molecular arrangements of organic SAMs. For instance, the formation and surface structure of pentafluorobenzenethiols (PFBT) self-assembled monolayers (SAMs) on Au(111) formed from various experimental conditions were examined by means of STM. Although it is well known that PFBT molecules on metal surfaces do not form ordered SAMs, we clearly revealed for the first time that adsorption of PFBT on Au(111) at
$75^{\circ}C$ for 2 h yields long-range, well-ordered self-assembled monolayers having a$(2{\times}5\sqrt{13})R30^{\circ}$ superlattice. Benzenethiols (BT) SAMs on gold usually have disordered phases, however, we have clearly demonstrated that the displacement of preadsorbed cyclohexanethiol self-assembled monolayers (SAMs) on Au(111) by BT molecules can be a successful approach to obtain BT SAMs with long-range ordered domains. Our results will provide new insight into controlling the structural order of BT or PFBT SAMs, which will be very useful in precisely tailoring the interface properties of metal surfaces in electronic devices. -
For the design of real applicable molecular devices, current-voltage properties through molecular nanostructures such as metal-molecule-metal junctions (molecular junctions) have been studied extensively. In thiolate monolayers on the gold electrode, the chemical bonding of sulfur to gold and the van der Waals interactions between the alkyl chains of neighboring molecules are important factors in the formation of well-defined monolayers and in the control of the electron transport rate. Charge transport through the molecular junctions depends significantly on the energy levels of molecules relative to the Fermi levels of the contacts and the electronic structure of the molecule. It is important to understand the interfacial electron transport in accordance with the increased film thickness of alkyl chains that are known as an insulating layer, but are required for molecular device fabrication. Thiol-tethered RuII terpyridine complexes were synthesized for a voltage-driven molecular switch and used to understand the switch-on mechanism of the molecular switches of single metal complexes in the solid-state molecular junction in a vacuum. Electrochemical voltammetry and current-voltage (I-V) characteristics are measured to elucidate electron transport processes in the bistable conducting states of single molecular junctions of a molecular switch, Ru(II) terpyridine complexes. (1) On the basis of the Ru-centered electrochemical reaction data, the electron transport rate increases in the mixed self-assembled monolayer (SAM) of Ru(II) terpyridine complexes, indicating strong electronic coupling between the redox center and the substrate, along the molecules. (2) In a low-conducting state before switch-on, I-V characteristics are fitted to a direct tunneling model, and the estimated tunneling decay constant across the Ru(II) terpyridine complex is found to be smaller than that of alkanethiol. (3) The threshold voltages for the switch-on from low- to high-conducting states are identical, corresponding to the electron affinity of the molecules. (4) A high-conducting state after switch-on remains in the reverse voltage sweep, and a linear relationship of the current to the voltage is obtained. These results reveal electron transport paths via the redox centers of the Ru(II) terpyridine complexes, a molecular switch.
-
Sin, Yong-Hyeon;Hong, Seung-Su;Im, In-Tae;Seong, Dae-Jin;Im, Jong-Yeon;Kim, Jin-Tae;Kim, Jeong-Hyeong;Gang, Sang-U;Yun, Ju-Yeong;Yu, Sin-Jae 28
우리나라의 주력산업인 반도체 및 디스플레이의 경우 그 생산 설비의 1/3이상이 진공 장비이며 진공 공정을 통해 만들어진다. 이들 산업 분야에서는 우리나라가 세계 최고의 생산 기술을 가지고 있으므로 자체적인 기술 개발 확보가 중요하다. 최근에는 기존에 개발되어 있는 장비의 성능을 뛰어넘어야 하는 공정 기술력이 요구되면서, 진공 공정 기술 개발이 매우 중요한 이슈가 되었다. 반도체나 디스플레이 산업 등 기존 주력산업의 전후방 산업의 경쟁력 강화 측면에서뿐 아니라 태양전지, LED 등 진공기술을 이용한 신성장 동력 산업의 생산 시스템 경쟁력 확보 측면에서도 진공 공정 기술 개발 중요성은 매우 크다. 지금까지 양산에 적용되는 증착, 식각, 확산 등 진공 공정 운영은, 사전 시험을 통해 얻은 최적 공정의 입력 파라미터들을 정해 놓고 그대로 공정을 진행한 뒤, 생산되어 나오는 제품의 상태를 사후 측정하여 공정 이상 여부를 점검하고 미세 조정하는 형태로 진행되고 있다. 실질적으로 현재 진행 중인 진공 공정에 대한 직접적인 정보가 없으므로 공정 중 발생되는 문제들에 대한 대처는 그 공정이 끝난 후에 이루어지는 상황이다. 공정 미세화 및 대구경화에 따라 기존의 wafer to wafer 제어 개념 보다 발전된 개념으로 센서 기반 실시간 공정 진단 제어 기술의 필요성이 대두되었으며 이를 위한 오류 인식 및 예지기술 (Fault Detection & Classification, FDC) 그리고 이 정보를 이용한 첨단 제어 기술(Advanced Process Control, APC)을 개발하는 노력들이 시작되었다. 한국표준과학연구원에서는 수요기업인 대기업과 장비업체, 센서 개발 중소기업 및 학교 연구소와 공동으로 진공 공정 실시간 측정 진단 제어와 관련된 연구를 하고 있다. 진공 공정 환경측정 기술, 플라즈마 상태 측정 기술, 진공 공정 중 발생하는 오염입자 측정 원천 기술 개발과 이를 구현하기 위한 센서 개발, 화학 증착 소스 및 진공 공정 부품용 소재에 대한 평가 플랫폼 구축, 배기 시스템 진단기술 개발 등 현재 진행되고 있는 기술 개발 내용과 동향을 소개한다. 진공 공정 실시간 측정 기술이 확보되면 차세대 반도체 제작에 필요한 정밀 공정 제어가 가능해지고, 공정 이상에 바로 대응 혹은 예방 할 수 있으며, 여유분으로 필요 이상으로 투입되던 자원(대기시간, 투입 재료, 대체용 장비)을 절감하는 등 생산성을 향상을 기대할 수 있다. 또한 진공 환경에서 이루어지는 박막 증착, 식각 공정 과정에 대한 이해가 높아지고, 공정을 개발하고 최적화하는데 유용한 정보를 제공할 수 있으므로, 기존 장비와 차별화된 경쟁력을 가진 고품위 진공 장비 및 부품 개발에 기여할 수 있을 것으로 기대하고 있다. -
플라즈마 진단법은 플라즈마를 분석 및 이해하는데 매우 중요하다. 최근 플라즈마 쉬스의 비선형성을 이용한 고조화파 분석법이 개발되었다. 플라즈마 쉬스에 정현 전압을 인가하면, 쉬스의 비선형성 때문에 고조화 전류들이 발생하게 되는데, 이 고조파 전류들을 분석하면 플라즈마밀도와 전자 온도를 측정할 수 있다. 이 방법은 실시간 또는 고속으로 플라즈마 측정이 가능하고, 부도체 탐침을 사용할 수 있기 때문에, 식각 또는 증착 플라즈마에서는 측정이 가능한 장점이 있다. 본 발표에서는 진단법의 원리와 공정 플라즈마 장비에서 진단 결과들을 소개하고자 한다.
-
The wave-cutoff tool is a new diagnostic method to measure electron density and electron temperature. Most of the plasma diagnostic tools have the disadvantage that their application to processing plasma where toxic and reactive gases are used gives rise to many problems such as contamination, perturbation, precision of measurement, and so on. We can minimize these problems by using the wave-cutoff method. Here, we will present the results obtained through the development of the wave-cutoff diagnostic method. The frequency spectrum characteristics of the wave-cutoff probe will be obtained experimentally and analyzed through the microwave field simulation by using the CST-MW studio simulator. The plasma parameters are measured with the wave-cutoff method in various discharge conditions and its results will be compared with the results of Langmuir probe. Another disadvantage is that other diagnostic methods spend a long time (~ a few seconds) to measure plasma parameters. In this presentation, a fast measurement method will be also introduced. The wave-cutoff probe system consists of two antennas and a network analyzer. The network analyzer provides the transmission spectrum and the reflection spectrum by frequency sweeping. The plasma parameters such as electron density and electron temperature are obtained through these spectra. The frequency sweeping time, the time resolution of the wave-cutoff method, is about 1 second. A short pulse with a broad band spectrum of a few GHz is used with an oscilloscope to acquire the spectra data in a short time. The data acquisition time can be reduced with this method. Here, the plasma parameter measurement methods, Langmuir probe, pulsed wave-cutoff method and frequency sweeping wave-cutoff method, are compared. The measurement results are well matched. The real time resolution is less than 1 ?sec. The pulsed wave-cutoff technique is found to be very useful in the transient plasmas such as pulsed plasma and tokamak edge plasma.
-
식각, 증착 등의 플라즈마 활용 공정에서 공정 결과들이 예상치 못한 편차를 보이거나 시간에 따른 공정 결과의 드리프트가 발생하는 등의 문제는 공정 수율 향상 뿐 아니라 공정 결과 생산하게 되는 제품의 성능을 결정짓는다는 점에서 중요하다. 그 결과 공정의 이상이 발생 되는 것을 감지하기 위한 다양한 장치 및 알고리즘들이 등장하고 있으나, 현재 공정 상태 변화를 진단하는 것은 공정 장치에서 발생된 신호 변동을 통계적으로 처리하는 수준에 머무르거나 플라즈마 인자들의 값 자체를 진단하는 정도에 그치고 있다. 본 연구에서는, 향후 물리적 해석을 기반으로 한 공정 진단을 위한 알고리즘을 세우는 것을 목표로 하여 공정 결과에 민감하게 영향을 주는 플라즈마 내부 전자의 열평형 상태의 미세한 변동을 감지하고 이를 통하여 공정 결과에 영향을 주게 되는 장치 내 물리적, 화학적 반응들의 변동 메커니즘을 이해하고자 하였다. 외부에서 감지하기 힘들기 때문에 장치 상태에 변동이 없는 것으로 보이지만 실제로는 변동하고 있는 플라즈마의 미세한 상태 변화를 보여줄 수 있는 물리 인자로는 잦은 충돌로 인하여 빠르게 변동에 대응할 수 있는 전자들의 열평형 특성을 살펴보는 것이 적합하다고 판단하여 광신호를 통해 전자 에너지 분포함수를 진단할 수 있는 모델을 수립하였다. 이 모델의 적용 결과를 활용하면 전자들의 열평형이 주변 가스 종의 반응율 변동에 주게 되는 영향을 해석할 수 있다. 실제로 ICP-Oxide Etcher 장치에서 장치 내벽 오염물질 유입 및 공정 부산물의 장치 내 잔여로 인하여 식각율로 표현되는 공정 결과에 최대 6%의 편차가 발생하게 되는 메커니즘을 해석할 수 있었다.
-
Plasma etching is used in microelectronic processing for patterning of micro- and nano-scale devices. Commonly, optical emission spectroscopy (OES) is widely used for real-time endpoint detection for plasma etching. However, if the viewport for optical-emission monitoring becomes blurred by polymer film due to prolonged use of the etching system, optical-emission monitoring becomes impossible. In addition, when the exposed area ratio on the wafer is small, changes in the optical emission are so slight that it is almost impossible to detect the endpoint of etching. For this reason, as a simple method of detecting variations in plasma without contamination of the reaction chamber at low cost, a method of measuring plasma impedance is being examined. The object in this research is to investigate the suitability of using plasma impedance monitoring (PIM) with statistical approach for real-time endpoint detection of
$SiO_2$ etching. The endpoint was determined by impedance signal variation from I-V monitor (VI probe). However, the signal variation at the endpoint is too weak to determine endpoint when$SiO_2$ film on Si wafer is etched by fluorocarbon plasma on inductive coupled plasma (ICP) etcher. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance analysis is compared with optical emission spectroscopy (OES). From impedance data, we tried to analyze physical properties of plasma, and real-time endpoint detection can be achieved. -
반도체 산업기술이 발달함에 따라 고청정 공정 환경이 요구되고 있으며, 반도체 공정용 장비에 이용되는 부품 중 양극산화피막법(Anodizing)으로 피막을 성장시킨 anodic aluminum oxide (AAO)부품은 플라즈마에 의해 화학적, 물리적 침식이 발생하여 코팅막과 모재에 손상을 일으키며 코팅막이 깨지거나 박리되면서 다량의 Particle이 생성됨으로써 공정상의 여러 가지 문제를 야기 시킨다고 알려져 있다. 하지만 코팅막을 평가하는 방법은 거의 전무하며 기본물성 측정방법인 피막두께, 내전압, 임피던스, 내식성 측정방법을 통하여 여러 기본물성측정방법으로 부품의 평가기술을 연구하였다. 본 연구에서는 이러한 진공 부품의 하나인 anodic aluminum oxide (AAO)부품샘플을 누설전류 및 내전압 측정하여 샘플의 전기적 특성을 측정하였고, 표면 미세구조의 변화를 관찰하였다. 부식실험으로는 HCl 가스를 발생시켜 부식정도를 알아봤으며, 부식처리와 플라즈마 처리 모두 코팅 막의 손상과 전기적 특성의 감소를 보였다. 진공장비 전극 부품평가의 유익한 평가 항목으로서 플라즈마 데미지를 주는 도중에 실시간으로 부품평가에 따른 Particle을 측정함으로써 ISPM 장비를 이용하여 진공 장비용 코팅부품이 플라즈마공정에서 발생하는 오염입자를 측정할 수 있는 방법을 연구하였다. 이러한 결과를 이용하여 진공공정에서 사용되는 코팅부품이 플라즈마에 의한 손상정도를 정량화 하고 평가방법을 개발하여 진공장비용 공정 중 실시간으로 부품의 성능평가가 가능하고 코팅부품 신뢰성 향상이 가능할 것으로 본다.
-
본 논문에서는 반도체의 제조공정 중 작동되는 건식 전공펌프에서 측정한 다중변수들의 통계적, 물리적 특성을 소개한다. 흡기부 및 배기부 압력과 부스터/드라이펌프의 소비전류와 같은 상태변수의 변위 분포는 2개 이상의 특징적인 구간으로 나뉘는 특성을 가지고 있다. 특히 흡기부 압력 데이터는 펌프의 성능상태를 직접적으로 나타내는 배기 속도를 유추할 수 있는 특성을 내포하고 있다. 이러한 관측을 통해 발견한 통계학적 특성을 나타내기 위해 적응형 인자모델(APM)을 이용한 진공펌프 시스템의 실시간 진단 기법을 개발하였다. 동시에 공정 중에 배기속도를 유추 할 수 있는 배기속도지표(PSI)를 제안하여 펌프의 성능 상태를 간접적인 방법으로 관찰하는 기법을 개발하여, 두 기법을 통한 진공펌프 시스템의 상태변화 진단 결과의 경향이 동일함을 확인하였다.
-
Mun, Ji-Hun;Yun, Jin-Uk;Jeong, Hyeok;Gwon, Yong-Taek;Gang, Sang-U;Yun, Ju-Yeong;Sin, Yong-Hyeon;Kim, Tae-Seong 35
반도체 공정 및 디스플레이 공정에서 발생하는 오염입자는 공정 불량을 일으키는 가장 큰 원인 중의 하나이며, 수십 나노에서 수 백 나노의 크기를 갖는다. 최근 디스플레이 및 반도체 산업이 발전함에 따라 회로의 선폭이 점차 감소하고 있으며 오염입자의 임계 직경(critical diameter) 또한 작아지고 있다. 현재 반도체 및 디스플레이 산업에서 사용되는 측정방법은 레이저를 이용하여 공정 후 표면에 남아있는 오염입자를 측정하는 ex-situ 방법이 주를 이루고 있다. Ex-situ 방법을 이용한 오염입자의 제어는 웨이퍼 전체를 측정할 수 없을 뿐만 아니라 실시간 측정이 불가능하기 때문에 공정 모니터링 장비로 사용이 어려우며 오염입자와 공정 간의 상관관계 파악에도 많은 제약이 따르게 된다. 이에 따라 저압에서 in-situ 방법을 이용한 실시간 오염입자 측정 기술 개발이 요구되고 있다. 본 연구에서는 저압 환경에서 실시간으로 입자를 모니터링 할 수 있는 장비를 입자의 광 산란 원리를 이용하여 개발하였으며, 산란 신호를 입자크기로 변환하는 신호 분석 알고리즘 연구를 수행하였다. 빛이 입자와 충돌하게 되면 산란 및 흡수 현상이 발생하게 되는데 이 때 발생하는 산란 및 흡수량과 입자 크기와의 연관성이 Gustav Mie에 의해서 밝혀졌으며, 현재까지 광을 이용한 입자 크기 분석 장치의 기본 원리로 사용되고 있다. 하지만, Mie 이론은 단일입자가 일정한 강도를 가진 광을 통과할 경우인 이상적인 조건에서 적용이 가능하고 실제 조건에서는 광이 가우시안 분포를 가지며 광 집속에 의해서 광 강도가 위치에 따라 변하기 때문에 이러한 조건을 가지는 광을 입자가 통과할 때 발생하는 산란량은 단순히 Mie 이론에 의해서 계산하는 것이 불가능 하다. 본 연구에서는 이러한 현상을 입자 측정의 불확정성 이라고 규정하고 입자가 특정한 위치를 통과할 확률을 이용하여 신호를 분석하는 알고리즘을 개발 및 연구를 수행하였다. -
반도체 공정 신호는 주기 신호와 비주기 신호로 구분된다. 특정 패턴을 가지는 주기 신호는 해당 파라미터(parameter)에 대해서 패턴 매칭을 수행하여 관리하는 연구가 진행되고 있다. 반면 비주기 신호 데이터의 경우에는 패턴 매칭 방법을 수행할 수 없다. 또한 반도체 공정에서 얻을 수 있는 두 개 타입의 데이터는 그 파라미터가 방대하기 때문에 현재 실제 공정에 적용되고 있는 방식인 각각 하나의 파라미터에 대해 관리도(control chart)를 구성해 관리하는 것은 많은 비용과 시간의 낭비를 초래한다. 따라서 두 타입 데이터의 여러 개의 파라미터를 동시에 관측할 수 있고 파라미터간의 내재된 상관관계를 고려할 수 있는 장점을 가진 분석 기법에 대한 연구가 필요하다. 주기 신호의 이상탐지를 위한 기존 연구는 신호를 구간으로 나누어 구간별로 SPC 차트적용 시키는 방법, 각 시점 마다 측정되는 값을 하나의 변수로 고려하여 Hotelling's T square, PCA, PLS 등과 같은 다변량 통계 분석을 적용 시키는 방법들이 제시되어 왔다. 이러한 방법들은 다양한 특성을 가지는 주기신호를 분석하고 이상을 탐지 하는데 많은 한계점을 가진다. 이에 본 논문은 다양한 형태를 가지는 신호의 특성을 반영하여 자기구상지도를 기반으로 신호의 분류와 공정의 이상을 탐지하는 기법을 제안한다. 제안하는 기법은 자기구상지도를 이용하여 복잡한(고차원, 시계열) 신호를 2차원 상의 노드로 맵핑시킴으로써 신호의 특질(feature)을 추출하고 새로 표현된 신호의 특질을 기반으로 Logistic regression을 적용시켜 이상을 탐지 한다. 다양한 이상 상황을 가진 반도체 공정 신호를 사용하여 제안한 이상탐지 성능을 평가하였다.
-
Jeon, Gi-Mun;Sin, Jae-Su;Yun, Ju-Yeong;Kim, Jin-Tae;Sin, Yong-Hyeon;Im, Jong-Yeon;Gang, Sang-U 37
반도체 칩의 빠른 성장에는 Si을 기본으로 한 산화막 또는 질화막 재료의 공헌이 크다. 하나의 반도체 소자를 만드는 데 있어, 산화막(SiO2)과 질화막(Si3N4)은 각각 다양한 두께와 다양한 방법으로 제조되고 있기 때문이다. 또한 차세대 소자제작을 위해 사용되는 미세 patterning 방법으로 dual patterning 방법을 사용하는데 이는 ALD나 CVD를 이용한 저온 SiO2 증착공정을 기반으로 하고 있다. 이러한 Si 기반 소재 개발이나 공정개발을 위해 많은 Si 전구체가 개발되어지고 있지만 적합한 전구체를 선별하기는 어려운 실정이다. 본 연구에서는 FT-IR (Fourier transform-infrared)을 이용하여 개발된 전구체의 기상안전성 및 반응성을 실시간으로 진단하여 기존의 전구체와의 차별성을 확인하고 우수한 전구체를 선별하기 위한 연구를 진행하였다. 이를 위해 특별히 제작된 가스셀을 사용하여 열 및 플라즈마 상태변화에서의 분자상태 변형을 진단하였다. -
Covalently bonded halogen ligands possess unusual charge distributions, attracting both electrophilic and nucleophilic molecular ligands to form halogen bonds. In many biochemical systems, halogen bonds and hydrogen bonds coexist. The interplay between halogen and hydrogen bonds has been actively studied in various three-dimensional bulk molecular co-crystals. It was found that halogen bonds could be complementary to hydrogen bonds due to their similar bond strength and dissimilar directionality. In those ensemble-averaging approaches, however, it was not possible to extract local information such as individual bond configurations and nano-level domain structures, which is a crucial part of supramolecular studies. In this study, we directly visualize the individual molecular configuration of a brominated molecule and the role of halogen bonds on Au(111) using scanning tunneling microscopy. The precise arrangement of observed molecular structures was reproduced by first-principle studies and explained in the context of halogen and hydrogen bonds. We discuss the distances and the strengths of the observed halogen bonds and hydrogen bonds, which are consistent with previous bulk data.
-
Choe, Hu-Mi;Kim, Ho-Jung;Yun, Deok-Ju;Lee, Jong-U;Gang, Bong-Gyun;Kim, Min-Su;Park, Jin-Gu;Kim, Tae-Seong 39
반도체 생산의 주요 공정 중 하나인 세정 공정은 공정 중 발생하는 여러 가지 부산물에 의한오염을 효과적으로 제거하여 수율 향상에 큰 영향을 미친다. 현재 주로 쓰이는 세정 공정은 습식 세정 공정으로 화학 약품을 이용하지만 패턴 손상 및 웨이퍼 대구경화에 따른 문제 등이 대두되어 이를 대체할 세정 공정의 도입이 요구되고 있다. 이에 따라 건식 세정에 대한 관심이 증가하고 있으며 에어로졸 세정이 대표적 공정으로 개발 되었으나 마이크로 단위의 발생 에어로졸 입경으로 인해 패턴 손상 문제를 해결하지 못하였다. 이러한 문제점을 극복하기 위하여 응축에 의해 형성되는 입자 크기를 줄이는 것에 관한 연구가 진행되어 왔고, 대응 방안으로 개발된 것이 가스 클러스터 세정이다. 가스 클러스터란 작동 기체의 분자가 수십, 수백 개 뭉쳐있는 형태 (cluster)를 뜻하며 이 때 형성된 클러스터는 수 nm 크기를 가진다. 그리고 짧은 시간의 응축에 의해 수십 nm 크기까지 성장하게 된다. 즉, 입자로 성장할 수 있는 시간과 환경을 형성하지 않음으로써 작은 크기의 클러스터에 의해 패턴 사이의 오염물질을 물리적으로 제거하고 다시 기체상 물질로 환원되어 부산물을 남기지 않는 공정이다. 이러한 작동 환경을 조성하기 위해서는 진공도와 노즐 출구 속도에 대한 설계 단계부터의 이론적 연구를 통한 입자 크기 예측과 세정 조건에 따라서 발생하는 클러스터의 크기 분포 특성을 측정하는 것이 필수적이다. 따라서 본 연구에서는 실시간 저압 환경에서의 측정이 가능하며, 다양한 크기의 입자를 실시간으로 측정할 수 있는 particle beam mass spectrometer (PBMS)를 이용하여 세정 공정 중 발생하는 클러스터의 크기 분포를 측정하는 연구를 수행하였다. 클러스터의 측정은 노즐에 유입되는 유량과 냉매 온도를 변수로 하여 수행하였다. 각각의 조건에 따라서 최빈값은 오차범위 내에서 일정한 것을 확인하였으며, 50 nm 이하의 값으로 가스 클러스터 공정이 패턴 손상 없이 오염입자를 제거할 수 있음을 실험적으로 확인할 수 있었다. 또한 유량의 증가에 따라 세정에 사용되는 클러스터의 입경이 증가하며, 냉매 온도가 낮아질수록 클러스터 입경이 증가하는 경향을 확인할 수 있었다. 클러스터 크기는 오염 입자와의 충돌에 의해 작용하는 힘으로 오염입자를 제거하는 메커니즘을 사용하는 가스 클러스터 세정 장치에 있어 중요성이 크다 할 수 있으며 추후 지속적 연구에 의한 세정 기술의 최적화가 기대된다. -
Kim, Ho-Jung;Choe, Hu-Mi;Yun, Deok-Ju;Lee, Jong-U;Gang, Bong-Gyun;Kim, Min-Su;Park, Jin-Gu;Kim, Tae-Seong 40
반도체 소자의 미세화와 더불어 세정공정의 중요성이 차지하는 비중이 점점 커지고, 이에 따라 세정 기술 개발에 대한 요구가 증대되고 있다. 기존 세정 기술은 화학약품 위주의 습식 세정 방식으로 패턴 손상 및 대구경화에 따른 어려움이 있다. 따라서 건식세정 방식이 활발하게 도입되고 있으며 대표적인 것이 에어로졸 세정이다. 에어로졸 세정은 기체상의 작동기체를 이용하여 에어로졸을 형성하고 표면 오염물질과 직접 물리적 충돌을 함으로써 세정한다. 하지만 이 또한 생성되는 에어로졸 내 발생 입자로 인해 패턴 손상이 발생하며 이러한 문제점을 극복하기 위하여 대두되는 것이 가스클러스터 세정이다. 가스 클러스터란 작동기체의 분자가 수십에서 수백 개 뭉쳐 있는 형태를 뜻하며 이렇게 형성된 클러스터는 수 nm 크기를 형성하게 된다. 그리고 짧은 시간의 응축에 의해 수십 nm 크기까지 성장하게 된다. 에어로졸 세정과 다르게 클러스터가 성장할 환경과 시간을 형성하지 않음으로써 작은 클러스터를 형성하게 되며 이로 인해 패턴 손상 없이 오염입자를 제거하게 된다. 이러한 가스 클러스터 세정을 최적화하기 위해서는 설계 단계부터 노즐 내부 유동의 수치해석에 기반한 입자 크기 분포를 계산하여 반영하는 것이 필요하다. 따라서 본 연구에서는 상용 수치해석 프로그램을 이용하여 세정 환경을 조성하는 조건에서의 노즐 내부 유동을 해석하고, 이를 통해 얻어진 수치를 이용하여 aerosol general dynamic equation (GDE)를 계산하여 발생하는 클러스터의 크기 분포를 예측하였다. GDE 계산 시 입자의 크기 분포를 나타내기 위해서는 여러 가지 방법이 존재하나 본 연구에서는 각 입자 크기 노드별 개수 농도를 계산하였다. 노즐 출구에서의 가스 클러스터 크기를 예측하기 위하여 먼저, 노즐 내부 유속 및 온도 분포 변화를 해석하였다. 이를 통하여 온도가 급격하게 낮아져 생성된 클러스터의 효과적 가속 및 에너지 전달이 가능함을 확인할수 있었다. 이에 기반하여 GDE를 이용한 입자 크기를 예측한 결과 수 나노 크기의 초기 클러스터가 형성되어 온도가 낮아짐에 따라 성장하는 것을 확인할 수 있었으며, 최빈값의 분포가 실험적 측정값과 일치하는 경향을 가지는 것을 볼 수 있었다. 이는 향후 확장된 영역에서의 유동 해석과 증발 등 세부 요소를 고려한 계산을 통해 가스 클러스터 세정 공정의 최적화된 설계에 도움이 될 것이다. -
Flash memory에서 tunnel oxide film은 electron tunnelling 현상을 이용하여 gate에 전하를 전달하는 통로로 사용되고 있다. 특히, tunnel oxide film 내부의 charge trap 현상과 불순물이 소자 특성에 직접적인 영향을 주고 있어, 후속 N2O/NO 열처리 공정에서 SiO2/Si 계면에 nitrogen을 주입하여 tunnel oxide film 특성을 개선하고 있다. 따라서 N2O/NO 열처리 공정 최적화를 위해서는 tunnel oxide film 내 N 농도와 분포에 대한 정확한 평가가 필수적이다[1]. 본 실험에서는 low energy magnetic SIMS를 이용하여 N2O로 열처리된 tunnel oxide film 내의 N농도를 보다 정확하게 평가하고자 하였다. 사용된 시료는 Si substrate에 oxidation 이후 N2O 열처리를 진행하여 tunnel oxide를 형성시켰으며, 분석 impact energy는 surface effect최소화와 최상의 depth resolution 확보를 위해 250eV를 사용하였으며, matrix effect와 mass interference를 방지하기 위해 MCs+ cluster mode[2]로 CsN signal를 검출하였다. 실험 결과, 특정 primary beam 입사각도에서 nitrogen depth resolution 저하 현상이 발생하였고, SIMS crater 표면이 매우 거칠게 나타났다. 이에, Depth resolution 저하 현상을 개선하기 위해 극한의 glancing 입사각 조건으로 secondary extraction voltage 변화를 통해 depth resolution이 개선되는 최적의 impact energy와 primary beam 입사각 조건을 확보하였다. 그 결과 nitrogen의 depth resolution은 1.6nm의 depth resolution을 확보하였으며, 보다 정확한 N 농도와 분포를 평가할 수 있게 되었다.
-
Jeong, Yong-U;Hwang, Sun-Yong;Mangesh, S.D.;Gong, Tae-Ho;Kim, Yeong-Dong;Sin, Sang-Hun;Song, Jin-Dong 42
본 연구에서는 광학소자에 폭넓게 이용되는 AlGaP III-V족 화합물 반도체 중에서 한쪽 끝 이 종화합물인 AlP의 유전함수를 0.75~5.05 eV의 에너지 영역에서 타원편광분석법을 이용하여 분석하였다. AlP는 산소와 급격히 반응하기 때문에, 대기 중에서 물질 고유의 광특성이 유지되기 어려울 뿐만 아니라, 박막 위에 생성되는 산화막 때문에 순수한 AlP의 유전함수 측정이 불가능 하다. 본 연구에서는 물질의 유전함수에 미치는 산화 효과를 최소화하기 위하여 Molecular Beam Epitaxy로 성장한$1.0{\mu}m$ 두께의 AlP 박막을 초고진공 상태의 chamber 안에서 타원편광분석기를 이용하여 실시간으로 측정하였다. 박막의 투명도에 의해 나타나는 간섭 pattern과 표면거칠기 효과로 인한 유전함수의 왜곡을 보정하기 위하여 변수화 모델이 이용되었으며 다층 변수화모델 계산을 통하여 순수한 AlP의 유전함수를 얻어낼 수 있었다. 본 연구에서 측정된 순수한 AlP의 유전함수는 타원편광분석기를 이용한 최초의 실험결과로서 이차미분을 이용한 전이점 (Critical Point) 분석결과 이론적인 electronic band structure에서$E_1$ ,$E_1+_{{\Delta}_1}$ ,$E_2$ 에 해당하는 전이점들을 확인할 수 있었다. -
BN(Boron Nitride)은 온도와 압력 조건에 따라 안정한 상이 sp3 결합인 cubic 구조의 BN(cBN)과 sp2 결합인 hexagonal 구조의 BN(hBN or tBN)으로 나뉘는데, 이 중 cBN은 우수한 기계적, 물리적, 화학적 특성으로 인해 박막 분야에서 매우 높은 응용가능성을 지니고 있다. 하지만 cBN 박막의 합성과정에서의 필수적인 요소인 높은 압축잔류응력은 cBN을 응용분야에 적용하는데 있어 한계점으로 계속 남아 있었다. 그동안 이러한 잔류응력을 감소시키기 위해 열처리, 이온 주입, 제 3의 물질 첨가 등 다양한 관점에서 접근한 연구들이 진행되어 왔다. 본 연구에서는 cBN 합성과정에서 잔류응력을 감소시키기 위한 방법으로 수소를 첨가하였고, 그에 따른 잔류응력의 변화를 분석하고, 그 과정에서 잔류응력의 형성에 수소가 어떤 역할을 하는지 규명하고자 하였다. cBN 박막은 hBN을 target으로한 unbalanced magnetron sputtering를 사용하여, 실리콘 wafer 위에 합성하였다. 증착압력은 1.3mTorr로, 수소의 첨가량을 증가시키며 잔류응력과 cBN fraction을 관찰하였다. cBN fraction은 FTIR로 분석하였고, 잔류응력은 실리콘 strip의 in-situ 곡률측정법으로 계산하였다. cBN 박막의 조성과 구조 분석, 수소의 역할 규명을 위해 RBS 및 HRTEM을 이용하였다.
-
현존하는 초경도 박막물질 중 입방정 질화붕소(cBN)은 철계 금속과의 반응안정성 및 낮은 온도에서의 합성가능성 등 많은 장점을 가지고 있다. 그러나 필수로 수반되는 이온충돌 효과로 인해 박막 내 높은 잔류응력으로 인한 박리 현상으로 응용이 어려운 실정에 있다. 현재까지 이를 개선하기 위해 수소를 첨가하여 박막의 잔류응력을 줄이는 연구, B4C 타겟을 이용하여 B-C-N 의 gradient layer를 설계하여 점진적으로 잔류응력을 감소시키는 연구 등 많은 연구들이 진행되고 있다. 본 연구에서는 MOCVD로 만들어진 NCD(Nano Crystalline Diamond) buffer layer 위에 RF-UBM(unbalanced magnetron) PVD를 이용하여 BN을 증착시켰다. hBN 타겟을 이용하여 2mTorr에서 400W 의 RF 파워를 사용하여 기판에 RF bias를 인가해 실험하였다. cBN 박막과 기판의 lattice mismatch 를 줄이기 위해서 본 연구소에서 제공되는 NCD 기판을 사용하였으며, 다이아몬드 기판과 cBN 박막의 1:1 에피성장을 이루기 위해 상온에서부터 800도까지 온도 변화를 주어 cBN을 증착시켰다. FTIR(Fourier transform infrared spectroscopy)로
$sp^2$ 구조인 hBN과$sp^3$ 구조인 cBN의 성장유무를 확인하였으며, FTIR peak intensity 차이로 박막내 cBN의 함량을 계산하였고, Scratch test로 박막과 기판사이의 밀착력을 상대적으로 비교하였으며, 격자의 에픽성장을 확인하기 위해 FIB 의 작업을 거쳐 HRTEM 으로 각 위치별로 SAD pattern를 이용하여 성장거동을 확인하였다. -
알루미늄과 그 합금은 내부식성(corrosion resistance)이 좋고, 밀도가 낮아 높은 연료소비 효율을 필요로 하는 항공기와 자동차 같은 운송수단의 내-외장 소재로 사용되고 있다. 또한 알루미늄의 높은 내부식성을 이용하여 철강소재의 부식을 방지하는 보호막으로도 폭 넓게 사용된다. 물리기상증착(physical vapor deposition)으로 알루미늄을 코팅하면 박막 성장 초기단계에서 핵(nucleus)을 형성하고, 형성된 핵을 중심으로 주상 구조(columnar structure)로 박막이 성장하는 것이 일반적으로 알려진 방식이다. 주상 구조의 알루미늄 박막은 주상정과 주상정 사이에 필연적으로 공극(pore)이 존재하게 되어 부식을 일으키는 물질이 박막으로 침투하게 되고, 부식 물질과 모재가 반응하여 공식(pitting corrosion)이 발생한다. 본 연구에서는 스퍼터링(magnetron sputtering)을 이용하여 치밀한 조직을 갖는 알루미늄 박막을 코팅할 수 있는 공정을 개발하고, 치밀한 알루미늄 조직이 내부식성에 어떠한 영향을 미치는지 평가하였다. 기판은 냉연강판(cold rolled steel sheet)이 사용되었으며, 알루미늄 타겟의 순도는 99.999%, 크기는 직경 4"이었다. 냉연강판은 진공용기(vacuum chamber)에 장착하기 전에 계면활성제를 이용하여 표면에 존재하는 기름성분을 제거하였으며, 진공용기에 장착한 후에는 아르곤 가스를 이용하여 발생시킨 글로우 방전으로 표면에 존재하는 산화물을 제거하였다. 알루미늄 박막의 조직에 영향을 미치는 공정변수를 확인하기 위해서 스퍼터링 파워, 공정 온도, 공정 압력, 외부 자기장 세기 등의 공정 조건을 변화시켜 코팅을 실시하였다. 실험을 통해서 얻어진 최적 조건으로 알루미늄을 코팅할 경우, 알루미늄 bulk의 밀도와 비교하여 약 94.7%의 밀도를 갖는 알루미늄 박막을 코팅할 수 있었다. 알루미늄 박막이 약 3
${\mu}$ m의 두께로 코팅된 냉연강판의 내부식성 평가(salt spray test, 5% NaCl) 결과, 평가를 시작한 후 72시간 후에도 적청이 발생하지 않았다. -
본 논문에서는 전세계적으로 차세대 화합물반도체 플랫폼으로 각광을 받고 있는 GaN 전력소자의 연구개발 동향에 관하여 발표하고자 한다. GaN 반도체는 와이드 밴드갭(Eg=3.4eV)과 고온 안정성(
$700^{\circ}C$ )등 재료적인 특징으로 인하여 고출력 RF 전력증폭기와 고전력용 전력반도체 응용에 큰 장점을 가진다. 전반부에서는 미국, 유럽을 중심으로 한 대형 국책 연구프로젝트등 RF 전력증폭기 연구개발 동향을, 후반부에서는 일본, 미국, 유럽에서 급속도로 진행되는 전력반도체 연구개발 동향에 관하여 알아본다. 이러한 총체적인 동향 분석을 통하여 차세대 반도체의 신시장 개척과 선진입을 위한 GaN 반도체의 연구개발 방향과 상용화의 중요성을 함께 생각해보고자 한다. -
Go, Yeong-Ho;Kim, Je-Hyeong;Kim, Ryeo-Hwa;Go, Seok-Min;Gwon, Bong-Jun;Kim, Ju-Seong;Kim, Taek;Jo, Yong-Hun 47
There have been numerous efforts to enhance the efficiency of light-emitting diodes (LEDs) by using low dimensional structures such as quantum dots (QDs), wire (QWRs), and wells (QWs). We demonstrate QD/QWR/QW hybrid structured LEDs by using nano-scaled pyramid structures of GaN with ~260 nm height. Photoluminescence (PL) showed three multi-peak spectra centered at around 535 nm, 600 nm, 665 nm for QWs, QWRs, and QDs, respectively. The QD emission survived at room temperature due to carrier localization, whereas the QW emission diminished from 10 K to 300 K. We confirmed that hybrid LEDs had zero-, one-, and two-dimensional behavior from a temperature-dependent time-resolved PL study. The radiative lifetime of the QDs was nearly constant over the temperature, while that of the QWs increased with increasing temperature, due to low dimensional behavior. Cathodoluminescence revealed spatial distributions of InGaN QDs, QWRs, and QWs on the vertices, edges, and sidewalls, respectively. We investigated the blue-shifted electroluminescence with increasing current due to the band-filling effect. The hybrid LEDs provided broad-band spectra with high internal quantum efficiency, and color-tunability for visible light-emitting sources. -
We have developed a chemically-driven top-down approach using vapor phase HCl to form various GaN nanostructures and successfully demonstrated dislocation-free and strain-relaxed GaN nanostructures without etching damage formed by a selective dissociation method. Our approach overcomes many limitations encountered in previous approaches. There is no need to make a pattern, complicated process, and expensive equipment, but it produces a high-quality nanostructure over a large area at low cost. As far as we know, this is the first time that various types of high-quality GaN nanostructures, such as dot, cone, and rod, could be formed by a chemical method without the use of a mask or pattern, especially on the Ga-polar GaN. It is well known that the Ga-polar GaN is difficult to etch by the common chemical wet etching method because of the chemical stability of GaN. Our chemically driven GaN nanostructures show excellent structure and optical properties. The formed nanostructure had various facets depending on the etching conditions and showed a high crystal quality due to the removal of defects, such as dislocations. These structure properties derived excellent optical performance of the GaN nanostructure. The GaN nanostructure had increased internal and external quantum efficiency due to increased light extraction, reduced strain, and improved crystal quality. The chemically driven GaN nanostructure shows promise in applications such as efficient light-emitting diodes, field emitters, and sensors.
-
실리콘 태양전지에 사용되는 ZnO 박막의 특성은 적외선과 가시광선 영역에서 높은 투과도 (>80%)와 낮은 비저항(<10-2) 외에 산란(scattering)에 의한 빛의 광학적 경로(optical path) 증가로 활성층(active layer)에서의 광 흡수도 증가 및 입사광의 재반사를 방지할 수 있는 표면 형상(morphology)의 제어가 중요하다. 일반적으로 우선 배향성(preferred orientation)이 <0002>방향으로 texturing된 ZnO박막보다 <1120>방향으로 texturing된 박막이 더 우수한 광 산란 효과를 보인다. 따라서, 이 논문에서는 유기화학증착공정으로 증착한 ZnO 박막의 texture 형성에 있어 박막 증착 온도 및 원료로 사용하는 DEZ(Diethylzinc)와 H2O의 상대농도 변화에 따른 texture 방향의 변화에 대해 고찰하였다. 반응기내의 압력을 0.67 torr로고정하고 기판온도를
$90^{\circ}C$ 에서$170^{\circ}C$ 까지$20^{\circ}C$ 간격으로 증가시키고,$120^{\circ}C$ 에서 H2O/DEZ의 비를 0.1에서 4까지 변화시켰다. 기판온도가 증가함에 따라 ZnO박막의 texture 방향은 <0002>에서 <1120> 방향으로 변화하였다. 또한$120^{\circ}C$ 에서 H2O/DEZ 비가 증가함에 따라 ZnO 박막의 texture 방향은 <0002>에서 <1120> 방향으로 변화하였다. 이에 따른 광투과, 광산란 특성과 전기적 특성의 변화를 조사하였다. -
The aluminum nitride films were prepared by RF magnetron sputtering using an AlN ceramic target. The crystallinity, grain size, Al-N bonding and thermal conductivity were investigated in dependence on the plasma power densities (4.93, 7.40, 9.87 W/
$cm^2$ ) during sputtering. High thermal conductivity is important properties of A1N passivation layer for functioning properly in thermal inkjet printhead. The crytallinity, grain size, Al-N bonding formation and chemical composition were observed using X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), fourier transform infrared (FTIR) and X-ray photoelectron spectroscopy (XPS), respectively. The AlN thin film was changed from amorphous to crystalline as the power density was increased, and the largest grain size appeared at medium power density. The near stoichiometry Al-N bonding ratio was acquired at medium power density. So, we know that the AlN thin film had better thermal conductivity with crystalline phase and near stoichometry Al-N bonding ratio at 7.40 W/$cm^2$ power density. -
Jerng, S.K.;Yu, D.S.;Kim, Y.S.;Ryou, Jung-A;Hong, Suk-Lyun;Kim, C.;Yoon, S.;Efetov, D.K.;Kim, P.;Chun, S.H. 51
We have grown nanocrystalline graphite on sapphire substrate by using solid carbon source molecular beam epitaxy. Changes of structure from amorphous carbon to nanocrystalline graphite controlled by the growth temperature have been investigated by Raman spectroscopy. Raman spectra show D, G, and 2D peaks, whose intensities vary on the growth temperature. Atomic force microscopy reveals that the surface is very flat. Sapphire substrates of different cutting direction produce similar results. Simulations suggest that the interaction between carbon and oxygen causes disorders. Electrical transport measurements exhibit a Dirac-like peak, including a carrier type change by an external gate voltage bias. -
A novel deposition process for n-type nanocrystalline silicon (n-type nc-Si) thin films at room temperature has been developed by adopting the neutral beam assisted chemical vapor deposition (NBa-CVD). During formation of n-type nc-Si thin film by the NBa-CVD process with silicon reflector electrode at room temperature, the energetic particles could induce enhance doping efficiency and crystalline phase in polymorphous-Si thin films without additional heating on substrate; The dark conductivity and substrate temperature of P-doped polymorphous~nano crystalline silicon thin films increased with increasing the reflector bias. The NB energy heating substrate(but lower than
$80^{\circ}C$ and increase doping efficiency. This low temperature processed doped nano-crystalline can address key problem in applications from flexible display backplane thin film transistor to flexible solar cell. -
As the dimension of Cu interconnects has continued to reduce, its resistivity is expected to increase at the nanoscale due to increased surface and grain boundary scattering of electrons. To suppress increase of the resistivity in nanoscale interconnects, alloying Cu with other metal elements such as Al, Mn, and Ag is being considered to increase the mean free path of the drifting electrons. The formation of Al alloy with a slight amount of Cu broadly studied in the past. The study of Cu alloy including a very small Al fraction, by contrast, recently began. The formation of Cu-Al alloy is limited in wet chemical bath and was mainly conducted for fundamental studies by sputtering or evaporation system. However, these deposition methods have a limitation in production environment due to poor step coverage in nanoscale Cu metallization. In this work, gap-filling of Cu-Al alloy was conducted by cyclic MOCVD (metal organic chemical vapor deposition), followed by thermal annealing for alloying, which prevented an unwanted chemical reaction between Cu and Al precursors. To achieve filling the Cu-Al alloy into sub-100nm trench without overhang and void formation, furthermore, hydrogen plasma pretreatment of the trench pattern with Ru barrier layer was conducted in order to suppress of Cu nucleation and growth near the entrance area of the nano-scale trench by minimizing adsorption of metal precursors. As a result, superconformal gap-fill of Cu-Al alloy could be achieved successfully in the high aspect ration nanoscale trenches. Examined morphology, microstructure, chemical composition, and electrical properties of superfilled Cu-Al alloy will be discussed in detail.
-
The demand for low-friction, wear and corrosion resistant components, which operate under severe conditions, has directed attentions to advanced surface engineering technologies. The Filtered Vacuum Arc Cathode Deposition (FVACD) process has demonstrated atomically smooth surface at relatively high deposition rates over large surface areas. Preparation of Ti-Si-C-N nanocomposite coatings on (100) Si and stainless steel substrates with tetramethylsilane (TMS) gas pressures to optimize the film preparation conditions. Ti-S-C-N coatings were characterized using X-ray diffraction, X-ray photoelectron spectroscopy, transmission electron microscopy, nanoindentation, Rockwell C indentation and ball-on-disk wear tests. The XRD results have confirmed phase formation information of TiSiCN coatings, which shows mixing of TiN and TiC structure, corresponding to (111), (200) and (220) planes of TiCN. The chemical composition of the film was investigated by XPS core level spectra. The binding energy of the elements present in the films was estimated using XPS measurements and it shows present of elemental information corresponding to Ti2p, N1s, Si 2p and C1. Film hardness and elastic modulus were measured with a nano-indenter, and film hardness reached 40 GPa. Tribological behaviors of the films were evaluated using a ball-on-disk tribometer, and the films demonstrated properties of low-friction and good wear resistance.
-
An, Hyeong-U;Park, Yeong-Uk;O, Cheol;Jang, Gang;Jeong, Jeung-Hyeon;Lee, Su-Yeon;Jeong, Du-Seok;Kim, Dong-Hwan;Jeong, Byeong-Gi 55
질소 등을 GST225 상변화재료에 첨가시켜 비저항을 증가시킴으로서 PCRAM의 동작 전류를 감소시킨 연구가 선행된 바 있다. 본 연구에서는 GST225와 달리 고속 동작 특성을 갖는 것으로 널리 알려진 Ge-doped SbTe (GeST) 상변화 재료에 Carbon을 첨가하여 박막 특성을 연구하여 동작 전류 감소의 가능성을 타진하였다. 실험을 위한 박막 제작을 위해 2 inch size의 GeST 및 C doped GeST (C-GeST) single target을 이용하여 RF magnetron co-sputtering 하였다. 박막은 carbon이 첨가되지 않은 GeST와 carbon 첨가량이 늘어나는 순서로 C-GeST 1, C-GeST 2, C-GeST 3로 구성된다. 이 때 제작한 박막의 composition analysis를 위해 XRF/RBS/AES가 사용되었고 제작된 박막의 기본적인 특성평가를 위해 resistivity(${\rho}$ )와 crystallzation temp.(Cx), surface morphology(AFM), x-ray diffraction pattern(XRD)를 측정하였다. 실험결과 GeST, C-GeST 1, C-GeST 2, C-GeST 3 박막의 Cx는 각각 209, 225, 233,$245^{\circ}C$ 로 측정되어 carbon 첨가량이 증가됨에 따라 결정화 온도가 증가되는 것을 알 수 있었다. 또한${\rho}$ 도 마찬가지로 annealing 온도를 약$320^{\circ}C$ 로 할 경우${\rho}$ (as-dep)와${\rho}$ (crystalline) 모두 0.03 /$2.61*10^{-6}$ , 0.08 /$7.93*10^{-6}$ , 0.09 /$11.99*10^{-6}$ , 0.13 /$13.49*10^{-6}{\Omega}{\cdot}m$ 로 증가하였다. 증가된${\rho}$ 의 원인이 박막의 grain size의 감소라고 단언 할 수는 없으나 AFM 측정결과 grain이라고 추측되는 박막 feature들의 size가 점차 감소하는 것을 확인하였다. -
투명 메모리 소자는 향후 투명 디스플레이 등 투명 전자기기와 집적화해 통합형 투명 전자시스템을 구현을 위해 지속적으로 연구가 진행 되고 있으며, 산학계에서는 다양한 메모리 소자중 큰 밴드-갭(>3 eV) 특성을 가지는 저항 변화 메모리(Resistive Random Access Memory, ReRAM)를 이용한 투명 메모리 구현 가능성을 지속적으로 보고하고 있다. 현재까지의 저항 변화 메모리 연구는 물질 최적화를 위해 다양한 금속-산화물계(Metal-Oxide) 저항 변화 물질에 대한 연구가 활발하게 진행 되고 있지만, 금속-산화물계 물질의 경우 근본 적으로 그 제조 공정상 산소에 의한 다수의 산소 디펙트 형성과 제작 시 쉽게 발생할 수 있는 표면 오염의 문제점을 안고 있으며, 또한 Endurance 및 Retention 등의 신뢰성에 문제를 보이고 있다. 따라서, 이러한 문제점을 근본 적으로 해결하기 위해 새로운 저항 변화 물질에 관한 물질 최적화 연구가 요구 되며, 본 연구진은 다양한 금속-질화물계(Metal-Nitride) 물질을 저항변화 물질로 제안해 연구를 진행 하고 있다. 이전 연구에서, 물질 고유의 우수한 열전도(285 W/(
$m{\cdot}K$ )) 및 절연 특성, 큰 밴드-갭(6.2 eV), 높은 유전율(9)을 가지고 있는 금속-질화물계 박막인 AlN를 저항변화 물질로 이용하여 저항변화 메모리 소자 연구를 진행하였으며, 저전압 고속 동작 특성을 보이는 신뢰성 있는 저항 변화 메모리를 구현하였다. 본 연구에서는 AlN의 큰 밴드-갭 특성을 이용하여 투명 메모리 소자를 구현하기 위한 연구를 진행 하였다. 투과도 실험 결과, 가시광 영역 (380-700 nm)에서 80% 이상의 투과도를 보였으며, 이는 투명 메모리 소자로써의 충분한 가능성을 보여 준다. 또한, I-V 실험에서 전형적인 bipolar 스위칭 특성을 보이며, 스위칭 전압 및 속도는 VSET=3 V/Time=10 ns, VRESET=-2 V/Time=10ns에서 가능하였다. 신뢰성 실험에서, 108번의 endurance 특성 및 105 초의 retention 특성을 보였다. -
III-V족 화합물 반도체의 일종인 InSb는 77 K에서 0.23 eV의 작은 밴드 갭을 가지며 높은 전하 이동도를 가지고 있기 때문에 대기권에서 전자파 흡수가 일어나지 않는 3~5
${\mu}m$ 범위의 장파장 적외선 감지가 가능하여 중적외선 감지 소자로 이용되고 있다. 하지만 InSb는 밴드 갭이 매우 작기 때문에, 소자 제작시 누설전류에 의한 소자 특성의 저하가 문제시 되고 있다. 또한 다른 화합물 반도체에 비해 녹는점이 낮고, 휘발성이 강한 5족 원소인 Sb의 승화로 기판의 화학양론적 조성비(stoichiometry)가 변하기 쉬워, 계면특성 저하의 원인이 된다. 따라서 우수한 특성을 가지는 적외선 소자의 구현을 위해서, 저온에서 계면 특성이 우수한 고품질의 절연막 증착 연구가 필수적이다. 본 연구에서는 InSb 기판 위에$SiO_2$ ,$Si_3N_4$ 의 절연막 형성시 증착온도의 변화에 따른 계면 트랩 밀도를 분석하였다.$SiO_2$ ,$Si_3N_4$ 절연막은 플라즈마 화학 기상 증착법(PECVD)을 이용하여 n형 InSb 기판 위에 증착하였으며, 증착온도를$120^{\circ}C$ 부터$240^{\circ}C$ 까지 변화시켰다. Metal oxide semiconductor(MOS) 구조 제작을 통하여, 커패시턴스-전압(C-V)분석을 진행하였으며, 절연막과 InSb 사이의 계면 트랩 밀도를 Terman method를 이용하여 계산하였다[1]. 또한,$SiO_2$ 와$Si_3N_4$ 의 XPS 분석과 TOF-SIMS 분석을 통하여 계면 트랩 밀도의 원인을 밝혀 보았다.$120{\sim}240^{\circ}C$ 온도 범위에서 계면 트랩 밀도는$Si_3N_4$ 의 경우$2.4{\sim}4.9{\times}10^{12}cm^{-2}eV^{-1}$ ,$SiO_2$ 의 경우$7.1{\sim}7.3{\times}10^{11}cm^{-2}eV^{-1}$ 값을 나타냈고, 두 절연막 모두 증착 온도가 증가할수록 계면 트랩 밀도가 증가하는 경향을 보였다. 그러나 모든 샘플에서$Si_3N_4$ 의 경우, flat band voltage가 음의 전압으로 이동한 반면,$SiO_2$ 의 경우, 양의 전압으로 이동하는 것을 확인할 수 있었다. 계면 트랩 밀도 증가의 원인을 확인하기 위해서, oxide를$120^{\circ}C$ ,$240^{\circ}C$ 에서 증착시킨 샘플을 XPS 분석을 통하여 깊이에 따른 성분분석을 하였고, 그 결과,$240^{\circ}C$ 에서 증착된 샘플에서 계면에서$In_2O_3$ 와$Sb_2O_3$ 피크의 증가를 확인하였다. 이는 계면에서 oxide양이 증가함을 의미하며, 이렇게 생성된 oxide는 계면 트랩으로 작용하므로, 계면 특성을 저하시키는 원인으로 작용함을 알 수 있었다. Nitride 절연막을 증착시킨 샘플은 TOF-SIMS 분석을 통해, 계면에서의 성분 분석을 하였고, 그 결과,$240^{\circ}C$ 에서 증착된 샘플에서 In-N, Sb-N, Si-N 결합의 감소를 확인하였다. 이렇게 분해된 결합들의 dangling 결합이 늘어 계면 트랩으로 작용하므로, 계면 특성을 저하시키는 원인으로 작용함을 알 수 있었다. 최종적으로, 소자특성을 확인 하기 위하여 계면 트랩 밀도가 가장 낮게 측정된$200^{\circ}C$ 조건에서$SiO_2$ 절연막을 증착하여 InSb 적외선 소자를 제작하였다. 전류-전압(I-V) 분석 결과 -0.1 V에서 16 nA의 누설 전류 값을 보였으며,$2.6{\times}10^3{\Omega}cm^2$ 의 RoA(zero bias resistance area)를 얻을 수 있었다. 절연막 증착조건의 최적화를 통하여, InSb 적외선 소자의 특성이 개선됨을 확인할 수 있었다. -
Kim, Yong-Seung;Bansa, Namrata;Edrey, Eliav;Brahlek, Mathew;Horibe, Yoichi;Iida, Keiko;Tanimura, Makoto;Li, Guo-Hong;Feng, Tian;Lee, Hang-Dong;Gustafsson, Torgny;Andrei, Eva;Cheong, Sang-Wook;Oh, Seong-Shik 59
We will report atomically sharp epitaxial growth of$Bi_2Se_3$ three-dimensional topological insulator films on Si(111) substrate with molecular beam epitaxy (MBE). It was achieved by employing two step growth temperatures to prevent any formation of second phase, like as$SiSe_2$ clusters, between$Bi_2Se_3$ and Si substrate at the early stage of growth. The growth rate was determined completely by Bi flux and the Bi:Se flux ratio was kept ~1:15. The second-phase-free atomically sharp interface was verified by RHEED, TEM and XRD. Based on the RHEED analysis, the lattice constant of$Bi_2Se_3$ relaxed to its bulk value during the first quintuple layer implying the absence of strain from the substrate. Single-crystalline XRD peaks of$Bi_2Se_3$ were observed in films as thin as 4 QL. TEM shows full epitaxial structure of$Bi_2Se_3$ film down to the first quintuple layer without any second phases. This growth method was used to grow high quality epitaxial$Bi_2Se_3$ films from 3 QL to 3600 QL. The magneto-transport properties of these thin films show a robust 2D surface state which is thickness independent. -
Giant magnetoresistance (GMR), tunneling magnetoresistance (TMR), and magnetic random-access memory (MRAM) are currently active research areas in spintronics. The high magnetoresistance and the high spin polarization (P) of electrons in the ferromagnetic electrodes of tunnel junction or intermediate layers are required. Magnetite, Fe3O4, is predicted to possess as half-metallic nature, P ~ 100% spin polarization, and has a high Curie temperature (TC~850 K). Experiments demonstrated that the P~(
$80{\pm}5$ )%, ~($60{\pm}5$ )%, and ~40-55% for epitaxial (111), (110) and (001)-oriented Fe3O4 thin films, respectively. Epitaxial Fe3O4 films may enable us to investigate the effects of half metals on the spin transport without grain-boundary scattering.In addition, it has been reported that the Verwey transition (TV, a first order metal-insulator transition) of 120 K in bulk Fe3O4 is strongly affected by many parameters such as stoichiometry and stress, etc. Here we report that the growth modes, magnetism and transport properties of Fe3O4 thin films were strongly dependent on the oxygen pressure during film growth. The average roughness decreases from 1.021 to 0.263 nm for the oxygen pressure increase from$2.3{\times}10-7$ to$8.2{\times}10^{-6}$ Torr, respectively. The 120 K Verwey transition in Fe3O4 was disappeared for the sample grown under high oxygen pressure. -
Using ab initio calculations, we study the MgO(001) and Fe/MgO(001) surface phases and the effects of interface structure on the Fe/MgO magnetic anisotropy. The surface phase diagrams of MgO(001) and Fe/MgO(001) show that the most stable surface structures are either defect-free surface or the surfaces with oxygen vacancies in c(
$2{\times}1$ ) periodicity for the systems. By the formations of the oxygen vacancy rows on MgO(001) surface, the in-plane magnetic anisotropy energy of Fe overlayer is reduced while the perpendicular magnetic anisotropy energy is increased from 0.1 to 0.5 meV per Fe atom. -
현재 TFT의 주요 재료로 사용되는 비정질 실리콘은 전하 이동도가 매우 작아 고속 스위칭과 같은 고성능을 구현하기 어려우며 이동도 향상을 위해 고온 공정이 적용되야 하는 단점을 가지고 있다. 이러한 문제를 해결하기 위해 전하 이동도가 큰 박막재료를 바탕으로 박막 트랜지스터의 연구개발이 필요하며 이를 위한 해결책 중 새로운 스위칭 동작원리를 제공하며 고 이동도를 갖는 비정질 칼코지나이드 재료가 각광 받고 있다. 본 연구에서는 박막 스위칭 소자 응용을 위해 GeTe 재료를 기반으로 Se을 치환하여 GeSexTe1-x 박막을 제작한 후 소자의 전기적 특성을 평가하였다. GeTe 박막의 결정화 온도는
$187^{\circ}C$ 였으며 Se을 점진적으로 첨가한 GeSexTe1-x (X=0.2, 0.4, 0.6) 박막의 경우 각각$213^{\circ}C$ ,$240^{\circ}C$ ,$287^{\circ}C$ 로 측정되었다. 이는 상대적으로 Ge과 Se의 결합에너지가 Ge과 Te의 결합에너지 보다 크기 때문에 Se 함량의 증가에 따라 비정질상의 안정성이 증가된 것으로 판단된다. 비교적 열적 안정성이 높은 3가지의 각각 다른 Se함량을 가진 Ge1.07 Se0.50 Te0.43, Ge1.07 Se0.68 Te0.26, Ge0.95 Se0.90 Te0.15의 소자를 제작하여 스위칭 특성을 분석하였다. GeTe의 경우 전형적인 메모리 스위칭 특성이 나타난 반면 위의 조성을 갖는 박막의 경우 반복적인 문턱 스위칭 특성을 보였다. 이는 Se이 첨가되면서 열적 안정성의 증가로 인해 스위칭이 일어난 후에도 비정질 상을 유지하기 때문이라 판단된다. 각각 제작된 소자에서 인가 전압의 증가와 펄스의 rising time 감소에 따라 더 빠른 스위칭 시간을 보였으며 Se함량이 감소함에 따라 스위칭 전압 또한 감소하는 것을 확인하였다. On 상태의 저항은 Se 함량에 따라 크게 차이가 없었지만 Off 상태의 저항은 Se 함량이 증가됨에 따라 증가되는 것을 확인하였다. 결과적으로 Se 함량에 따른 스위칭 특성의 최적화를 통해 고성능 스위칭 소자에 적용될 수 있을 것이라 판단된다. -
비정질 반도체/절연체의 직류와 교류 전도도 스펙트럼은 주파수에 대한 거듭제곱의 법칙 (power-law)을 따르는 보편성이 있음이 확인되었다. 이러한 보편성은 다양한 비정질 반도체/절연체 물질에서 공통적으로 관찰되었으며 현재까지 이 보편성의 물리학적 원인이 정확히 규명되지 않고 있다. 이 보편성을 설명하기 위한 모델로서 비정질 반도체/절연체 내의 전자/정공의 호핑 전도기구 (hopping conduction mechanism)가 제시된 바 있으며 다양한 비정질 시스템의 전도도 스펙트럼 해석에 인용되고 있다. 그러나 직.교류 전도기구 사이의 상이함에 대한 이견이 있으며 현재까지 정확히 규명된 바 없다. 본 연구에서는 비정질 GeSe 반도성 물질의 전도도 스펙트럼을 10 Hz-1 MHz 주파수 대역에서 측정하였으며 이를 위해 백금 상.하부 전극을 갖는 크로스바(crossbar)형의 금속-절연체-금속구조의 2단자 소자를 제작하였다. 측정 스펙트럼으로부터 본 연구의 GeSe 물질이 앞서 언급한 비정질 물질의 보편성에 부합함을 확인하였으며 스펙트럼 내의 직류와 교류 성분을 명확히 분리할 수 있었다. 직.교류 전도도 스펙트럼의 명확한 기구 분리를 위하여 4개의 상이한 면적을 갖는 크로스바에 대한 측정을 실시하였으며 그 결과로 직.교류 전도도의 상이한 면적 의존성을 관찰하였고 이를 근거로 직.교류 전도도가 서로 다른 전도기구에 기인함을 간접적으로 알 수 있었다. GeSe의 전도도 스펙트럼의 온도 의존성 실험을 위해 시편의 온도를 20-300 K 범위에서 변화시키며 전도도 스펙트럼을 측정하였으며 이를 통해 교류 전도도 스펙트럼 내에 상이한 두 개의 전도 기구가 혼재해있음을 규명하였다.
-
Son, Yeong-Ho;Jeong, Myeong-Hyo;Choe, Seung-Hun;Kim, Jin-Ha;Lee, Dong-Min;Choe, Jeong-Gyu;Jeong, Ui-Cheon;Lee, Hyeon-Bae;Chae, Jin-Gyeong;Lee, Jang-Hui;Kim, Jeong-Hun 64
현재 투명전극은 주로 ITO를 사용하고 있으며, ITO는 인듐산화물(In2O3)과 주석산화물(SnO2)이 9대 1의 비율로 혼합된 화합물로 인듐이 주성분이다. 따라서 ITO 사용량의 증가는 인듐의 수요 증가를 이끌어 2003년 이후 인듐 잉곳의 가격이 급등하였다. LCD에 응용되는 금속재료의 가격추이를 비교해보면, 인듐이 가장 큰 변화를 보이고 있으며, 2005년 인듐 가격은 2002년 대비 1,000% 이상 상승하였다가 2007년 이후 500%p 하락하여 2008년 2월 22일 기준으로 톤당 49만 달러에 거래되고 있다. 같은 기간 동안 알루미늄의 가격은 76.6% 상승하였으며 구리는 394%, 주석은 331% 상승하였다. 이러한 인듐의 가격 상승폭은 동일한 기간 동안 다른 금속 재료와 비해 매우 크며, 단위 질량당 가격도 20배 이상 높은 수준이다. ITO의 주성분인 인듐의 이러한 가격의 급등 및 향후 인듐의 Shortage 예상으로 인해 ITO 대체재 확보의 필요성이 증가 되고 있다. 태양광 발전산업에서 현재 주류인 결정질 실리콘 태양전지의 변환효율은 꾸준히 향상되고 있으나, 태양전지의 가격이 매년 서서히 하강되고 있는 실정에서 결정질 실리콘 가격의 상승 등으로 고부가 가치 산업유지에 어려움이 있으며, 생산 원가를 낮출 수 있는 태양전지 제조기술로는 2세대 태양전지로 불리는 박막형이 현재의 대안으로 자리매김하고 있으며, 박막태양전지 산업분야가 현재의 정부정책 지원 없이 자생력을 갖추고 또한 시장 경쟁력을 확보하기 위해서는 박막태양전지 개발과 더불어 저가의 재료개발도 시급한 상황이다. 본 연구에서는 In-line magnetron sputtering system을 사용하여 소다라임 유리기판 위에 박막태양전지용 투명전도성 ZnO(Al) 박막을 제작하였고, 특히 이 박막은 n-형 반도체 특성을 가져야하기 때문에 홀이동도와 개리어농도의 상관관계 및 박막의 두께, 광투과율 특성, 온도 의존성을 조사하였고, 이를 논하고자 한다(본 연구는 중소기업청의 기술혁신개발사업 연구지원금으로 이루어졌음). -
We report the application of conducting metal oxide electrodes for semiconducting metal oxide gas sensors. Pt interdigitated electrodes have been commonly used for metal oxide gas sensor because of the low resistivity, excellent thermal and chemical stability of Pt. However, the high cost of Pt is an obstacle for the wide use of metal oxide gas sensors compared with its counterpart electrochemical gas sensors. Meanwhile, relatively low-cost conducting metal oxides are widely being used for light-emitting diodes, flat panel displays, solar cell and etc. In this work, we have fabricated
$WO_3$ and$SnO_2$ thin film gas sensors using interdigitated electrodes of conducting metal oxides. Thin film gas sensors based on conducting metal oxides exhibited superior gas sensing properties than those using Pt interdigitated electrodes. The result was attributed to the low contact resistance between the conducting metal oxide and the sensing material. Consequently, we demonstrated the feasibility of conducting metal oxide interdigitated electrodes for novel gas sensors. -
Anodic titanium dioxide (TiO2) nanotubes are very attractive materials for gas sensors due to its large surface to volume ratios. The most widely known method for fabrication of TiO2 nanotubes is anodic oxidation of metallic Ti foil. Since the remaining Ti substrate is a metallic conductor, TiO2 nanotube arrays on Ti are not appropriate for gas sensor applications. Detachment of the TiO2 nanotube arrays from the Ti Substrate or the formation of electrodes onto the TiO2 nanotube arrays have been used to demonstrate gas sensors based on TiO2 nanotubes. But the sensitivity was much lower than those of TiO2 gas sensors based on conventional TiO2 nanoparticle films. In this study, Ti thin films were deposited onto a SiO2/Si substrate by electron beam evaporation. Samples were anodized in ethylene glycol solution and ammonium fluoride (NH4F) with 0.1wt%, 0.2wt%, 0.3wt% and potentials ranging from 30 to 60V respectively. After anodization, the samples were annealed at
$600^{\circ}C$ in air for 1 hours, leading to porous TiO2 films with TiO2 nanotubes. With changing temperature and CO concentration, gas sensor performance of the TiO2 nanotube gas sensors were measured, demonstrating the potential advantages of the porous TiO2 films for gas sensor applications. The details on the fabrication and gas sensing performance of TiO2 nanotube sensors will be presented. -
Choe, Gyeong-Hun;Kim, Jin-U;No, Gang-Hyeon;Sin, Ju-Yong;Park, Dong-Gyun;Song, Han-Jeong;Lee, Je-Won 67
Pulsed DC$BCl_3/SF_6$ 플라즈마를 사용하여 GaAs와 AlGaAs의 건식 식각을 연구하였다. 식각 공정 변수는 가스 유량 (50~100 %$BCl_3$ in$BCl_3/SF_6$ ), 펄스 파워 (450~600 V), 펄스 주파수 (100~250 KHz), 리버스 시간 (0.4~1.2${\mu}s$ )이었다. 식각 공정 후 표면 단차 측정기 (Surface profiler)를 사용하여 표면의 단차와 거칠기를 분석하였다. 그 결과를 이용하여 식각률 (Etch rate), 표면거칠기 (Surface roughness), 식각 선택비 (Selectivity)와 같은 특성 평가를 하였다. 실험 후 주사 현미경 (FE-SEM, Field Emission Scanning Electron Microscopy)을 이용, 식각 후 시료의 단면과 표면을 관찰하였다. 실험 결과에 의하면 1) 18 sccm$BCl_3$ / 2 sccm$SF_6$ , 500 V (Pulsed DC voltage), 0.7${\mu}s$ (Reverse time), 200 KHz (Pulsed DC frequency), 공정 압력이 100 mTorr인 조건에서 GaAs와 Al0.2Ga0.8As의 식각 선택비가 약 48:1로 우수한 결과를 나타내었다. 2) 펄스 파워 (Pulsed DC voltage), 리버스 시간(Reverse time), 펄스 주파수(Pulsed DC frequency)의 증가에 따라 각각 500~550 V, 0.7~1.0${\mu}s$ , 그리고 200~250 KHz 구간에서 AlGaAs에 대한 GaAs의 선택비가 감소하게 되는 것을 알 수 있었다. 이는 척 (chuck)에 인가되는 전류와 파워를 증가시키고, 따라서 GaAs의 식각률이 크게 증가했지만 AlGaAs 또한 식각률이 증가하게 되면서 GaAs에 대한 식각 선택비가 감소한 것으로 생각된다. 3) 표면 단차 측정기와 주사전자현미경 사진 결과에서는 GaAs의 경우 10%$SF_6$ (18 sccm$BCl_3$ / 2 sccm$SF_6$ )가 혼합된 조건에서 상당히 매끈한 표면 (RMS roughness < 1.0 nm)과 높은 식각률 (~0.35${\mu}m$ /min), 수직의 식각 측벽 확보에서 매우 좋은 결과를 보여주었다. 또한 같은 공정 조건에서 AlGaAs는 식각이 거의 되지 않은 결과 (~0.03${\mu}m$ /min)를 보여주었다. 위의 결과들을 종합해 볼 때 Pulsed DC$BCl_3/SF_6$ 플라즈마는 GaAs와 AlGaAs의 선택적 식각 공정에서 매우 우수한 공정 결과를 나타내었다. -
As feature size is smaller, new technology are needed in semiconductor factory such as gap-fill technology for sub 100nm, development of ALD equipment for Cu barrier/seed, oxide trench etcher technology for 25 nm and beyond, development of high throughput Cu CMP equipment for 30nm and development of poly etcher for 25 nm and so on. We are focus on gap-fill technology for sub-30nm. There are many problems, which are leaning, over-hang, void, micro-pore, delaminate, thickness limitation, squeeze-in, squeeze-out and thinning phenomenon in sub-30 nm gap fill. New gap-fill processes, which are viscous oxide-SOD (spin on dielectric), O3-TEOS, NF3 Based HDP and Flowable oxide have been attempting to overcome these problems. Some groups investigated SOD process. Because gap-fill performance of SOD is best and process parameter is simple. Nevertheless these advantages, SOD processes have some problems. First, material cost is high. Second, density of SOD is too low. Therefore annealing and curing process certainly necessary to get hard density film. On the other hand, film density by Flowable oxide process is higher than film density by SOD process. Therefore, we are focus on Flowable oxide. In this work, dielectric film were deposited by PECVD with TSA(Trisilylamine - N(SiH3)3) and NH3. To get flow-ability, the effect of plasma treatment was investigated as function of O2 plasma power. QMS (quadruple mass spectrometry) and FTIR was used to analysis mechanism. Gap-filling performance and flow ability was confirmed by various patterns.
-
다이아몬드는 절연 물질이지만, 합성 다이아몬드를 생성할 때 결정 내에 도핑(doping) 과정을 통해 불순물을 혼입함으로써 반도체 성질을 가지게 된다. 본 연구에서는 마이크로웨이브 CVD 장치를 이용하여 다이아몬드 박막의 생성 조건을 최적화하고 여기에 다이아몬드 박막 생성시 디보란(Diborane, B2H6)을 주입하여 전기적 특성을 갖는 보론-도핑 된 다이아몬드 박막을 생성하였다. 실험 조건으로는 방전전력 1.4 Kw, 진공압력 40 Torr의 상태에서 디보란의 주입량을 각각 다르게 하여 실험을 진행하였다. 이 때 사용된 기판으로는 전기적 특성이 서로 다른 사파이어(
$Al_2O_3$ ), Si, Ti 기판을 사용하여 박막과 기판과의 연관성도 조사하였다. 각각의 보론-도핑 농도와 기판에 따른 다이아몬드 결정구조를 Micro Raman, SEM으로 분석하였고, 다이아몬드 박막의 I-V특성을 통해 다이아몬드의 전기적 특성을 조사하였다. -
차세대 저항 메모리로 활용 가능한 ZnO 박막의 저항 변화 특성을 평가하였다. ZnO 박막은 Pt/Ti/SiO2/Si 기판 위에 스퍼터링 시스템을 이용하여 약 50nm 두께로 증착되었다. 증착된 박막에 전극을 evaporator를 이용하여 패턴닝 함으로써 전극-반도체-전극 구조의 소자를 만들고 전기적 특성을 평가하였다. 비교적 높은 compliance current (이하Icomp)를 설정한 경우 unipolar 저항 변화특성을 나타낸 데 비해 비교적 낮은 Icomp를 설정한 경우 bipolar 저항 변화특성을 나타내었다. 두 서로 다른 저항 변화 특성은 100cycle 이상 안정적으로 재현성 있게 나타났으며 이때의 저항비는 약
$10^3$ 정도를 나타냈다. 본 결과를 바탕으로 필라멘트 이론에 기초한 저항 변화 메커니즘을 설명하는 모델이 제시되었다. -
ZnO 반도체가 넓은 에너지띠와 큰 엑시톤 결합에너지를 가지기 때문에 가진 투명 전극, 태양전지, 발광소자 및 메모리와 같은 다양한 전자 및 광전자 소자의 응용에 대한 많은 연구가 활발히 진행되고 있다. 본 논문에서는 절연성 고분자인 폴리스티렌 박막에 분산되어 있는 ZnO 나노 입자를 기억 매체로 사용하는 write-once-read-many times (WORM) 메모리 소자를 제작하고 전기적 성질과 안정성에 대하여 관찰하였다. 화학적 방법으로 형성한 ZnO 나노입자와 폴리스티렌을 N,N-dimethylformamide 용매에 녹인 후 초음파 교반기를 사용하여 나노 복합 소재를 형성하였다. 하부 전극으로 indium-tin-oxide가 증착되어 있는 유리 기판 위에 나노 복합 소재를 스핀코팅 방법으로 도포한 후 열을 가해 잔류 용매를 제거하였다. ZnO 나노입자가 분산되어 있는 폴리스티렌 나노 복합 소재로 구성된 박막위에 상부 전극으로 Al을 열증착하여 메모리 소자를 제작하였다. 전류-전압 측정 결과에서 저전압에서는 전도도가 낮은 OFF 상태를 유지하다 약 1.5 V에서 전도도가 갑자기 증가하여 높은 전도도의 ON 상태로 전이되는 쌍안정성이 관찰되었다. 전류의 ON/OFF 비율은 약 103이며 ON 상태에서 OFF 상태로 전환되지 않는 전형적인 WORM 메모리 소자의 전류-전압 특성을 나타났다. 두 전극 사이에 폴리스티렌 박막으로만 제작된 소자를 제작하여 전류-전압 측정을 하였으나 메모리 특성이 나타나지 않았다. 그러므로 WORM 메모리 특성은 폴리스티렌 박막안의 ZnO 나노입자에 기인함을 알 수 있었다. 제작된 소자에 대해 기억 시간 측정 결과는 ON과 OFF 상태의 전류가 장시간에도 변화가 거의 없는 소자의 안정성을 보여주었다. 이 실험 결과는 ZnO 나노입자가 분산된 폴리스티렌 나노 복합 구조체를 사용하여 안정성을 가진 WORM 메모리 소자를 제작할 수 있음을 보여주고 있다.
-
Recently, Zinc oxide (ZnO) nano-structures have been received attractive attention because of their outstanding optical and electrical properties. It might be a promising material considered for applications to photonic and electronic devices such as ultraviolet light emitting diode, thin film transistor, and gas sensors. ZnO nano-structures can be typically synthesized by the VLS growth mode and self-assembly. In the VLS growth mode using various growth techniques, the noble metal catalysts such as Au and Sn were used. However, the growth of ZnO nano-structures on nano-crystalline Au seeds using radio frequency (RF) magnetron sputtering might be explained by the profile coating, i.e. the ZnO nano-structures were a morphological replica of Au seeds. Ga doped ZnO (ZnO:Ga) nano-structures using this concept were synthesized and characterized by XRD, AFM, SEM, and TEM. We found that surface morphology is drastically changed from initial islands to later sun-flower typed nano-structures. We will present the structural evolution of ZnO:Ga nano-structures with increasing the film thickness.
-
Zn-Sn-O (ZTO) 다성분계 산화물 박막은 일반적인 rf 스퍼터법으로 성막할 경우 비정질상으로 성장하여 결정질 산화물 박막에 비해 우수한 표면평탄도와 식각 단면을 제공한다. 비정질임에도 불구하고 넓은 자유전하 농도 범위에서 높은 Hall 이동도를 제공할 수 있는 것으로 보고되어 있어 비정질 산화물의 투명도전성 박막에 대한 관심이 높아지고 있다. 투명 TFT에 적용되는 또 다른 비정질계 산화물 박막인 In-Zn-O (IZO) 박막에 비해 ZTO 박막은 상대적으로 제한된 연구가 이루어졌으나, In의 함유되지 않아 경제적으로 유리하고, 특히 SnO2의 우수한 기계적 및 화학적 특성과 ZnO의 내환원성 특성을 잠재하고 있는 유망한 투명도전성 박막재료이다. 본 연구에서는 Zn-Sn-O계 박막을 통상의 rf 스퍼터법으로 성막하여 조성, 증착 온도, 그리고 열처리 온도에 따른 ZTO 박막의 구조적인 특성 변화와 이에 따른 전기적 및 광학적 특성 변화에 대하여 고찰하였다. ZnO 타겟과 SnO2 타겟을 사용하여 co-sputtering하여 ZnO의 부피 분률을 13~59 mol%까지 변화되도록 조절하여 증착하였다. 증착 온도는 상온, 150 및
$300^{\circ}C$ 로, 그리고 성막가스 중의 산소분률은 0%, 0.5% 및 1% 로 변화시켰다. 40 mol% 이상의 ZnO를 함유한 ZTO 박막은 가시광 영역에서의 평균 광투과도는 좋으나 전기적인 특성이 열악하였으며, ZnO 분율이 낮은 ZTO 박막은 10-2~10-3 ohm-cm 정도의 비교적 낮은 비저항을 나타내었으나 광투과도 면에서 떨어지는 단점을 보였다. 평균 광투과도는 증착 온도가 증가할수록, 그리고 산소의 양이 증가할수록 향상 되었다. 자유전하농도가 1017~1020 cm-3 정도의 넓은 범위에서 10 cm2/Vs 을 넘는 홀 이동도를 가지는 ZTO 박막의 증착이 가능함을 확인하였으며, 이로부터 투명 TFT 소자로 적용이 가능성이 있음을 보였다. EPMA를 이용한 정량분석 및 XRD를 이용한 구조분석과 연계한 ZTO 박막의 물성 및 최적 조건에 대한 논의가 이루어질 것이다. -
Hwang, Eun-Sang;Seo, Yu-Seong;Park, Su-Hwan;Bae, Jong-Seong;An, Jae-Seok;Hwang, Jeong-Sik;Park, Seong-Gyun 74
최근 새로운 형태의 디스플레이에 관한 관심이 집중되고 있다. 이들 중 특히 투명 산화물 반도체는 기존의 실리콘 기반의 반도체에 비해 가시광 영역에서 높은 투과도를 보이며, 또한 기존의 비정질 실리콘 소자에 비해서 10 cm2/Vs이상의 높은 전하 이동도 값을 가진다. 본 연구에서는 투명 산화물 반도체 소재 중 InGaZnO4를 사용하여 펄스 레이저 방법으로 Al2O3 (0001)기판 위에 비정질 상태인 a-InGaZnO4 박막을 성장 시켰다. 박막의 증착 온도를 변화(RT,$50^{\circ}C$ ,$150^{\circ}C$ ,$250^{\circ}C$ ,$450^{\circ}C$ ,$550^{\circ}C$ )시켜 성장된 박막의 구조적, 화학적, 전기적 그리고 광학적 특성을 조사하였다. 증착 온도가$450{\sim}550^{\circ}C$ 사이에서 박막의 상태가 비정질(amorphous)에서 polycrystalline으로 성장되는 것을 X-Ray Diffraction과 Field Emission-Scanning Electron Microscope를 이용하여 확인하였고 이는 InGaZnO4 박막의 결정화 온도가$450^{\circ}C$ 이상임을 알 수 있었다. X-ray Photoelectron Spectroscopy를 통해서 target 물질과 성장된 박막의 조성 및 화학적 상태를 고찰한 결과, 박막의 결정성 변화가 화학적 상태 변화와는 무관하다는 사실을 알 수 있었다. 온도 의존 비저항 측정을 통해 박막이 반도체 성향을 가지는 것을 확인 하였다. 또한 Hall 측정 결과 증착 온도가 올라 갈수록 전하 밀도는 증가 하지만, 전하 이동도는 다결정 박막($550^{\circ}C$ )에서 급격히 감소하고, 이로 인해 비저항 값이 크게 증가함을 알 수 있었다. 이는 다결정 박막 내 존재하는 grain boundary들이 이동도 값에 영향을 준다는 것으로 추측할 수 있다. Ultra violet-Visible-Near Infrared 측정을 통해 가시광 영역에서 80%이상의 투과율을 나타내며 증착 온도가 증가함에 따라 에너지 밴드갭(Eg)이 커지는 것을 확인 할 수 있는데 이는 Hall 측정 결과에서 확인한 전하 밀도의 증가로 인해 에너지 밴드갭이 커지는 Burstein-Moss 효과로 설명할 수 있다. -
전이금속(transition metal) 질화물(nitride)은 높은 경도, 내마모성, 부식 저항성 그리고 내열성 등과 같은 우수한 기계적 물성 때문에 많은 연구가 되어 왔다. 이 중 질화 티타늄은 높은 경도, 내식 및 내마모의 우수한 기계적 특성으로 공구(tool)와 같은 제품의 수명 향상을 위한 표면 코팅으로 사용되어 왔으며, 금(gold)색의 미려한 색상을 이용한 제품의 외관 표면처리, 정형외과 및 치과용 보형물의 수명 및 안정성 향상 등 다양한 분야에 응용 되고 있다. 본 연구에서는 Cathodic Arc 코팅 방식을 이용하여 질화 티타늄을 합성하였으며, 경사 코팅에 따른 단층 및 다층 피막(3-layer)의 미세조직 변화와 그 물성을 평가하였다. 아크 소스에 장착된 타겟은 99.5%의 Ti 타겟을 사용하였고, 시편과 타겟 간의 거리는 약 31 cm이며, 시편은 알코올과 아세톤으로 초음파 세척 된 냉연강판과 SUS 304를 사용하였다. 시편을 진공용기에 장착하고 ~10-6 Torr까지 진공배기를 실시하고, Ar 가스를 진공용기 내로 공급하여 ~10-4 Torr에서 시편에 bias (Pulse : 400V)를 인가한 후 아크를 발생시켜 약 5분간 청정을 실시하였다. 플라즈마 청정이 끝나면 시편에 인가된 bias를 차단하고 코팅하였다. 경사 코팅을 위한 시편의 회전각은
$30^{\circ}$ ,$45^{\circ}$ ,$60^{\circ}$ 이며, 질화 티타늄의 두께는 약$3{\mu}m$ 로 동일하게 코팅 하였다. 경사 코팅된 박막의 경우는 동일 시간 코팅하였을 경우 경사각이 커질수록 두께가 감소하였다. 경사각에 따라 코팅 층이 성장하였고, Bias를 인가 할 경우에는 경사 입사의 효과가 상쇄됨이 관찰되었다. 또한 경사 코팅에 의해 제조된 티타늄 질화물의 경도는 저하 되었으며,$30^{\circ}$ 와$60^{\circ}$ 에 비해$45^{\circ}$ 경우 경도 저하가 가장 적었다. 결론적으로 Cathodic 아크 코팅 방법으로 질화티타늄을 합성하였고, 경사 코팅을 통해 박막의 미세조직 변화를 확인 하였다. 본 연구에서 얻어진 결과를 이용하여 다양한 구조로 박막의 성장을 유도 할 수 있으며, 이를 통해 경도, 내마모성, 내식성 등의 물성을 변화시킬 수 있는 장점을 가질 것으로 예상된다. -
투명 태양전지 구조 내에 선택적 투과막을 채용하여 태양전지의 성능 개선을 극대화할 수 있다. 금속 산화물 계의 선택적 투과막은 가시광선 대역은 투과시키고, 적외선 영역은 광흡수층으로 반사시키는 역할을 하므로 변환효율이 증가한다. 이제까지 Al 및 Ti 산화물 계의 선택적 투과막은 atomic layer deposition (ALD)을 이용하여 형성하여 왔다[1]. ALD 기술의 경우 정밀한 두께 조절성 및 우수한 conformality의 장점이 있지만, 증착속도가 느리기 때문에 상업적으로 이용하기에 제약이 있다. 따라서 본 연구에서는 Al/Ti 산화물 투과막을 기존의 ALD 공정이 아닌 스퍼터(sputter) 증착을 이용하여 형성하고, 광학적 특성을 평가하였다. 스퍼터 증착 공정을 이용하여 선택적 투과막을 형성함으로써 기존의 공정에 비하여 태양전지 제조 원가 절감의 효과가 있을 것이라 판단된다.
-
These days, a growing demand for memory device is filled up with the flash memory and the dynamic random access memory (DRAM). Although DRAM is a reasonable solution for current demand, the universal novel memory with high density, high speed and nonvolatility, needs to be developed. Among various new memories, the magnetic random access memory (MRAM) device is considered as one of good candidate memories because of excellent features including high density, high speed, low operating power and nonvolatility. The etching of MTJ stack which is composed of magnetic materials and insulator such as MgO is one of the vital process for MRAM. Recently, MgO has attracted great interest in the MTJ stack as tunneling barrier layer for its high tunneling magnetoresistance values. For the successful realization of high density MRAM, the etching process of MgO thin films should be investigated. Until now, there were some works devoted to the investigations on etch characteristics of MgO thin films. Initially, ion milling was applied to the etching of MgO thin films. However, ion milling has many disadvantages such as sidewall redeposition and etching damage. High density plasma etching containing the magnetically enhanced reactive ion etching and high density reactive ion etching have been employed for the improvement of etching process. In this work, inductively coupled plasma reactive ion etching (ICPRIE) system was adopted for the improvement of etching process using MgO thin films and etching gas mixes of
$CH_4$ /Ar and$CH_4$ /$O_2$ /Ar have been employed. The etch rates are measured by a surface profilometer and etch profiles are observed using field emission scanning emission microscopy (FESEM). The effects of gas concentration and etch parameters such as coil rf power, dc-bias voltage to substrate, and gas pressure on etch characteristics will be systematically explored. -
Kim, Min-Su;Im, Gwang-Guk;Kim, So-ARam;Nam, Gi-Ung;Lee, Jae-Yong;No, Geun-Tae;Lee, Dong-Yul;Kim, Jin-Su;Kim, Jong-Su;Lee, Ju-In;Im, Jae-Yeong 78
수열합성법을 이용하여 Si(111) 기판에 ZnO 박막을 성장하였다. ZnO 박막의 성장을 위한 씨앗층은 plasma-assisted molecular beam epitaxy (PA-MBE)를 이용하였다. 씨앗층의 표면 거칠기(root-mean-square roughness)는 2.5 nm이고, 씨앗층 위에 성장된 ZnO 박막은 다양한 크기의 입자들로 이루어져 있었으며 두께는 약$1.8{\mu}m$ 로 매우 일정하였다. 배향성을 알아보기 위하여 texture coefficient (TC)를 계산해 보았다. TC(100)과 TC(200)은 a-축 배향성을, TC(002)는 c-축 배향성을 나타내는데, c-축으로 더 우세한 배향성(99.5%)을 보였다. TC 비율(TCa-axis/TCc-axis)은 열처리 온도를$700^{\circ}C$ 까지 올렸을 때, 점차적으로 증가하였고, 그 이상의 열처리 온도(<$900^{\circ}C$ )에서는 급격히 감소하였다. 잔류응력과 Zn와 O의 bond length도 유사한 경향을 보였다.$700^{\circ}C$ 까지 열처리 온도가 증가함에 따라, 잔류응력은 증가하였고 bond length는 감소하였다. Near-band-edge emission (NBE)의 피크 강도는 열처리 온도가$700^{\circ}C$ 까지 증가함에 따라 점차적으로 증가하였다. 열처리 온도가$800^{\circ}C$ 이상 증가함에 따라 deep-level emission (DLE)가 적색편이(red-shift)하였다.$700^{\circ}C$ 로 열처리를 한 ZnO 박막이 가장 우세한 (002)방향의 배향성을 보였을 뿐만 아니라 가장 큰 발광효율 증가를 보였다. -
Lee, Cho-Eun;Sim, Eun-Hui;Go, Ji-Hyeon;Jeong, Ui-Wan;Lee, Jin-Yong;Lee, Yeong-Min;Kim, Deuk-Yeong 79
사파이어 기판에 성장된 ZnO 박막을 급속 열처리 하여 열처리 효과가 박막의 특성에 미치는 영향을 분석하였다. ZnO 박막은 RF 마그네트론 스퍼터 증착법으로$500^{\circ}C$ 에서 성장하였고, 성장 된 시료를 산소 분위기에서$600^{\circ}C{\sim}900^{\circ}C$ 로 온도 변화를 주어 3분 동안 열처리를 하였다. Hall 효과 분석에 의한 ZnO 박막의 전자 이동도 특성은 열처리 온도가 증가함에 따라 점차 증가하는 경향을 나타내어,$900^{\circ}C$ 열처리의 경우 23$cm^2$ /Vs의 가장 높은 값을 보였다. 한편 X-ray 회절 분광법에 의한 ZnO 박막의 (002) peak를 분석한 결과 열처리 온도가 증가함에 따라 peak의 세기는 증가하고 그 반치폭이 점차 감소함으로써 시료의 결정학적 특성이 향상됨을 확인 할 수 있었다. 이와 같이 열처리 온도에 따라 전기적 결정학적 특성이 향상되는 이유는 ZnO 박막에 존재하는 native defect들이 열적으로 passivation되고, 결정격자들의 배열이 열에너지에 의해 안정화 되면서 나타나는 현상으로 풀이 된다. 이와 함께 본 연구에서는 ZnO 박막의 열처리 온도 변화에 따른 광학적 특성 변화에 대해서도 보고할 예정이다. -
본 연구에서는 flexible 광전소자에 응용이 가능한 투명전극을 위해 polyethersulfone (PES) 기판 위에 GaZnO (GZO) 박막을 마그네트론 스퍼터 법으로 증착하였다. 박막 증착 중 Ar 분압의 변화가 박막의 특성에 미치는 영향을 분석하기 위해, 스퍼터 반응시 chamber내 Ar 분압을 10 sccm~50 sccm 범위에서 변화를 주었다. 박막이 증착된 후 GZO/PES 시료의 광학적 투과율을 측정한 결과 가시광 영역에서 80% 이상의 높은 투과율을 보이고 있었다. 이때 광학적 투과율은 Ar 분압의 변화에는 영향을 받고 있지 않은 것으로 분석되었다. 시료의 표면을 주사전자현미경 분광법으로 분석한 결과 Ar 분압이 증가 할수록 GZO grain 크기가 감소하여 그 조밀도가 증가하는 경향을 나타내었다. 또한 x-ray 회절 스펙트럼에서는 ZnO (002) peak의 세기가 증가함을 확인하였고, 이에 반하여
$ZnGa_2O_4$ 의 (311) peak의 세기는 감소하는 경향을 확인할 수 있었다. 한편 제작된 시료의 전기적 특성을 분석한 결과 Ar 분압의 증가에 따라 비저항이 약$7.5{\times}10^{-3}{\Omega}cm$ 까지 감소하는 경향을 보였다. 이는 Ar 분압이 증가할수록 Ar-plasma enhancement 효과로 GZO의 결정학적 특성이 향상되면서 GZO의 전기전도 특성을 저해 하는 insulating$ZnGa_2O_4phase$ 의 형성을 억제하였기 때문인 것으로 해석된다. -
Kim, Min-Su;Im, Gwang-Guk;Kim, So-ARam;Nam, Gi-Ung;Park, Sang-Hyeon;U, Seok-Beom;Lee, Dong-Yul;Kim, Jin-Su;Kim, Jong-Su;Lee, Ju-In;Im, Jae-Yeong 81
Sol-gel spin-coating법을 이용하여 ZnO 박막을 증착하였다. Sol 전구체 용액을 Si(100) 기판에 증착하고 전열처리(pre-heat treatment)하여 gel 상태의 ZnO 박막을 형성시킨 후 다른 속도로 냉각시켰다. Atomic force microscopy (AFM), X-ray diffraction (XRD), Raman, photoluminescence (PL)을 이용하여 냉각속도가 ZnO 박막의 구조적 및 광학적 특성에 미치는 영향을 분석하였다. 느린 속도($5^{\circ}C$ /min)로 냉각시킨 ZnO 박막은 나노섬유질구조(nano-fibrous structure)를 나타내었고, 상온에서 바로 냉각시킨 ZnO 박막은 매우 매끄러운 표면(mirror-like surface)을 나타내었다. ZnO (100), ZnO (002), ZnO (101) 방향을 나타내는 회절피크가 관찰되었고, 냉각속도에 따른 ZnO 박막의 배향성을 알아보기 위하여 texture coefficient (TC)를 계산해 보았다. 상온에서 바로 냉각시킨 ZnO 박막(TC(002)=76.3%)이 느린 속도로 냉각시킨 박막(TC(002)=45.2%)보다 (002) 방향으로의 배향성이 우세하게 나타났으며, 잔류응력도 작았다. 뿐만 아니라 PL을 이용한 광학적 특성평가에서도 상온에서 바로 냉각시킨 ZnO 박막에서 더 강한 강도와 좁은 반치폭(full-width at halt-maximum)을 갖는 near-band-edge emission (NBE) 피크가 관찰되었다. 후열처리에 따른 구조적 및 광학적 특성 변화 또한 연구하였다. -
본 연구에서는 이온빔 스퍼터링 방법으로 증착한 Cr2O3, Ta2O5, HfO2 산화물박막의 구조적 특성변화를 관찰하였다. 금속박막에서 표면이 산화되는 문제를 해결하기위하여 산화물 박막을 증착시켰다. 이온빔 스퍼터링으로 박막 증착 시 산화물 타겟을 사용할 때 발생되는 전하의 영향을 상쇄하기 위하여 neutralizer를 사용하였다. 박막 증착 후 XRR (X-ray Reflectometer)을 이용하여 박막의 두께, 거칠기 및 밀도를 확인하였으며, AFM (Atomic Force MicroScope)을 통하여 증착한 박막표면 거칠기 측정을 하여 XRR로 얻은 데이터와 비교하여 살펴보았다. 또한 XPS (X-ray photoelectron spectroscopy)측정을 통해 제조된 박막의 화학적 결합상태를 확인하였다. 여러 가지 조건변화와 기판의 차이에 따라 제작된 산화물 박막 중 실리콘 기판을 사용하여 증착시킨 박막은 XRR측정시 반사율 곡선에서 자연 산화막에 의한 영향이 나타났다. 반면 glass나 sapphire에 증착시킨 산화물 박막은 실리콘기판에서 나타난 자연 산화막의 영향을 받지 않음을 확인하였다. 기판과 산화물 박막사이에 계면층에 나타나는 영향을 최소화시킴으로써 양질의 박막을 제작할 수 있을 것으로 기대된다.
-
Im, Gwang-Guk;Kim, Min-Su;Kim, So-ARam;Nam, Gi-Ung;Park, Dae-Hong;Cheon, Min-Jong;Lee, Dong-Yul;Kim, Jin-Su;Kim, Jong-Su;Lee, Ju-In;Im, Jae-Yeong 83
본 연구에서는 p-type Si (100) 위에 분자선 에피택시 성장방법으로 ZnO 완충층이 삽입된 ZnO 박막을 성장시켰다. ZnO 완충층은 Zn 셀 셔터의 열림/닫힘을 반복하는 성장 멈춤법으로 성장되었다. Zn 셀 셔터의 열림 시간은 4분, 2분, 1분이며 닫힘 시간은 2분으로 동일하게 유지하였다. 이러한 과정은 각각 5, 10, 20회로 반복되었으며 ZnO 완충층을 성장한 후 ZnO 박막은 기존의 분자선 에피택시 방법으로 성장되었다. ZnO 박막의 구조적, 광학적 특성은 field-emission scanning electron microscopy (FE-SEM), atomic force microscopy (AFM), X-ray diffraction (XRD), photoluminescence (PL)로 조사하였다. SEM 측정결과 성장 멈춤 횟수가 증가함에 따라 ZnO 박막의 표면은 섬(island) 구조에서 미로(maze) 구조로 변화하였고, XRD 측정결과 full-width at half-maximum (FWHM) 이 감소하고 결정립 크기(grain size)가 증가하였다. 그리고 PL 측정결과 성장 멈춤 횟수가 증가함에 따라 near-band-edge emission (NBE) 피크의 세기가 증가하였고 deep-level emission (DLE) 피크의 위치는 오렌지 발광에서 녹색 발광으로 청색편이(blue-shift)하였다. -
RF magnetron sputtering을 이용하여 산소 유량에 따라 ZnO 박막을 유리기판 위에 제작하고 구조적, 광학적, 전기적 특성을 조사하였다. 박막 증착 조건의 초기 압력은
$1.0{\times}10^{-6}Torr$ , RF 파워는 100W, 증착온도는 상온으로 고정하였으며 기판은 Corning 1737 유리 기판을 사용하였다. 공정 변수로 Ar:$O_2$ 가스 비율을 50:50 sccm, 75:25 sccm, 100:0 sccm으로 변화시켰다. 유리기판 위에 증착된 모든 ZnO 박막에서 (002) 면의 우선배향성이 관찰되었고 85% 이상의 투과율을 나타내었다. 산소유량이 적을수록 ZnO 박막의 결정성은 향상되었고, 광학적 밴드갭은 증가하였다. Hall 측정 결과 산소의 유량이 포함되어 있는 박막에서는 모두 완전한 산화물에 가까운 화학양론적 조성으로 면 저항이$10^6{\Omega}/{\square}$ 이상인 부도체 특성을 보였으며, 산소가 포함되지 않은 샘플에서는 n타입의 반도체 특성이 확인되었다. 산소가 포함되지 않은 Ar 유량이 100sccm일 때 전기비저항$3.56{\times}10^{+1}1{\Omega}cm$ , 전하의 농도$2.04{\times}10^{18}cm^{-3}$ , 이동도$8.59cm^2V^{-1}s^{-1}$ 로 반도체 활성층으로 적합한 전기적 특성을 얻었다. ZnO 박막의 경우 산소가 포함될 경우 결정성이 저하되고, 절연특성을 갖는 것을 확인할 수 있었다. -
RF magnetron co-sputtering을 이용하여 RF power 및 공정 압력에 따라 GZO 및 IGZO 박막을 유리기판 위에 제작하고 투명전극으로 구조적, 광학적, 전기적 특성을 조사하였다. 박막 증착 조건의 초기 압력은
$1.0{\times}10^{-6}Torr$ , 증착온도는 상온으로 고정하였으며 기판은 Corning 1737 유리기판을 사용하였다. 소결된 타겟으로 ZnO,$In_2O_3$ 및$Ga_2O_3$ 을 이용하였으며, 각각의 타겟은 독립 된 RF파워를 변화시키며 투명전극의 성분비를 조절하였으며, 증착 압력은 10 m에서 100 mTorr까지, 기판과의 거리는 25 mm에서 65 mm까지 변화시키며 박막을 제작하였다. 유리기판 위에 불순물이 첨가된 모든 ZnO 박막에서 (002) 면의 우선배향성이 관찰되었고, 3.4eV에서 3.5eV 정도의 광학적 밴드갭을 가지며 80% 이상의 투과율을 나타내었다. GZO 박막의 경우 증착 조건에 따라 투명전극에 요구되는$5*10^{-3}{\Omega}-cm$ 이하의 전기적특성을 가짐을 보였으며, gallium 성분이 0%에서 6%로 증가함에 따라 3.3eV에서 3.5eV로 blue-shift하였으며, 비저항은 0.02에서$0.005{\Omega}cm$ 로 낮아졌으며 이동도는$4.7cm^2V^{-1}s^{-1}$ 에서$2.7cm^2V^{-1}s^{-1}$ 로 보이며 GZO 물질이 투명전극으로서 기존의 ITO 물질 대체 가능성을 확인하였다. IGZO 박막은 In과 Ga의 함량에 따라 저항률의 변화가 크게 나타났으며, In의 함량이 많을수록 이동도, 캐리어 농도의 증가로 저항률은 감소하였다. -
RF magnetron sputtering을 이용하여 RF파워 변화에 따라 GZO 박막을 제작하였다. 박막제작은 유리기판 위에 하였고, 전기적, 광학적 특성을 조사하였다. 박막의 증착시 초기 압력은
$2.0{\times}10^{-6}Torr$ , 증착온도는 상온으로 고정하여 증착하였으며, 기판은 Corning 1737 유리 기판을 사용하였다. RF 파워 공정변수는 20W, 50W, 80W, 110W로 변화를 시켰다. 유리기판에 증착된 모든 GZO박막은 200 nm의 두께로 증착되었으며 모든 GZO 박막에서 85% 이상의 투과율을 나타내었다. RF파워가 낮을수록 투과율을 증가하였으며, 광학적 밴드갭 또한 증가하였다. 공정별로 제작된 모든 GZO박막에서 (002)면의 배향성이 관찰되었고, RF파워가 낮을수록 박막의 결정성은 향상되었다. Hall 측정 결과 RF파워가 20W일 때 전기비저항$1.85{\times}10^{-3}{\Omega}cm$ , 전하의 농도$3.794{\times}10^{20}cm^{-3}$ , 이동도$8.89cm^2V^{-1}s^{-1}$ 로 전극으로서의 특성을 나타내었다. GZO 박막의 경우 RF 파워가 낮을수록 결정성이 높아지고, 전극의 특성을 갖는 것을 확인할 수 있었다. -
Oxide semiconductors are attractive materials for thin-film electronics and optoelectronics due to compatibility with synthesis on large-area, glass and flexible substrate. However, development of thin-film electronics has been hampered by the limited number of semiconducting oxides that are p-type. We report on the effect of the oxygen partial pressure ratio in the gas mixture on the electrical and optical properties of spinel Mg:
$ZnCo_2O_4$ thin films deposited at room temperature using RF sputtering, that exhibit p-type conduction. The thin-films are deposited at room temperature in a background of oxygen using a polycrystalline Mg:$ZnCo_2O_4$ ablation target. The p-type conduction is confirmed by positive Seebeck coefficient and positive Hall coefficient. The electrical resistivity and carrier concentration in on dependent Mg:$ZnCo_2O_4$ thin films were found to be dependent on the oxygen partial pressure ratio. As a result, it is revealed that the Mg:$ZnCo_2O_4$ thin-films were greatly influenced on the electrical and optical properties by the oxygen partial pressure condition. The visible region of the spectrum of 36~85%, and hole mobility of 1.1~3.7$cm^2$ /Vs, were obtained. -
ZnO는 직접 천이형 반도체로써, 상온에서 3.4eV에 해당하는 띠틈을 가지고 있다. 뿐만 아니라 60meV의 큰 엑시톤 결합에너지를 가지고 있어 단파장 광전 소자 영역의 LED(Light Emitting Diode)나 LD(Laser Diode)에 널리 사용되고 있다. 하지만 일반적으로 격자틈새 Zn(Zni2+)이온이나 O 빈자리(V02+)이온과 같은 자연적인 도너 이온이 존재하여 n-형 전도성을 나타낸다. 그러므로 ZnO계 LED와 LD의 개발에 있어서 가장 중요한 연구 과제는 재현성 있고 안정된 고농도의 p-형 ZnO박막을 성장시키는 것이다. 하지만, 자기보상효과나 얕은 억셉터 준위, 억셉터의 낮은 용해도로 인하여 어려움을 가지고 있다. 본 연구에서는 고품질의 p-형 ZnO박막을 제작하기 위해 AlN를 도핑시킨 ZnO박막을 RF 마그네트론 스퍼터링 법을 이용하여 Ar과 O2분위기에서 성장시켰다. ZnO와 AlN타겟을 동시에 사용하였으며, ZnO타겟에 걸어준 RF 파워는 80W, AlN타겟에 걸어준 RF 파워는 5~20W로 변화시켰다. 박막의 전기적, 광학적 특성은 XPS (X-ray Photoelectron Spectroscopy), REELS (Reflection Electron Energy Loss Spectroscopy), XRD (X-ray Diffraction), SIMS (Secondary Ion Mass Spectrometry), AES (Auger Electron Spectroscopy), Hall measurement를 이용하여 연구하였다. XPS측정결과, AlN를 도핑시킨 ZnO박막의 Zn2p3/2와 O1s피크는 undoped ZnO박막의 피크보다 낮은 결합에너지에서 측정되었다. 모든 박막이 결정화 되었으며, (002)방향으로 우선적으로 성장된 것을 확인할 수 있었다. 홀 측정 결과, 기판을
$200^{\circ}C$ 로 가열하면서 성장시킨 박막이 p-형을 나타내었으며, 비저항(Resistivity)이$5.51{\times}10^{-3}{\Omega}{\cdot}m$ , 캐리어 농도(Carrier Concentration)가$1.96{\times}1018cm^{-3}$ , 이동도(Mobility)가$481cm^2$ /Vs이었다. 또한 QUEELS -Simulation에 의한 광학적 특성분석 결과, 가시광선영역에서 투과율이 90%이상으로 투명전자소자로의 응용이 가능하다는 것을 보여주었다. -
Gang, Se-Jun;Yu, Han-Byeol;Baek, Jae-Yun;Thakur, Anup;Kim, Hyeong-Do;Sin, Hyeon-Jun;Jeong, Jae-Gwan;Lee, Jae-Cheol;Lee, Jae-Hak 89
a-GIZO(비정질 Ga-In-Zn-O)박막은 유연하며 광학적으로 투명하고 높은 전자의 이동도를 갖는 반도체적 특성을 갖기 때문에 차세대 display분야에서 TFT(Thin-Film-Transistor)의 high speed active-matrix layer로써 각광을 받고 있다. 이 물질의 표면은 환경 및 표면처리에 매우 민감하며 [1,2], 이 표면에 metal이 증착되는 경우에도, 선행 연구에 의하면, 다양한 chemical state가 나타남을 알 수 있었다. 이것은 metal의 증착에 따라 metal과 a-GIZO 사이의 contact 저항이 달라짐을 의미한다. 우리는 a-GIZO 박막 위에 Au를 단계적으로 증착시키면서, Au coverage 증가에 따른 core-level과 valence에서의 x-ray photoelectron spectra의 변화를 살펴봄으로써 a-GIZO박막과 Au의 계면에서 일어나는 chemical state의 변화를 알 수 있었다. 특히, Au deposition의 전 처리과정으로써 Ne ion sputtering을 두 단계로 다르게 하여 a-GIZO의 표면환경에 따른 Au 증착의 영향을 살펴보았다. -
Kim, Jun-Dong;Yun, Ju-Hyeong;Ji, Sang-Won;Park, Yun-Chang;Anderson, Wayne A.;Han, Seok-Gyu;Kim, Yeong-Guk;Kim, Jae-Hyeon;Anderson, Wayne A.;Lee, Jeong-Ho;Lee, Jun-Sin 90
Transparent conducting oxide (TCO) films have been intensively utilized in the electric applications, such as, displays, lightings and solar cells due to the good electric conductivity with an excellent transmittance of the visible light. We, herein present an excellent Al-doped ZnO film (AZO), which has been fabricated by co-sputtering method. An as-deposited AZO film had an optical transmittance of 84.78% at 550 nm and a resistivity of$7.8{\times}10^{-3}{\Omega}cm$ . A rapid annealing process significantly improved the optical transmittance and electrical resistivity of the AZO film to 99.67% and$1{\times}10^{-3}{\Omega}cm$ , respectively. The fabricated AZO film was fabricated for a metal-semiconductor-metal (MSM) structure. The AZO film-embedding MSM device was highly responsive to a UV light. -
박막태양전지의 높은 효율개선을 위해 TCO층과 p-layer 사이에 buffer layer를 넣어 Voc와 FF를 개선하는 연구가 진행되고 있다. 이에 buffer layer의 활성화 정도를 높이기 위해 p-layer을 최적화 시키고자한다. 이 실험에서 a-Si:B에 N2O를 도핑시켜 Bandgap Energy 2.0 eV, Activation Energy 0.4 eV인 a-SiOx:B 막을 제작하여 buffer layer로 사용하였고 이 buffer layer에 의한 cell의 효율 향상을 최적화 하기위해 ASA simulation을 이용해 p-layer의 Bandgap Energy와 Activation Energy를 가변 하여 보았다. 실험결과 p-layer의 Bandgap Energy 1.95 eV에서 buffer layer와 p-layer사이에서의 barrier가 최소가 됨을 확인 할 수 있었고 Actication Energy 0.5 eV에서 가장 높은 Voc를 가짐을 알 수 있었다. 본 연구를 통해 p-layer의 Bandgap Energy 1.95 eV, Activation Energy 0.5 eV에서 buffer layer를 활성화시키기 위한 p-layer의 최적화 조건을 구현해 볼 수 있었다.
-
Lee, Chang-Hun;Bae, Jung-Ae;Ko, Yoon-Duk;Kim, Joo-Yeob;Joung, Hong-Chan;Choi, Byung-Hyun;Ji, Mi-Jung;Kim, Young-Sung 92
IZTO and ITO thin films with a thickness of 200nm were deposited on Corning glass substrate to investigate the effects of substrate temperature on their electrical and optical properties by using pulsed DC magnetron sputtering with a sintered ceramic target of IZTO (In2O3 70 wt.%, ZnO 15 wt.%, SnO2 15 wt.%) and ITO (In2O3 90 wt.%, SnO2 10 wt.%). We investigated the structural, electrical, and optical properties of IZTO and ITO films. The structural and electrical properties of both films are sensitive on the substrate temperature. As the substrate temperature is increased, the electrical resistivity of ITO films is improved, but that of IZTO film increase over than$100^{\circ}C$ . All IZTO and ITO thin films have good optical properties, which showed an average of transmittance over 80%. As a result, IZTO films can be a possible material for flexible display due to the low processing temperature. -
Ko, Yoon-Duk;Kim, Joo-Yeob;Joung, Hong-Chan;Lee, Chang-Hun;Bae, Jung-Ae;Choi, Byung-Hyun;Ji, Mi-Jung;Kim, Young-Sung 93
The Indium Zinc Tin Oxide (IZTO) and Indium Tin Oxide (ITO) thin films are grown on PI substrate at different substrate temperature by pulsed DC magnetron sputtering with a sintered ceramic target of IZTO (In2O3 70 wt.%, ZnO 15 wt.%, SnO2 15 wt.%) and ITO (In2O3 90wt.%, SnO2 10wt.%). The structural, electrical, and optical properties are investigated. The IZTO thin films deposited at low temperature showed relatively low electrical resistivity compared to ITO thin films deposited at low temperature. As a result, we could prepare the IZTO thin films with the resistivity as low as$5.6{\times}10^{-4}({\Omega}{\cdot}m)$ . Both of the films deposited on PI substrate showed an average transmittance over 80% in visible range (400.800nm). Overall, IZTO thin film is a promising candidate as an alternative TCO material to ITO in flexible and OLED devices. -
LCD, PDP, OLED 등으로 대표되는 FPD 장치의 투명전극으로 사용되는 ITO의 전기 광학적 특성을 연구하였다. 향후 발전시켜나갈 Flexible display 에서는 ITO를 저온에서 증착해야 할 필요성이 대두되었고, 이에 따라 기판의 온도를 상온으로 유지하면서 고품질의 ITO 박막을 제조하고자 하는 연구가 진행되고 있다. 본 연구에서는 상온 조건에서 유리 기판 위에 RF Magnetron Sputtering 장치를 이용하여 ITO 박막을 증착하였으며 다양한 Magnetic구조를 통한 자장의 분포를 제어하였다. Magnetic을 이용시 RT에서 얻은 면저항보다 낮은 면저항을 가질 수 있을 뿐만 아니라 온도 증가(
$250^{\circ}C$ )에 따른 결과와 비교시 차이가 거의 없음을 알 수 있었다. -
비정질 산화물 반도체(Amorphous oxide semiconductors: AOSs)는 대면적화에도 불구하고 높은 이동도를 가지고, 상온에서도 제작할 수 있고, 투명 플렉시블 디스플레이 소자에 사용할 수 있기 때문에 최근 들어 각광받고 있는 연구 분야이다. 본 연구에서는 스퍼터링을 이용하여 활성층을 Amorphous indium gallium zinc oxide(a-IGZO)로 증착할 시에 스퍼터의 파워와 챔버내의 Ar/O2 비율을 다르게 했을 때 소자에 미치는 영향을 MIS구조를 이용하여 분석했다. 또한 같은 조건의 a-IGZO 활성층을 사용한 박막트랜지스터(TFT) 소자의 절연막의 종류를 바꿔가며 제작했을때의 소자의 특성 변화에 대해서도 분석하였다. 먼저 60 nm 두께의 a-IGZO층을 Heavily doped된 N형 실리콘 기판위에 스퍼터링 파워와 가스 분압비를 달리하여 증착하였다. 그 후 30 nm두께의 SiO2, Al2O3, SiNx 절연막을 증착하고, 마지막으로 열 증발 증착장비(Thermal Evaporator)를 이용하여 Al 전극을 150nm 증착하였다. 소자의 전기적 특성 분석은 HP4145와 Boonton 720을 사용하여 I-V와 C-V를 측정하였다. 위의 실험으로부터 스퍼터에서의 증착 rf파워가 증가할수록 a-IGZO 박막 트랜지스터에서의 캐리어 이동도가 감소하는 것을 볼 수 있었고, 챔버내의 가스분압비와 소자의 절연막의 종류가 변하면 a-IGZO 박막 트랜지스터의 전기적 특성이 변하는 것을 볼 수 있었다. 이러한 캐리어 이동도의 감소와 전기적 특성의 변화의 이유는 a-IGZO 활성층의 bulk trap과 절연막, 활성층 사이의 interface trap에 의한 것으로 보여진다.
-
ZnO는 실온에서 3.37 eV의 큰 밴드갭 에너지와 60 meV의 높은 exciton binding energy를 가지고 있어 광소자를 만드는데 큰 관심을 얻고 있다. 또한 최근에는 ZnO를 기반으로 한 동종접합 전광소자를 만드는데 성공하였다. 그러나 소자의 성능을 높이기 위해 여러 가지 개선할 사항이 있다. 그 중에 하나는 캐리어를 잘 주입 시키기 위한 금속-반도체 접합을 구현하는 것이다. 이러한 문제를 개선하기 위해서는 ZnO 기반으로 한 낮은 비저항을 가진 소자가 필요하다. 일반적으로 n-type ZnO Ohmic 접합에서 쓰이는 금속은 Ti/Au, Ta/Au, Al/Au 등이 있다. 실험방법은 c-plane 사파이어 기판 위에 펄스 레이저 증착 방법으로 3시간 동안
$500^{\circ}C$ 환경에서 ZnO 박막을 성장하고, 표면을 고르게 하기 위해$1000^{\circ}C$ 에서 1분 동안 열처리를 진행하였다. 샘플 위에 photo-resist 코팅을 한 다음 transfer length method(TLM)를 이용하기 위해 포토리소그래피 장비를 통하여 샘플을 노광하였다. 그 위에 Ti/Au (30 nm/80 nm)를 E-beam/thermal evaporation으로 증착 하였다. 이는 일반적인 반도체 공정과 Lift-off방식을 이용하여 패터닝 하였다. 샘플을 열처리하는 것은 금속과 반도체의 접촉 접착과 전기적인 성질을 개선하고 응력과 계면 결함을 감소시키기 때문에 샘플을 100, 200, 300, 400,$500^{\circ}C$ 에서 각각 열처리하였다. 저항을 구하기 위해 각각 열처리된 샘플과 as-deposited의 전류, 전압 특성을 측정하고, 이러한 실험 방법으로 n-type ZnO의 Ohmic 접합을 구현하는 것이 목표이다. -
산화아연 (ZnO)은 넓은 에너지 밴드갭 (~3.37 eV), 큰 엑시톤 결합 에너지 (~60 meV) 그리고 높은 전자 이동도 (bulk~300
$cm^2Vs^{-1}$ , single nanowire~1000$cm^2Vs^{-1}$ )를 갖고 있어, 광전자 소자 및 반도체소자 응용에 매우 널리 사용되고 있다. 특히, 산화아연 나노로드(ZnO nanorod)는 1차원 나노구조로써 더욱 향상된 전자 이동도와 캐리어의 direct path way를 제공하여 차세대 광전자소자 및 태양광 소자의 응용에 대한 연구가 매우 활발하게 이루어지고 있다. 한편, 이러한 산화아연 나노로드를 성장시키기 위하여 VLS (vapor-liquid-solid), 졸-겔 공정(sol-gel process), 수열합성(hydrothermal synthesis), 전기증착(electrodeposition)등 다양한 방법이 보고되었지만, 이러한 산화아연 나노로드의 성장방법은 실제적인 소자응용을 위한 패터닝 형성에 대하여 제약을 받는 문제점이 있다. 이들 중에서 수열합성법과 전극증착법은 ZnO 또는 AZO (Al doped ZnO) seed 층 표면과 성장용액의 화학반응에 의해서 선택적으로 산화아연 나노로드를 성장시킬 수 있다. 이에 본 연구에서는, 광전자소자의 응용을 위한 간단한 패터닝 공정을 위해, 산화인듐주석(ITO) 박막이 증착된 유리기판(glass substrate)위에 수열합성법과 전극증착법을 이용하여 산화아연 나노로드를 선택적으로 성장시켰다. 실험을 위해, ITO glass 위에 RF magnetron 스퍼터를 사용하여 AZO seed 층을 metal shadow mask를 이용하여 패터닝을 형성한 후, 질산아연과 헥사메틸렌테트라아민으로 혼합된 용액에$85^{\circ}C$ 온도를 유지하여, 패터닝이 형성된 샘플에 전압을 인가하여 성장시켰다. 나노구조 분석을 위해, 전계주사현미경을 이용하여 수열합성법과 전기증착법에 의한 패터닝된 산화아연 나노로드를 비교하여 관찰하였다. -
수직으로 정렬된 1차원 나노구조는 입사되는 빛에 대하여 반사율을 줄일 수 있는 유효 굴절률 profile을 갖고 있어, 태양광소자 및 광전자소자의 성능을 향상시키기 위해 널리 응용되어 왔으며, 이러한 수직으로 정렬된 1차원 나노구조를 제작하는 연구가 매우 활발하게 이루어지고 있다. 그 중 화학적 방법으로 성장시킨 산화아연 나노로드(ZnO nanorod)는 비교적 간단하고 저렴한 제작공정을 통해서 높은 결정성을 갖는 수직형 1차원 나노구조체로 이용 할 수 있다. 한편, 효과적인 무반사(antireflection) 층을 제작하기 위해서는 표면에서 발생되는 Fresnel 반사율을 낮춰야 하는데, 이를 위해서 입사되는 매질에서 기판 사이의 유효 굴절률이 연속적이고, 점진적인 변화가 필요하다. 이에 본 연구에서는 무반사 특성향상을 위해서 실리콘 (Si) 기판위에 tapered 산화아연 나노로드를 화학적으로 성장시켜 반사율 특성을 분석하였다. 실험을 위해, 먼저 Si 기판에 AZO (Al doped ZnO) seed 층을 RF magnetron 스퍼터를 사용해 증착한 후, zinc nitrate
$Zn(NO_3)_2{\cdot}6H_2O$ 과 hexamethylentetramines으로 혼합된 용액에 담가두어 산화아연 나노로드를 성장시켰다. Tapered 산화아연 나노로드를 형성하기 위해 용액의 온도를 서서히 낮춤으로 산화아연나노로드의 끝을 뾰족하게 제작할 수 있었다. 한편, 이론적으로 AZO seed 층의 두께에 대한 반사 스펙트럼을 rigorous coupled wave analysis (RCWA) 계산법을 통해서 시뮬레이션을 수행하였으며, 최적화된 AZO seed 층의 두께를 결정하여, 그 위에 tapered 산화아연 나노로드를 성장시켜 반사율을 측정하여 무반사 특성 향상을 확인 할 수 있었다. 또한, 태양광소자 응용을 위해, 표준 AM1.5G 태양광 스펙트럼을 고려한 solar weighted reflection을 계산하였다. -
Sim, Eun-Hui;Lee, Cho-Eun;Go, Ji-Hyeon;Jeong, Ui-Wan;Lee, Jin-Yong;Lee, Yeong-Min;Kim, Deuk-Yeong;Yun, Hyeong-Do;Choe, Hyo-Seok;Kim, Mun-Deok 99
마그네트론 스퍼터 법으로 Al2O3 기판 위에 ZnO 박막을 성장하여 열처리 온도에 따른 광학적 특성 변화를 Raman 분광법 및 photoluminescence (PL) 분광법으로 분석하였다. 박막 성장시 기판의 온도는$500^{\circ}C$ 를 유지하였고, 성장된 시료에 대한 열처리는$600^{\circ}{\sim}900^{\circ}C$ 의 구간에서 3분간 실행하였다. Raman 측정결과 열처리 전후 모든 시료에서 wurtzite nonpolar ZnO의 전형적인 특징인 A1-LO mode와 E2-low mode 및 E2-high mode가 관측되었다. 또한 열처리 온도 변화에 따른 Raman 피크의 이동은 보이지 않았다. 이로 미루어 본 연구에서 제작된 ZnO는 우수한 결정성을 갖고 있으며, 열처리에 의한 변형이 일어나지 않았음을 알 수 있었다. PL 측정 결과 열처리 전의 저온 발광 특성은 잘 분해되지 않는 밴드단 발광이 미약하게 나타났다. 그러나 열처리 온도가 증가함에 따라 exciton 피크가 잘 분리되면서 그 세기도 점차 증가하는 것을 알 수 있었다. Hall 측정 결과와 비교해 볼 때 열처리 온도가 증가 할수록 박막내 native defect가 열처리에 의해 감소되면서 전기적/광학적 특성이 향상되는 것으로 분석된다. -
Electrical Characteristics of a-GIZO TFT by RF Sputtering System for Transparent Display Application2004년 일본의 Hosono 그룹에 의해 처음 발표된 이래로, amorphous gallium-indium-zinc oxide (a-GIZO) thin film transistors (TFTs)는 높은 이동도와 뛰어난 전기적, 광학적 특성에 의해 큰 주목을 받고 있다. 또한 넓은 밴드갭을 가지므로 가시광 영역에서 투명한 특성을 보이고, 플라스틱 기판 위에서 구부러지는 성질에 의해 플랫 패널 디스플레이나 능동 유기 발광 소자(AM-OLED), 투명 디스플레이에 응용될 뿐만 아니라, 일반적인 Poly-Si TFT에 비해 백플레인의 대면적화에 유리하다는 장점이 있다. 최근에는 Y2O3나 ZrO2 등의 high-k 물질을 gate insulator로 이용하여 높은 캐패시턴스를 유지함과 동시에 낮은 구동 전압과 빠른 스위칭 특성을 가지는 a-GIZO TFT의 연구 결과가 보고되었다. 하지만 투명 디스플레이 소자 제작을 위해 플라스틱이나 유리 기판을 사용할 경우, 기판 특성상 공정 온도에 제약이 따르고(약
$300^{\circ}C$ 이하), 이를 극복하기 위한 부가적인 기술이 필수적이다. 본 연구에서는 p-type Si을 back gate로 하는 Inverted-staggered 구조의 a-GIZO TFT소자를 제작 하였다. p-type Si (100) 기판위에 RF magnetron sputtering을 이용하여 Gate insulator를 증착하고, 같은 방법으로 채널층인 a-GIZO를 70 nm 증착하였다. a-GIZO를 증착하기 위한 sputtering 조건으로는 100W의 RF power와 6 mTorr의 working pressure, 30 sccm Ar 분위기에서 증착하였다. 소스/드레인 전극은 e-beam evaporation을 이용하여 Al을 150 nm 증착하였다. 채널 폭은 80 um 이고, 채널 길이는 각각 20 um, 10 um, 5 um, 2 um이다. 마지막으로 Furnace를 이용하여 N2 분위기에서$500^{\circ}C$ 로 30분간 후속 열처리를 실시한 후에, 전기적 특성을 분석하였다. -
투명전도성산화물(transparent conducting oxides, TCOs) 박막으로써 널리 쓰이는 산화인듐주석(indium tin oxide, ITO)은 전기 전도성과 광 투과성이 우수하여 주로 유기발광다이오드(organic light-emitting diode, OLED)의 전극, 발광다이오드(light-emitting diode, LED)의 current spreading 층 및 태양전지(solar cell)의 윈도우층(window layer) 등의 광전자 소자로 응용되고 있으나, 고가의 indium 가격과 인체에 유해한 독성 등이 문제점으로 지적되고 있다. 따라서 indium의 함량을 저감한 새로운 조성의 TCO 또는 indium을 함유하지 않은 친환경적인 TCO 대체 재료 개발의 필요성이 증대되고 있다. 이러한 재료 중 하나인 AZO (Al-doped zinc oxide,
$Al_2O_3$ : 2 wt.%)는 3.82eV의 넓은 에너지 밴드갭을 가지며, 가시광선 및 근 적외선 파장 영역에 대하여 90% 이상의 높은 투과율을 나타낸다. 또한, 습식식각이 가능하며, 매우 풍부하여 원가가 매우 저렴하고, 독성이 없다. 본 연구에서는 박막 증착율이 높고, 제작과정의 조정이 용이한 RF magnetron 스퍼터를 이용하여 glass 기판 위에 AZO 박막을 성장하고,$N_2$ 분위기에서 다양한 온도 조건에서 열처리(rapid thermal annealing, RTA)하여 전기 및 광학적 특성에 대하여 비교 분석하였다. 또한, 이후에 기존의 성장방법과 달리 고가의 진공 장비를 사용하지 않고, 저온에서도 간단한 구조의 장비를 이용하여 균일한 나노구조를 성장시킬 수 있는 전기화학증착법(electrochemical deposition)으로 AZO 박막위에 ZnO 나노로드를 다양한 성장조건에 따라 성장시켜 광학적 특성을 비교 분석하였다. -
ZnO는 우수한 전기적, 광학적 특성으로 LED, solar cell 등과 같은 광전자소자의 응용을 목적으로 많은 연구가 진행되고 있다. 최근에는 ZnO 동종접합을 만들고자 많은 연구가 진행되고 있으나 p형 ZnO의 낮은 용해성과 높은 불순물에 따른 제조의 어려움으로 현재까지는 n형 ZnO만이 전도성 기판 위에 성장되어 응용되고 있다. 전도성 기판으로서 Si의 경우 낮은 가격, 공정의 용이함 등으로 GaN, SiC 등의 기판에 비하여 많은 응용이 가능하다. 따라서 본 연구에서는 전기화학증착법을 이용하여 p-n 접합을 형성하기 위하여 p형 Si 기판 위에 n형 ZnO 나노구조를 성장하고 그 특성을 분석하였다. 전기화학증착법은 낮은 온도 및 간단한 공정과정으로 빠른 성장 속도를 가지고 나노구조를 효과적으로 성장할 수 있는 방식이다. Seed 층 및 열처리에 따른 n형 ZnO 나노구조의 성장 특성 분석을 위하여 radio frequency (RF) magnetron 스퍼터를 사용하여 ZnO 및 Al doped ZnO (AZO) seed 층을 p형 Si 기판 위에 증착 후 다양한 온도로 열처리를 수행하였다. 질산아연(zinc nitrate)과 HMT가 희석된 용액에 KCl 촉매를 일정량 첨가한 후 다양한 공정 온도, 공정시간 및 질산아연의 몰농도를 변화시켜 n형 ZnO 나노구조를 성장하였다. 성장된 나노구조의 특성은 field emission scanning microscopy (FE-SEM), energy dispersive X-ray (EDX), photoluminescence (PL) 등의 장비를 사용하여 구조적, 광학적 특성을 분석하였다.
-
The epitaxial Cu-doped ZnO and pure ZnO thin films were grown on Al2O3 (0001) substrates by RF sputtering method. The structures and crystallographic orientations were investigated using X-ray diffraction (XRD) and X-ray absorption spectroscopy. From the XRD pattern, it is observed that peak positions shift towards higher
$2{\theta}$ value with Cu doping. The${\omega}$ -scan measurements at the (0002) diffraction peak for these samples reveal that the full-widths at half-maxima (FWHMs) are about$0.017-0.019^{\circ}$ , which indicate a good c-axis orientation of the Zn1-xCuxO films. From phi-scan, all of the Zn1-xCuxO films were epitaxially grown. EXAFS measurements also demonstrated that Cu incorporated into a Zn-atom position substitutionally. All the results confirmed that copper ion were well incorporated into the ZnO lattices by substituting Zn sites without changing the wurtzite structure and no secondary phase existed in Cu-doped ZnO thin films. -
ZnO는 3.37 eV의 넓은 에너지 밴드갭을 갖는 투명 전도성 반도체이며 우수한 전기적, 광학적 특성으로 인해 광원소자 개발을 위한 새로운 물질로 많은 주목을 받아왔다. 더욱이, ZnO는 쉽게 나노구조 형성이 가능하기 때문에 이를 응용한 가스센서, 염료감응태양전지, 광검출기 등의 소자 개발이 활발히 이루어지고 있다. 최근에는 GaN 기반 발광다이오드 (light emitting diode, LED)의 광추출 효율을 향상시키기 위한 ZnO 나노구조 응용에 관한 연구가 보고되고 있다. GaN 기반 LED의 경우 반도체 물질과 공기 사이의 높은 굴절률 차이로 인하여 낮은 광추출 효율을 나타낸다. 이를 해결하기 위한 방법으로 표면 roughening, texturing 등 에칭공정을 이용해 광추출 효율을 개선하려는 연구들이 보고되고 있으나, 복잡한 공정과정을 필요로 하고 에칭공정에 의한 소자 표면 손상으로 전기적 특성이 나빠질 수 있다. 반면 전기화학증착법으로 성장된 ZnO 나노구조를 이용할 때, 보다 간단한 방법으로 쉽고 빠르게 나노구조를 형성할 수 있고 낮은 공정온도를 가지기 때문에 소자의 전기적 특성에 큰 영향을 주지 않는다. 수직방향으로 잘 정렬된 ZnO 나노구조를 갖는 LED의 경우 내부 Fresnel 반사 손실을 효과적으로 줄여 발광 효율을 크게 향상시킬 수 있다. 따라서, ZnO 나노구조의 성장제어 및 성장특성을 분석하는 것은 매우 중요하다. 본 연구에서는 ITO glass 위에 ZnO 나노구조를 성장하고 그 특성을 분석하였다. ITO glass 기판 위에 RF magnetron 스퍼터를 사용하여 Al 도핑된 ZnO (AZO)를 얇게 증착한 후 전기화학증착법으로 ZnO 나노구조를 성장하였다. 농도, 인가전압, 공정시간 등 다양한 공정조건을 변화시키면서 성장 메커니즘을 분석하였고, scanning electron microscope (SEM) 및 X-ray diffraction (XRD)을 통하여 구조 및 결정성 등을 분석하였다. 또한, UV-Visible-NIR spectrophotometer를 사용하여 투과율을 실험적으로 측정하여 ZnO 나노구조의 광학적 특성을 분석하였고, rigorous coupled wave analysis (RCWA) 방법을 사용하여 계면에서 발생하는 내부 반사율을 계산함으로써 나노구조의 효과를 이론적으로 분석하였다.
-
Do, Gang-Min;Kim, Ji-Hong;No, Ji-Hyeong;Lee, Gyeong-Ju;Mun, Seong-Jun;Kim, Jae-Won;Park, Jae-Ho;Jo, Seul-Gi;Sin, Ju-Hong;Yeo, In-Hyeong;Mun, Byeong-Mu;Gu, Sang-Mo 105
ZnO is a promising material since it could be applied to many fields such as solar cells, laser diodes, thin films transistors and gas sensors. ZnO has a wide and direct band gap for about 3.37 eV at room temperature and a high exciton binding energy of 60 meV. In particular, ZnO features high sensitivity to toxic and combustible gas such as CO, NOX, so on. The development of gas sensors to monitor the toxic and combustible gases is imperative due to the concerns for enviromental pollution and the safety requirements for the industry. In this study, we investigated the effect of substrate temperature and post-annealing on structural and electrical properties of ZnO thin films. ZnO thin films were deposited by pulsed laser deposition (PLD) at various temperatures at from room temperature to$600^{\circ}C$ . After that, post-annealing were performed at$600^{\circ}C$ . To inspect the structural properties of the deposited ZnO thin films, X-ray diffraction (XRD) was carried out. For gas sensors, the morphology of the films is dominant factor since it is deeply related with the film surface area. Therefore, the atomic force microscopy (AFM) and field emission scanning electron microscopy (FE-SEM) were used to observe the surface of the ZnO thin films. Furthermore, we analyzed the electrical properties by using a Hall measurement system. -
Kim, Jae-Won;Kim, Ji-Hong;Roh, Ji-Hyoung;Lee, Kyung-Joo;Moon, Sung-Joon;Do, Kang-Min;Park, Jae-Ho;Jo, Seul-Ki;Shin, Ju-Hong;Yer, In-Hyung;Koo, Sang-Mo;Moon, Byung-Moo 106
Recently, zinc oxide (ZnO) thin films have attracted great attention as a promising candidate for various electronic applications such as transparent electrodes, thin film transistors, and optoelectronic devices. ZnO thin films have a wide band gap energy of 3.37 eV and transparency in visible region. Moreover, ZnO thin films can be deposited in a poly-crystalline form even at room temperature, extending the choice of substrates including even plastics. Therefore, it is possible to realize thin film transistors by using ZnO thin films as the active channel layer. In this work, we investigated influence of oxygen partial pressure on ZnO thin films and fabricated ZnO-based thin film transistors. ZnO thin films were deposited on glass substrates by using a pulsed laser deposition technique in various oxygen partial pressures from 20 to 100 mTorr at room temperature. X-ray diffraction (XRD), transmission line method (TLM), and UV-Vis spectroscopy were employed to study the structural, electrical, and optical properties of the ZnO thin films. As a result, 80 mTorr was optimal condition for active layer of thin film transistors, since the active layer of thin film transistors needs high resistivity to achieve low off-current and high on-off ratio. The fabricated ZnO-based thin film transistors operated in the enhancement mode with high field effect mobility and low threshold voltage. -
오늘 날 transparent conductive oxide는 다양한 분야에서 활용되고 있다. 최근에는 태양전지 분야에서도 많이 활용되고 있으며, 초기에는 transmittance 및 낮은 sheet resistance 특성을 가지는 ITO가 많이 활용되었지만 thin film solar cell와 같이 hydrogenation 공정에 약한 ITO보다는 Al-doped ZnO가 사용되기 시작하면서 많은 연구가 진행되고 있다. 본 연구에서는 thin film solar cell 및 silicon heterojunction solar cell에 적용 가능한 Al-doped ZnO에 관한 연구로써 a-Si:H의 Si-H bonds에 영향을 주지 않는 낮은 영역의 substrate temperature와 power로 Al-doped ZnO를 형성하고 상기 parameter에 따른 Al-doped ZnO의 특성 변화에 대해서 분석하였다. 특히 substrate temperature가 변화할수록 carrier concentration 및 sheet resistance가 많은 변화를 보였으며 이로 인하여 transmittance 특성이 온도에 따라 좋아지다가 너무 높은 온도에서는 오히려 좋지 않게 되었다. 이는 너무 높은 carrier concentration은 free carrier absorption에 의해 transmittance 특성을 오히려 좋지 않게 한다. 우리는 본 연구를 통해 92.677% (450 nm), 90.309% (545 nm), 94.333% (800 nm)의 transmittance를 얻을 수 있었다.
-
Kim, Sang-Gi;Yu, Seong-Uk;Gu, Jin-Geun;Na, Gyeong-Il;Park, Jong-Mun;Yang, Il-Seok;Kim, Jong-Dae;Lee, Jin-Ho 108
최근 에너지 위기와 환경 규제 강화 및 친환경, 녹색성장 등의 이슈가 대두되면서 에너지 절감과 환경보호 분야에 그린 전력반도체 수요가 날로 증가되고 있다. 이러한 그린 전력반도체는 휴대용컴퓨터, 이동통신기기, 휴대폰, 조명, 자동차, 전동자전거, LED조명 등 다양한 종류의 전력소자들이 사용되고 있으며, 전력소자의 수요증가는 IT, NT, BT 등의 융복합기술의 발달로 새로운 분야에 전력소자의 수요로 창출되고 있다. 특히 환경오염을 줄이기 위한 고전압 대전류 전력소자의 에너지 효율을 높이는 연구 개발이 활발히 진행되고 있다. 종래의 전력소자는 평면형의 LDMOS나 VDMOS 기술을 이용한 소전류 주로 제작되어 수십 암페어의 필요한 대전류용으로 사용이 불가능하다. 반면 수직형 전력소자인 트렌치를 이용한 power 소자는 집적도를 증가 시킬 수 있을 뿐만 아니라 대전류 고전압 소자 제작에 유리하다. 특히 평면형 소자에 비해 약 30%이상 칩 면적을 줄일 수 있을 뿐만 아니라 평면형에 비해 on-저항을 낮출 수 있기 때문에 수요가 날로 증가하고 있다. 트렌치 게이트 power MOS의 중요한 게이트 산화막 형성 기술은 트렌치 내부에 균일한 두께의 산화막 형성과 높은 신뢰성을 갖는 게이트 산화막 형성이 매우 중요하다. 본 연구에서는 전력소자를 제조하기 위해 트렌치 기술을 이용하여 수직형 전력소자를 제작하였다. 트렌치형 전력소자는 게이트 산화막을 균일하게 형성하는 것이 매우 중요한 기술이다. 종래의 수평형 소자 제조시 게이트 산화막 형성 후 산화막 두께가 매우 균일하게 성장되지만, 수직형 트렌치 게이트 산화막은 트렌치 내부벽의 결정구조가 다르기 때문에$1000^{\circ}C$ 에서 열산화막 성장시 결정구조와 결정면에 따라 약 35% 이상 열산화막 두께가 차이가 난다. 본 연구는 이러한 문제점을 해결하기 위해 트렌치를 형성한 후 트렌치 내부의 결정구조를 변화 및 산화막의 종류와 산화막 형성 방법을 다르게 하여 균일한 게이트 산화막을 성장시켜 산화막의 두께 균일도를 향상시켰다. 그 결과 고밀도의 트렌치 게이트 셀을 제작하여 제작된 트렌치 내부에 동일한 두께의 게이트 산화막을 여러 종류로 산화막을 성장시킨 후 성장된 트렌치 내벽의 산화막의 두께 균일도와 게이트 산화막의 항복전압을 측정한 결과 약 25% 이상 높은 신뢰성을 갖는 게이트 산화막을 형성 할 수 있었다. -
1T-1C로 구성되는 기존의 DRAM(Dynamic Random Access Memory)은 데이터를 저장하기 위한 적절한 capacitance를 확보해야 한다. 따라서 캐패시터 면적으로 인한 집적도에 한계에 직면해있다. 따라서 이를 대체하기 위한 새로운 DRAM인 1T (Transistor) DRAM이 각광받고 있다. 기존의 DRAM과 달리 SOI (Silicon On Insulator)기술을 이용한 1T-DRAM은 데이터 저장을 위한 캐패시터가 필요없다. Impact Ionization 또는 GIDL을 이용해 발생한 정공을 채널영역에 가둠으로 서 발생하는 포텐셜 변화를 이용한다. 이로서 드레인 전류가 변화하며, 이를 이용해 '0'과 '1'을 구분한다. 기존의 1T-DRAM은 단결정 실리콘을 이용하여 개발되었으나 좀더 광범위한 디바이스로의 적용을 위해서는 다결정 실리콘 박막의 형태로 제작이 필수적이다. 단결정 실리콘을 이용할 경우 3차원 집적이나 기판재료선택에 제한적이지만 다결정 실리콘을 이용할 경우, 기판결정이 자유로우며 실리콘 박막이나 매몰 산화층의 형성 및 두께 조절이 용이하다. 때문에 3차원 적층에 유리하여 다결정 실리콘 박막 형태의 1T-DRAM 제작이 요구되고 있다. 따라서 이번연구에서는 엑시머 레이저 어닐링 및 고상결정화 방법을 이용하여 결정화 시킨 다결정 실리콘을 이용하여 1T-DRAM을 제작하였으며 메모리 특성을 확인하였다. 기판은 상부실리콘 100 nm, buried oxide 200 nm로 구성된 SOI구조의 기판을 사용하였다. 엑시머 레이저 어닐링의 경우 400 mJ/cm2의 에너지를 가지는 KrF 248 nm 엑시머 레이저 이용하여 결정화시켰으며, 고상결정화 방법은
$400^{\circ}C$ 질소 분위기에서 24시간 열처리하여 결정화 시켰다. 두가지 결정화 방법을 사용하여 제작되어진 박막트랜지스터 1T-DRAM 모두 kink 현상을 확인할 수 있었으며 메모리 특성 역시 확인할 수 있었다. -
현재 전력 반도체는 신재생/대체 에너지 시스템, 자동차/전기자동차, 디스플레이/LED 드라이브 IC 등과 같이 산업용뿐만 아니라 가정용에서도 그 수요가 급증하고 있다. 이러한 전력 반도체는 각 시스템에서 전력 변환, 분배 및 관리를 하는 역할을 하게 되는데, 이러한 전력 시스템에 적용되기 위해서는 고속 스위칭, 낮은 전력 손실 및 발열, 소형화 등의 특성이 요구되어진다. 이러한 특성을 만족하기 위해 현재 전력반도체는 수평형 소자에서 수직 형태로의 구조적 변경을 꽤하고 있으며, 또한 수직형 구조에서도 더욱 소형화와 고밀도 전류, 낮은 전력 손실 특성을 구현하기 위해 여러 가지 형태의 어레이 기술을 개발하고 있다. 본 연구에서는 사각 형태의 어레이 (square array, mesh type)를 가지는 수직형 TDMOS (Trench double diffused metal oxide effect transistor)에서 트렌치 부분을 중심으로 액티브 영역과 그 외각 영역의 도핑 농도와 접합 깊이의 변화에 따른 전기적 특성 변화를 파악함으로써 TDMOS의 안정적인 구동 영역을 확보하기 위한 연구를 수행하였다. 본 연구는 silvaco 시뮬레이션 툴을 이용하여 실제 소자 제작 공정과 유사한 형태로의 공정을 가상적으로 진행하고, 액티브 영역과 그 외각 영역의 도핑 및 접합 깊이를 결정하는 이온 주입량과, 후속 열처리의 온도와 시간 등을 변화함으로써 그 전기적 특성을 상호 비교하였다.
-
유기박막트랜지스터는 각 박막계면의 접촉성에 따라 그 성능이 좌우 된다는 것은 널리 알려진 사실이다. 이 때문에 계면간의 접촉성 및 결함을 최소화 하고 효율적인 패턴 형성을 위해 자기조립단분자막의 이용이 최근에 많이 시도되고 있다. 고품질 자기조립단분자막의 제작을 위해 RCA 세척을 통해 웨이퍼 표면에 OH기를 도입 보다 완벽한 단분자막의 형성을 촉진 하였으며 패턴제작은수분이엄격이조절된환경에서 alkyilsilane과 aminosilane 자기조립단분자막을 각각
${\mu}CP$ 과 용액공정을 통해 시도되었다. 이 과정에서 물리적 흡착이나 OH기 부족으로 생성된 결함을 보안하기 위하여 SC1용액을 사용 단순 물리흡착된 자기조립단분자 물질의 제거와 다시 OH기 도입 용액공정을 통해 자기조립단분자막 형성을 반복적으로 실시하였다. 그 결과 자기조립단분자막의 결함이 최소화 되었고 자기조립단분자막의 질에 따라 유기전극재료 증착 시 선택적인 성장 과 형성된 유기전극재료 층의 형상이 다르게 관찰 되었다. 이런 반복적인 용액공정을 통해 결함이 최소화된 고품질 자기조립단분자막은 박막계면 간 옴성접촉을 형성하여 유기박막트랜지스터 제작 시 성능 향상이 기대되어진다. -
유기박막 트랜지스터(Organic Thin Film Transistor: OTFT)는 낮은 공정비용과 기존의 고체 실리콘 트랜지스터로서 실혐 할 수 없는 플렉시블 디스플레이, 스마트카드, 태양전지 등의 매우 넓은 활용범위로 각광받고 있는 연구 분야 중 하나이다. 본 연구에서는 열 증발 증착장비(Thermal Evaporator)를 이용하여 펜타센을 활성층으로 사용한 유기박막 트랜지스터를 제작하였다. Heavily doped된 N형 실리콘 기판을 메탄올, 에탄올, 불산 처리를 하여 세척을 한 후 PECVD를 이용하여 SiO2를 200 nm 증착하였다. 그 후 열 증발 증착 장비를 사용하여 펜타센을 활성층으로 사용하였고, 분말 형태의 펜타센의 질량을 15~60 mg으로 조절하여 활성층의 두께를 조절하였다. 펜타센 증착 후 100도에서 열처리를 하고, 그 후 Shadow Mask를 이용하여 전극을 150nm 증착하였다. 이때 전극은 Au, Al, Ni 세가지 종류를 사용하였다. 펜타센의 질량을 조절하여 증착한 활성층의 두께는 60 mg일 때 약 60 nm, 45 mg일 때 약 45 nm로 1:1의 비율로 올라가는 것을 확인 할 수 있었고, 펜타센의 두께가 30 nm일 때 특성이 가장 잘 나오는 것을 볼 수 있었다. 펜타센의 두께가 두꺼울수록 게이트에서 인가되는 전압의 필드가 제대로 걸리지 않아 특성이 나쁘게 나온 것으로 보인다. 또한 활성층을 30 nm로 고정하고 전극의 종류를 바꿔가며 전기적 특성(캐리어 이동도, 문턱전압, 전달특성 등)을 측정 했을 때 전극으로 Al보다는 Au와 Ni를 사용했을 때 전기적 특성이 더 우수하게 나오는 것을 볼 수 있었다. 메탈과 펜타센과의 일함수 차이에 따른 결과로 보여진다.
-
Cobalt oxide 박막은 gas sensor, electro-chromic 소자 그리고 energy storage 소자등 광범위한 분야에서 연구되고 있으며 sputtering, CVD 그리고 electrochemical deposition 를 포함한 다양한 방법으로 증착할 수 있다. 최근에는 원자층 증착 방법을 이용한 cobalt oxide박막 증착이 연구되었는데, cyclopentadienyl계열의 전구체와
${\beta}$ -diketonate계열의 전구체를 이용하였다. 하지만 전구체의 낮은 증기압으로 인해 낮은 growth rate (약 0.02~0.05 nm/cycle)을 보였다. 본 연구에서는 증기압이 높은 전구체인 CCTBA (dicobalt hexacarbonyl tert-butylacetylene) 를 선정하여 원자층 증착 공정의 growth rate를 향상시키고자 하였다. 반응기체로는 O3을 사용하여 cobalt oxide 박막을 증착하였다. 반응기체의 주입시간 및 공정온도를 달리하여 시편을 증착한 결과$80^{\circ}C$ 에서 0.1 nm/cycle로 기존의 보고된 growth rate보다 높은 수치를 얻을 수 있었다. 또한 증착된 cobalt oxide 박막내 조성분석과 I-V 측정 등을 이용하여 물리적, 전기적 특성을 규명하였다 -
We report a high-performance and air-stable flexible and invisible semiconductor which can be substitute for the n-type organic semiconductors. N-type organic-inorganic nanohybrid superlattices were developed for active semiconducting channel layers of thin film transistors at low temperature of
$150^{\circ}C$ by using molecular layer deposition with atomic layer deposition. In these nanohybrid superlattices, self-assembled organic layers (SAOLs) offer structural flexibility, whereas ZnO inorganic layers provide the potential for semiconducting properties, and thermal and mechanical stability. The prepared SAOLs-ZnO nanohybrid thin films exhibited good flexibility, transparent in the visible range, and excellent field effect mobility (> 7cm2/$V{\cdot}s$ ) under low voltage operation (from -1 to 3V). The nanohybrid semiconductor is also compatible with pentacene in p-n junction diodes. -
Nano hybrid superlattices consisting of organic and inorganic components have great potential for creation of new types of functional material by utilizing the wide variety of properties which differ from their constituents. They provide the opportunity for developing new materials with new useful properties. Herein, we fabricated new type of organic-inorganic nano hybrid superlattice thin films by a sequential, self-limiting surface chemistry process known as molecular layer depostion (MLD) combined with atomic layer deposition (ALD). An organic layer was formed at
$150^{\circ}C$ using MLD with repeated sequintial adsorption of Hydroquinone and Titanium tetrachloride. A$TiO_2$ inorganic nanolayer was deposited at the same temperature using ALD with alternating surface-saturating reactions of Titanium tetrachloride and water. Using UV-Vis spectroscopy, we confirmed visible light absorption by LMCT. And FTIR spectroscopy and XPS were employed to determine the chemical composition. Ellipsometry and TEM analysis were also used to confirm linear growth of the film versus number of MLD cycles at all same temperature. In addition, p-n junction diodes domonstrated in this study suggest that the film can be suitable for n-type semiconductors. -
Baek, Gyeong-Hyeon;Jeong, Seong-Uk;Jang, Gyeong-Su;Yu, Gyeong-Yeol;An, Si-Hyeon;Jo, Jae-Hyeon;Park, Hyeong-Sik;Lee, Jun-Sin 116
오늘날 표시장치는 경량, 고밀도, 고해상도 대면적화의 요구에 의해 TFT-LCD의 발전이 이루어졌다. TFT에는 반도체 재료로서, Poly-Si을 사용하는 Poly-Si TFT와 a-Si:H를 이용하는 a-Si;H TFT가 있는데 a-Si는$350^{\circ}C$ 이하의 저온으로 제작이 가능하여 많이 사용되고 있다. 이러한 방향에 맞추어 bottom gate 구조의 a-Si TFT 실험을 진행하였다. P-type silicon substrate ($0.01{\sim}0.02{\Omega}-cm$ )에 gate insulator 층인 SiNx (SiH4 : NH3 = 6:60)를 200nm 증착하였다. 그리고 그 위에 active layer 층인 a-Si (SiH4 : H2 : He =2.6 : 10 : 100)을 다른 RF power를 적용하여 100 nm 증착하였다. 그 위에 Source와 Drain 층은 Al 120 nm를 evaporator로 증착하였다. active layer, gate insulator 층은 ICP-CVD 장비를 이용하여 증착하였으며, 공정온도는$300^{\circ}C$ 로 고정하였다. active layer층 증착시 RF power는 100W, 300W, 500W, 600W로 가변하였고, width/length는 100 um/8um로 고정하였다. 증착한 a-Si layer층을 Raman spectroscope, SEM 측정 하였으며, TFT 제작 후, VG-ID, VD-ID 측정을 통해 전기적 특성인 Threshold voltage, Subthreshold swing, Field effect mobility, ON/OFF current ratio를 비교해 보았다. -
유기물과 무기물이 결합한 나노 복합체를 사용하여 제작한 비휘발성 메모리 소자는 공정이 간단하고 저렴할 뿐만 아니라 휘어짐이 가능하다는 장점 때문에 많은 연구가 진행되고 있다. 코어-쉘 나노 입자를 포함한 고분자 박막으로 제작한 비휘발성 메모리 소자에서 쉘의 종류와 유무에 따른 메모리 윈도우와 기억시간에 미치는 영향에 대한 연구는 아주 적다. 본 연구에서는 CdTe-CdSe 코어-쉘 나노 입자 및 CdTe 나노 입자가 Poly(9-vinylcarbazol) (PVK) 박막에 분산되어 있는 나노복합체를 기억 매체로 사용하는 비휘발성 메모리 소자들을 제작하여 쉘의 유무에 따른 메모리 윈도우와 기억시간의 변화를 관찰하였다. 소자를 제작하기 위해 두 가지의 나노 입자를 각각 PVK와 함께 톨루엔에 용해시킨 후에 초음파 교반기를 사용하여 나노입자가 PVK가 고르게 섞인 두 가지의 나노복합체를 형성하였다. 두 가지 용액을 p-Si 기판위에 스핀 코팅 방법으로 도포한 후, 열을 가해 용매를 제거하여 CdTe-CdSe 나노 입자가 PVK에 분산되어 있는 나노복합체 박막과 CdTe 나노 입자가 PVK에 분산되어 있는 나노복합체 박막을 각각 형성하였다. 나노 입자가 분산된 각각의 나노복합체 박막 위에 Al을 게이트 전극으로서 열증착하여 소자를 완성하였다. 제작된 두 소자의 정전용량-전압 (C-V) 측정을 하여 CdSe의 유무에 따른 메모리 소자에 대한 C-V 곡선의 다른 평탄 전압 이동을 관찰 하였다. 정전용량-시간 측정을 하여 CdSe 쉘의 유무에 따라 포획된 전하를 유지하는 능력에 차이가 있는 것을 관찰하였다. 측정 결과 모두 CdSe 쉘이 존재하는 메모리 소자에서 우수한 메모리 윈도우와 기억시간 특성이 나타났다. 에너지 대역도를 사용하여 소자의 전하 수송 메커니즘과 CdSe 쉘의 존재에 의해 소자의 메모리 윈도우와 기억시간 특성이 향상되는 원인을 설명 할 것이다.
-
The Nano-Floating Gate Memory(NFGM) devices with ZnO:Cu thin film embedded in Al2O3 and AlOx-SAOL were fabricated and the electrical characteristics were evaluated. To further improve the scaling and to increase the program/erase speed, the high-k dielectric with a large barrier height such as Al2O3 can also act alternatively as a blocking layer for high-speed flash memory device application. The Al2O3 layer and AlOx-SAOL were deposited by MLD system and ZnO:Cu films were deposited by ALD system. The tunneling layer which is consisted of AlOx-SAOL were sequentially deposited at
$100^{\circ}C$ . The floating gate is consisted of ZnO films, which are doped with copper. The floating gate of ZnO:Cu films was used for charge trap. The same as tunneling layer, floating gate were sequentially deposited at$100^{\circ}C$ . By using ALD process, we could control the proportion of Cu doping in charge trap layer and observe the memory characteristic of Cu doping ratio. Also, we could control and observe the memory property which is followed by tunneling layer thickness. The thickness of ZnO:Cu films was measured by Transmission Electron Microscopy. XPS analysis was performed to determine the composition of the ZnO:Cu film deposited by ALD process. A significant threshold voltage shift of fabricated floating gate memory devices was obtained due to the charging effects of ZnO:Cu films and the memory windows was about 13V. The feasibility of ZnO:Cu films deposited between Al2O3 and AlOx-SAOL for NFGM device application was also showed. We applied our ZnO:Cu memory to thin film transistor and evaluate the electrical property. The structure of our memory thin film transistor is consisted of all organic-inorganic hybrid structure. Then, we expect that our film could be applied to high-performance flexible device.----못찾겠음...... -
Kim, Se-Yun;Seong, Sang-Yun;Jo, Gwang-Min;Hong, Hyo-Gi;Kim, Jeong-Ju;Lee, Jun-Hyeong;Heo, Yeong-U 119
Kawazoe는 1997년 p-type TOS를 만들기 위해서는 3가지가 충족되어야 한다고 언급한바 있다. 첫 번째, 가시광영역에서 투명하기 위해서 cation의 d10s0이 가득 차야 한다. 가득 차지 않은 d10 shell은 광 흡수가 가능하여 투과도를 떨어뜨린다. N-type을 예로 들어 ZnO, TiO, In2O3가 각각 Zn2+, Ti4+, In3+가 되어 d shell을 가득 차게 만드는 것을 볼 수 있다. 두 번째, cation d10s0 shell은 산소의 2p shell과 overlap 되어야 한다. 이 valence band는 홀 전도를 더욱 좋게 한다. 예를 들어 Cu1+(3d), Ag1+(4d)가 해당한다. 세 번째로, 양이온과 산소간의 공유결합을 강하게 하기 위해서 결정학적 구조는 매우 중요하다. Delafossite 구조는 산소가 pseudo-tetrahedral 구조로서 공유결합에 유리하다. 이러한 환경은 O2- (2p6)을 형성하고 홀의 이동도를 증가시킨다. 예를 들어 Cu2O의 경우 앞의 2가지를 만족시키지만 광학적 특성에서 좋지 않다. 그 이유가 3번째 언급한 결정학적인 요인에 있다. 결정 계의 환경은 Cu2O를 따라가면서 3차원적인 연결을 2차원적으로 변형된 delafossite 구조에서는 quantum well이 형성되어 band gap이 커진다. 본 연구에서는 전기적 이방성을 가지고 있는 delafossite CuCrO2 상에서 우선배향을 일으키는 인자 중 기판을 변화시켜 실험을 진행하였다. 결과적으로 기판변화를 통해 우선배향조절이 가능하였으며 CuCrO2 박막을 시켰으며, 결정방향에 따른 전기적 물성의 이방성에 관한 연구는 계속 진행 중에 있다. c-plane sapphire 기판위에는 [00l]로 성장하는 반면, c-plane STO 기판 위에는 [015] 방향으로 성장하는 것을 확인하였다. 이러한 원인은 기판과 증착되는 박막간의 mismatch를 최소화 하여 strain을 줄이고, 계면에서의 Broken boning 수를 줄여 계면에너지를 낮추는 방법이기 때문일 것으로 예상된다. C-plane sapphire 기판위에 증착될 경우 증착온도가 증가함에 따라 c-축으로의 성장이 온전해지며 이에 따라 캐리어농도의 감소와 모빌리티의 증가가 급격하게 변하는 것을 확인할 수 있다. 반면 c-plane STO 기판에서는 증착온도에 따른 박막의 배향변화가 없으며 전기적 물성 변화 또한 비교적 작은 것을 간접적으로 확인하였다. -
광도파로 기반 센서의 성능을 개선시키기 위해서는 코어와 클래딩 층의 굴절률 차를 크게 하여 표면감도를 향상시켜야 한다. 이를 위해 센서용 광도파로 코어 층을 위한 고굴절률 SiNx 박막을 플라즈마 화학기상증착(PECVD, plasma enhanced chemical vapor deposition)법을 이용하여 성장한 후 그 표면특성을 분석하였다. 이 때 플라즈마 화학기상증착 공정 조건 중 NH3 가스를 제외하여 Si 성분이 많은 고굴절률 SiNx 박막의 성장을 유도하고 He/SiH4 가스유량비를 0에서 100까지 변화시켜 SiNx 박막의 표면거칠기를 제어하였다. Si기판 위에 SiNx 박막을 10분 성장 후 BOE(buffered oxide etchant)로 선택식각하여 그 박막두께를 alpha step으로 측정하는 방법으로 He/SiH4 가스유량비 조건별 박막성장률을 계산하였다. 그 결과 He/SiH4 가스유량비 증가함에 따라 박막성장률이 33 nm/min에서 19 nm/min으로 선형적인 감소함을 알 수 있었다. 박막두께가 190 nm가 되도록 He/SiH4 가스유량비 조건별 SiNx 박막을 성장한 후 그 표면특성을 AFM (atomic force microscope)으로 관찰하였다. 이를 통해 He/SiH4 가스유량비가 50일 때 SiNx 박막의 표면거칠기가 최소가 됨을 알 수 있었다.
-
플라즈마 화학적 기상 증착(plasma enhanced chemical vapor deposition)공정 중 NH3 gas flow rate, RF power, SiH4 gas flow rate을 고정시키고 N2O gas flow rate을 0 sccm부터 250 sccm까지 변화시키는 조건 하에 SiON박막을 증착한 후 그 투과율, 굴절률을 측정하고 분석하였다. N2O gas flow rate조건별 시편들은 증착율을 계산하여 350 nm 두께로 동일하게 SiON을 증착하였고, borofloat위에 SiON을 증착한 샘플은 투과율을, 실리콘기판 위에 SiON을 증착한 샘플로는 굴절률을 측정하였다. 투과율의 경우는 UV/Vis spectrometer를 이용해 633 nm, 1550 nm 두 가지 파장 대 모두에서 N2O gas flow rate이 가장 큰 250 sccm일 때 가장 높은 것을 알 수 있었고 N2O gas flow rate이 낮아질수록 투과율 또한 작아지는 경향을 보였다. 굴절률은 ellipsometer를 이용해 측정하였으며 633 nm 파장에서 N2O gas flow rate가 가장 낮은 0 sccm일 때 굴절률이 가장 큰 값을 가지고 N2O gas flow rate이 커질수록 굴절률은 지수함수적으로 감소되었다(n=1.837~1.494). 이는 N2O gas flow rate이 낮을수록 SiN계열에 커질수록 SiO2계열에 가까워지는 현상으로 이해된다. 이러한 실험분석 결과는 향후 실리카 도파로의 설계 및 최적화를 위해 사용될 수 있다.
-
Silicon-oxide-silicon nitride-oxide silicon (SONOS) 구조를 가진 플래쉬 메모리 소자는 기존의 floating gate (FG)를 이용한 플래쉬 메모리 소자에 비해 구동 전압이 낮고, 공정 과정이 간단할 뿐만 아니라 비례 축소가 용이하다는 장점 때문에 차세대 플래쉬 메모리 소자로 많은 연구가 진행되고 있다. SONOS 구조를 가진 플래쉬 메모리에서 소자의 셀 사이즈가 감소함에 따라 발생하는 인접한 셀 간의 간섭 현상에 대한 연구가 소자의 성능 향상에 필요하다. 본 연구에서는 SONOS 구조를 가진 플래쉬 메모리에서 소자의 셀 사이즈가 작아짐에 따라 발생하는 인접한 셀 간의 간섭 현상에 대해 recess field 의 깊이에 따른 변화를 조사하였다. 게이트의 길이가 30nm 이하인 SONOS 구조를 가진 플래쉬 메모리 소자의 구조에서 recess field의 깊이의 변화에 따른 소자의 전기적 특성을 삼차원 시뮬레이션 툴인 sentaurus를 사용하여 계산하였다. 커플링 효과를 확인하기 위해 선택한 셀의 문턱전압이 주변 셀들의 프로그램 상태에 미치는 영향을 관찰하였다. 본 연구에서는 SONOS 구조를 가진 플래쉬 메모리에서 셀 사이에 recess field 를 삽입함으로 인접 셀 간 발생하는 간섭현상의 크기를 줄일 수 있음을 시뮬레이션 결과를 통하여 확인하였다. 시뮬레이션 결과는 recess field 깊이가 증가함에 따라 인접 셀 간 발생하는 간섭현상의 크기가 감소한 반면에 subthreshold leakage current가 같이 증가함을 보여주었다. SONOS 구조를 가진 플래쉬 메모리 소자의 성능향상을 위하여 recess field의 깊이를 최적화 할 필요가 있다.
-
삼차원 구조의 낸드 플래시 메모리 소자는 기존 이차원 구조의 메모리 소자를 비례 축소할 때 발생하는 단채널 효과와 간섭효과를 최소화 하면서 집적도를 높일 수 있는 장점 때문에 많은 연구가 진행되고 있다. 그러나, 삼차원 구조의 낸드 플래시 메모리 소자는 공정 과정이 복잡하고 주변 회로 연결이 어려울 뿐만 아니라 금속 접촉에 필요한 면적이 넓은 단점을 가지고 있다. 이러한 문제점을 해결하기 위해 Vertical-Stacked-Array-Transistor (VSAT) 구조를 갖는 플래시 메모리 소자가 제안되었으나, VSAT 구조 역시 드레인 전류량이 적고 program과 erase 동작 시게이트 양쪽의 전하 트랩층에 전자와 정공을 비효율적으로 포획해야 하는 문제점을 가진다. 본 연구에서는 기존의 VSAT 구조의 문제점을 개선하면서 집적도를 증가한 삼차원 구조의 고집적낸드 플래시 메모리 소자를 제안하였다. 본 연구에서 제안한 플래시 메모리 소자의 구조는 기존 VSAT 구조에서 수직 방향의 두 string 사이에 존재하는 polysilicon을 제거하고 두 string 사이에 절연막을 증착하였다. 삼차원 시뮬레이션 툴인 Sentaurus를 사용하여 이 소자의 동작특성을 시뮬레이션 하였다. 소스와 드레인 사이의 유효 채널 길이가 감소하였기 때문에 기존의 VSAT 구조를 갖는 메모리 소자에 비해 turn-on 상태의 드레인 전류가 증가하였다. 제안한 플래시 메모리 소자의 subthreshold swing (SS)가 기존의 VSAT 구조를 갖는 메모리 소자의 SS 에 비해 낮아, 소자의 스위칭 특성이 향상하였다. 프로그램 전후의 문턱전압의 변화량이 기존의 VSAT 구조를 갖는 메모리 소자에 비해 크기 때문에 멀티 레벨 동작이 가능하다는 것을 확인하였다.
-
전하 트랩형 비휘발성 메모리는 10년 이상의 데이터 보존 능력과 빠른 쓰기/지우기 속도가 요구 된다. 그러나 두 가지 특성은 터널 산화막의 두께에 따라 서로 trade off 관계를 갖는다. 즉, 두 가지 특성을 모두 만족 시키면서 scaling down 하기는 매우 힘들다. 이것의 해결책으로 적층된 유전막을 터널 산화막으로 사용하여 쓰기/지우기 속도와 데이터 보존 특성을 만족하는 Tunnel Barrier engineered Memory (TBM)이 있다. TBM은 가운데 장벽은 높고 기판과 전극쪽의 장벽이 낮은 crested barrier type이 있으며, 이와 반대로 가운데 장벽은 낮고 기판과 전극쪽의 장벽이 높은 VARIOT barrier type이 있다. 일반적으로 유전율과 밴드갭(band gap)의 관계는 유전율이 클수록 밴드갭이 작은 특성을 갖는다. 이러한 관계로 인해 일반적으로 crested type의 터널 산화막층은 high-k/low-k/high-k의 물질로 적층되며, VARIOT type은 low-k/high-k/low-k의 물질로 적층된다. 이 형태는 밴드갭이 다른 물질을 적층했을 때 전계에 따라 터널 장벽의 변화가 민감하여 전자의 장벽 투과율이 매우 빠르게 변화하는 특징을 갖는다. 결국 전계에 민감도 향상으로 쓰기/지우기 속도가 향상되며 적층된 유전막의 물리적 두께의 증가로 인해 데이터 보존 특성 또한 향상되는 장점을 갖는다. 본 연구에서는 SiO2/Al2O3 (2/3 nm)와 SiO2/HfAlO (2/3 nm)의 이중 터널 산화막을 증착 시킨 MIS capacitor를 제작한 후 터널 산화막에 전하가 트랩되는 것을 피하기 위하여 다양한 열처리 온도에 따른 current-voltage (I-V), capacitance-voltage (C-V), constant current stress (CCS) 특성을 평가하였다. 급속열처리 공정온도는 600, 700, 800, 900
${^{\circ}C}$ 에서 진행하였으며, 낮은 누설전류, 터널링 전류의 증가, 전하의 트랩현상이 최소화되는 열처리 공정의 최적화 실험을 진행하였다. -
Polysilicon thin-film transistors (poly-Si TFTs)는 능동행렬 액정 표시 소자(AMLCD : Active Matrix Liquid Crystal Display)와 DRAM과 같은 메모리 분야에 폭넓게 적용이 가능하기 때문에 많은 연구가 진행되고 있다. 최근 poly-Si TFTs의 우수한 특성으로 인하여 주변 driving circuits에 직접화가 가능하게 되었다. 또한 디스플레이 LCD 패널에 controller와 메모리와 같은 다 기능의 장치을 직접화 하여 비용의 절감과 소자의 소형화가 가능한 SOP (System on panels)에 연구 또한 진행 되고 있다. 이미 잘 알려진 바와 같이 비휘발성 메모리는 낮은 소비전력과 비휘발성이라는 특성 때문에 이동식 디바이스에 데이터 저장 장치로 많이 사용되고 있다. 하지만 플로팅 타입의 비휘발성 메모리는 제작공정의 문제로 인하여 SOP의 적용에 어려움을 가지고 있다. SONOS 타입의 메모리는 빠른 쓰기/지우기 효율과 긴 데이터 유지 특성을 가지고 있으나 소자의 스케일링 따른 누설전류의 증가와 10년의 데이터 보존 특성을 만족 시킬 수 가 없는 문제가 발생한다. 본 연구에서는 SOP 적용을 위하여 ELA 방법을 통하여 결정화한 poly-Si TFT memory를 SiO2/Si3N4/SiO2 Tunnel barrier와 High-k HfO2과 Al2O3을 Trapping layer와 Blocking layer로 적용, 비휘발성 메모리을 제작하여 전기적 특성을 알아보았다.
-
ISFET (ion sensitive field effect transistor)는 용액 중의 각종 이온 농도를 측정하는 반도체 이온 센서이다. ISFET는 작은 소자 크기, 견고한 구조, 즉각적인 반응속도, 기존의 CMOS공정과 호환이 가능하다는 장점이 있다. ISFET의 기본 구조는 기존의 MOSFET (metal oxide semiconductor field effect transistor)에서 고안되었으며, ISFET는 기존의 MOSFET의 게이트 전극 부분이 기준전극과 전해질로 대체되어진 구조를 가지고 있다. ISFET소자의 pH 감지 메커니즘은 감지막의 표면에서 pH용액 속의 이온들이 감지막의 표면에서 속박되어 막의 표면전위의 변화를 유발하는 것을 이용한다. 그 결과, ISFET의 문턱전압의 변화를 일으키게 되고 드레인 전류의 양 또한 달라지게 된다. ISFET의 높은 pH감지능력을 얻기 위하여 높은 high-k물질 들이 감지막으로서 연구되었다. Al2O3와 HfO2는 높은 유전상수, non-ideal 효과에 대한 immunity 그리고 높은 pH 감지능력 등 많은 장점을 가지고 있는 물질로 알려졌다. 본 연구에서는, SiO2/HfO2/Al2O3 (OHA) 적층막을 이용한 EIS (electrolyte- insulator-silicon) pH센서를 제작하였다. EIS구조는 ISFET로의 적용이 용이하며 ISFET보다 제작 방법과 소자 구조가 간단하다는 장점이 있다. HfO2은 22~25의 높은 유전상수를 가지며 높은 pH 감지능력으로 인하여 감지막으로서 많은 연구가 이루어지고 있는 물질이다. 하지만 HfO2의 물질이 가진 고유의 특성상 화학적 용액에 대한 non-ideal 효과는 다른 금속계열 산화막에 비하여 취약한 모습을 보인다. 반면에 Al2O3의 유전상수는 HfO2보다 작지만 화학용액으로 인한 손상에 대하여 강한 immunity가 있는 재료이다. 이러한 물질들의 성질을 고려하여 OHA의 새로운 감지막의 적층구조를 생각하였다. 먼저 Si과 high-k물질의 양호한 계면상태를 이루기 위하여 5 nm의 얇은 SiO2막을 완충막으로서 성장시켰다. 다음으로 높은 유전상수를 가지고 있는 8 nm의 HfO2을 증착시킴으로서 소자의 물리적 손상에 대한 안정성을 향상시켰다. 최종적으로 화학용액과 직접적인 접촉이 되는 부분은 non-ideal 효과에 강한 Al2O3을 적층하여 소자의 화학적 손상에 문제점을 개선시켰다. 결론적으로 감지막의 적층 모델링을 통하여 각각의 high-k 물질이 가진 고유의 특성에 대한 한계점을 극복함으로써 높은 pH 감지능력뿐만 아니라 신뢰성 있는 pH 센서가 제작 되었다.
-
최근 반도체 메모리 산업의 발전과 동시에 발생되는 문제들을 극복하기 위한 새로운 기술들이 요구되고 있다. DRAM (dynamic random access memory) 의 경우, 소자의 크기가 수십 나노미터 영역으로 줄어들면서, 단채널 효과에 의한 누설전류와 소비전력의 증가 등이 문제가 되고 있다. 하나의 캐패시터와 하나의 트랜지스터로 구성된 기존의 DRAM은, 소자의 집적화가 진행 되어 가면서 정보저장 능력이 감소하는 것을 개선하기 위해, 복잡한 구조의 캐패시터 영역을 요구한다. 이에 반해 하나의 트랜지스터로 구성되어 있는 1T-DRAM의 경우, 캐패시터 영역이 없는 구조적인 이점과, SOI (silicon-on-insulator) 구조의 기판을 사용함으로써 뛰어난 전기적 절연 특성과 기생 정전용량의 감소, 그리고 기존 CMOS (complementary metal oxide semiconductor) 공정과의 호환성이 장점이다. 또한 새로운 물질 혹은 구조를 적용하여, 개선된 전기적 특성을 통해 1T-DRAM의 메모리 특성을 향상 시킬 수 있다. 본 연구에서는, SOI와 SGOI (silicon-germanium-on-insulator) 및 sSOI (strained-si-on-insulator) 기판을 사용한 MOSFET을 통해, strain 효과에 의한 전기적 특성 및 메모리 특성을 평가 하였다. 그 결과 strained-Si층과 relaxed-SiGe층간의 tensile strain에 의한 캐리어 이동도의 증가를 통해, 개선된 전기적 특성 및 메모리 특성을 확인하였다. 또한 채널층의 결함이 적은 sSOI 기판을 사용한 1T-DRAM에서 가장 뛰어난 특성을 보였다.
-
이종접합 태양전지 제작을 위해 기판의 buffer layer로 사용되는 기존의 a-Si 박막을 SiON 박막으로 대체하려는 연구가 진행 중이다. 기존의 a-Si 박막은 대면적에서 균일도를 담보하기 어렵고, 열적 안정성에 취약한 문제점이 있다. 이에 반해 SiON 박막은 일종의 화학 반응인 oxidation 방법으로 형성이 되기 때문에 막의 균일도를 담보 할 수 있고,
$400^{\circ}C$ 이상의 온도에서 형성되기 때문에 열적 안정성이 우수한 장점이 있다. 이러한 장점에도 불구하고 기판위에 직접 형성이 되기 때문에 기판과 SiON 계면 사이의 pssivation이 무엇보다 중요하다. 본 연구에서는 비정질 실리콘 이종접합 태양전지에 적용키 위한 SiON 박막을 형성하고, 기판과 SiON 계면에서의 passivation 향상을 위한 계면 결함 감소에 대한 연구를 진행하였다. 실험을 위한 SiON 박막은 공정온도$450^{\circ}C$ , 공정압력 100 mTorr, 증착파워 120 mW/cm2에서 5분간 증착하였으며, 이때 50 sccm의 N2O 가스를 주입하였다. 증착된 박막은 2~4 nm의 두께로 증착이 되었으며, 1.46의 광학적 굴절률을 가지는 것으로 분석되었다. 계면의 결함을 줄이기 위해 PECVD를 이용한 NH3 plasma treatment를 실시하였다. 공정온도$400^{\circ}C$ , 공정압력 150mTorr~450 mTorr, 플라즈마 파워 60mW/cm2에서 30분간 진행하였으며, 50 sccm의 N2O 가스를 주입하였다. 계면의 결함이 줄었는지 확인하기 위해 C-V 측정을 위한 시료를 제작하여 분석을 하였다. 실험 결과 VFB가 NH3 plasma treatment 이후 positive 방향으로 shift 됨을 알 수 있었다. Dit 분석을 통해 공정 압력 450 mTorr에서$4.66{\times}108$ [cm2/eV]로 가장 낮은 계면 결함 밀도를 확인 할 수 있었다. 결과적으로 NH3 plasma 처리를 통해 positive charge를 갖는 N-content가 형성되었음을 예측해 볼 수 있으며, N-content가 증가하면, 조밀한 Si-N 결합을 형성하면서, boron 및 phosphorus diffusion을 막는데 효과적이다. 또한, plasma treatment 과정에서 H-content에 의한 passivation 효과를 기대할 수 있다. -
For the programming volume of PRAM, Ge2Sb2Te5(GST) thin films have been dominantly used and prepared by physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD). Among these methods, ALD is particularly considered as the most promising technique for the integration of PRAM because the ALD offers a superior conformality to PVD and CVD methods and a digital thickness control precisely to the atomic level since the film is deposited one atomic layer at a time. Meanwhile, although the IST has been already known as an optical data storage material, recently, it is known that the IST benefits multistate switching behavior, meaning that the IST-PRAM can be used for mutli-level coding, which is quite different and unique performance compared with the GST-PRAM. Therefore, it is necessary to investigate a possibility of the IST materials for the application of PRAM. So far there are many attempts to deposit the IST with MOCVD and PVD. However, it has not been reported that the IST can be deposited with the ALD method since the ALD reaction mechanism of metal organic precursors and the deposition parameters related with the ALD window are rarely known. Therefore, the main aim of this work is to demonstrate the ALD process for IST films with various precursors and the conformal filling of a nano size programming volume structure with the ALD?IST film for the integration. InSbTe (IST) thin films were deposited by ALD method with different precursors and deposition parameters and demonstrated conformal filling of the nano size programmable volume of cell structure for the integration of phase change random access memory (PRAM). The deposition rate and incubation time are 1.98 A/cycle and 25 cycle, respectively. The complete filling of nano size volume will be useful to fabricate the bottom contact type PRAM.
-
최근 나노입자를 이용한 비휘발성 메모리 소자의 제작에 대한 연구가 진행되고 있다. 특히, 실리사이드 계열의 나노입자를 적용한 소자는 일함수가 크지만 실리콘 내의확산 문제를 가지고 있는 금속 나노입자와 달리 현 실리콘 기반의 반도체 공정 적용이 용이한 잇 점을 가지고 있다. 따라서 본 연구에서는 실리사이드 계열의 화합물 중에서 4.63 eV인 Vanadium Silicide (
$V_3$ Si) 박막을 열처리 과정을 통하여 수 nm 크기의 나노입자로 제작하였다. 소자의 제작은 p-Si기판에 5 nm 두께의$SiO_2$ 터널층을 dry oxidation 방법으로 성장시킨 후$V_3$ Si 금속박막을 RF magnetron sputtering system을 이용하여 3~5 nm 두께로 tunnel barrier위에 증착시켰다. Rapid thermal annealing법으로 질소 분위기에서$1000^{\circ}C$ 의 온도로 30초 동안 열처리하여$V_3$ Si 나노 입자를 형성 하였으며. 20 nm 두께의$SiO_2$ 컨트롤 산화막층을 ultra-high vacuum magnetron sputtering을 이용하여 증착하였다. 마지막으로 thermal evaporation system을 통하여 Al 전극을 직경 200, 두께 200nm로 증착하였다. 제작된 구조는 metal-oxide-semiconductor구조를 가지는 나노 부유 게이트 커패시터 이며, 제작된 시편은 transmission electron microscopy을 이용하여$V_3$ Si 나노입자의 크기와 균일성을 확인했다. 소자의 전기적인 측정은 E4980A capacitor parameter analyzer와 Agilent 81104A apulse pattern generator system을 이용한 전기용량-전압 측정을 통해 전하저장 효과를 분석하였다. -
High-k dielectric materials such as
$HfO_2$ ,$ZrO_2$ and$Al_2O_3$ increase gate capacitance and reduce gate leakage current in MOSFET structures. This behavior suggests that high-k materials will be promise candidates to substitute as a tunnel barrier. Furthermore, stack structure of low-k and high-k tunnel barrier named variable oxide thickness (VARIOT) is more efficient.[1] In this study, we fabricated the$WSi_2$ nanocrystals nonvolatile memory device with$SiO_2/HfO_2/Al_2O_3$ tunnel layer. The$WSi_2$ nano-floating gate capacitors were fabricated on p-type Si (100) wafers. After wafer cleaning, the phosphorus in-situ doped poly-Si layer with a thickness of 100 nm was deposited on isolated active region to confine source and drain. Then, on the gate region defined by using reactive ion etching, the barrier engineered multi-stack tunnel layers of$SiO_2/HfO_2/Al_2O_3$ (2 nm/1 nm/3 nm) were deposited the gate region on Si substrate by using atomic layer deposition. To fabricate$WSi_2$ nanocrystals, the ultrathin$WSi_2$ film with a thickness of 3-4 nm was deposited on the multi-stack tunnel layer by using direct current magnetron sputtering system [2]. Subsequently, the first post annealing process was carried out at$900^{\circ}C$ for 1 min by using rapid thermal annealing system in nitrogen gas ambient. The 15-nm-thick$SiO_2$ control layer was deposited by using ultra-high vacuum magnetron sputtering. For$SiO_2$ layer density, the second post annealing process was carried out at$900^{\circ}C$ for 30 seconds by using rapid thermal annealing system in nitrogen gas ambient. The aluminum gate electrodes of 200-nm thickness were formed by thermal evaporation. The electrical properties of devices were measured by using a HP 4156A precision semiconductor parameter analyzer with HP 41501A pulse generator, an Agillent 81104A 80MHz pulse/pattern generator and an Agillent E5250A low leakage switch mainframe. We will discuss the electrical properties for application next generation non-volatile memory device. -
최근, 아이팟, 아이패드, 스마트폰 등의 휴대정보 기기의 수요가 급격히 증가하면서, 고집적성(테라비트급), 초소형, 초고속성, 고신뢰성을 확보할 수 있는 나노스케일(nano-scale)의 비휘발성 메모리(Non-volatile Memory; NVM) 소자 개발에 많은 연구가 집중되고 있다. 현재, 기존 CMOS 반도체 공정과 호환성이 우수하면서 고집적성의 특성이 가능한 전하트랩 플래시(Chrage Trap Flash : CTF) 메모리 소자가 차세대 비휘발성 메모리로써 각광 받고 있다. 하지만, 이러한 CTF 소자가 32 nm 이하로 스케일 다운이 되면서, ONO 층의 크기와 두께가 상당히 작고 얇아짐에 따라, 메모리 트랩수가 상당히 줄어들기 때문에 프로그램/소거 상태를 인지하는 메모리 윈도우의 마진을 확보하는데 어려움이 있다. 본 논문에서는 500 nm 크기를 갖는 폴리스티렌 비드(bead)를 이용한 나노 리소그래피 공정으로 질화막 표면에 roughness를 주어, 질화막과 블로킹 산화막의 경계면에 메모리 트랩의 표면적이 증가시켜, 메모리 윈도우 증가와 프로그램 속도를 개선을 구현하였다.
-
Baek, Gyeong-Hyeon;Jeong, Seong-Uk;Jang, Gyeong-Su;Yu, Gyeong-Yeol;An, Si-Hyeon;Lee, Jun-Sin 136
반도체 및 전자기기 산업에 있어서 NVM은 아주 중요한 부분을 차지하고 있다. NVM은 디스플레이 분야에 많은 기여를 하고 있는데, 측히 AMOLED에 적용이 가능하여 온도에 따라 변하는 구동 전류, 휘도, color balance에 따른 문제를 해결하는데 큰 역할을 한다. 본 연구에서는 bottom gate 구조의 nc-Si NVM 실험을 진행하였다. P-type silicon substrate (0.01~0.02${\Omega}-cm$ ) 위에 Blocking layer 층인 SiO2 (SiH4:N2O=6:30)를 12.5nm증착하였고, Charge trap layer 층인 SiNx (SiH4:NH3=6:4)를 20 nm 증착하였다. 마지막으로 Tunneling layer 층인 SiOxNy은 N2O (2.5 sccm) 플라즈마 처리를 통해 2.5 nm 증착하였다. 이러한 ONO 구조층 위에 nc-Si을 50 nm 증착후에 Source와 Drain 층을 Al 120 nm로 evaporator 이용하여 증착하였다. 제작한 샘플을 전기적 특성인 Threshold voltage, Subthreshold swing, Field effect mobility, ON/OFF current ratio, Programming & Erasing 특성, Charge retention 특성 등을 알아보았다. -
Programmable Metallization Cell (PMC) is a ReRAM device based on the electrolytical characteristic of chalcogenide materials. In this study, we investigated the nature of thin films formed by photo doping of Ag+ ions into chalcogenide materials for use in solid electrolyte of programmable metallization cell devices. We were able to do more economical approach by using Ag+ ions which play an electrolyte ions role. The results imply that a Ag-rich phase separates owing to the reaction of Ag with free atoms from chalcogenide materials.
-
Song, Uk;Kim, Yu-Hyeon;Lee, Sang-Yeon;Maeng, Mae;Kim, Bo-Seong;Yang, Hyeong-Jin;Kim, U-Yeong 138
-
-
최근 광전자 분야에서는 미래 에너지 자원에 대한 관심과 함께 GaN 기반 발광다이오드에 대한 연구가 활발히 진행되고 있다. 특히 InGaN/GaN 양자 우물 구조는 푸른색, 녹색 발광다이오드 구현에 있어 우수한 물질적 특성을 가지고 있다고 알려져 있다. 하지만 우수한 물질적 특성에도 불구하고 고인듐 고품위 막질 성장의 어려움으로 인해 높은 효율의 녹색 발광다이오드 구현하는 것은 여전히 어려운 실정이다. 이를 극복하기 위한 대안 중에 하나인 선택 영역 박막성장법(Selective Area Growth)은 마스크 패터닝을 통해 열린 영역에서만 박막을 성장하는 방법으로써 인듐 함량을 향상 시킬 수 있는 방법으로 주목 받고 있다. 선택 영역 박막 성장법을 이용하여 GaN를 성장하기 위해 그림 1의 공정을 통하여 n-GaN층 위에 SiO2 마스크를 포토리소그라피와 Reactive Ion Etching (RIE)를 이용한 건식 식각 공정을 통해 형성한 후 Metal Organic Chemical Vapor Deposition (MOCVD) 장비를 이용하여 선택적으로 에피를 성장하였다. 성장된 마이크로 피라미드 발광다이오드 구조는 n-GaN 피라미드 구조위에 양자우물 및 p-GaN을 성장함으로써 p-GaN/MQW/n-GaN 구조를 갖는다. 이렇게 생성된 피라미드 구조의 에피를 이용하여 발광다이오드를 제작한 후 그에 대한 전기적, 광학적 특성을 측정하였다. 2인치 웨이퍼의 중심을 원점 좌표인 (0,0)으로 설정하였을 때 2인치 웨이퍼에서 좌표에 해당하는 위치에서의 Photoluminescence (PL) 측정한 결과 일반적인 구조의 발광다이오드의 경우 첨두치가 441~451nm인데 반해 피라미드 구조의 발광다이오드의 경우 첨두치가 558nm~563nm 임을 알 수 있었다. 이를 통해 피라미드 구조 발광다이오드의 경우 일반적인 구조의 발광다이오드에 비해 인듐의 함유량을 증가시킬 수 있다는 것을 알 수 있다. 본 논문에서는 선택 영역 박막 성장법을 이용하여 마이크로 피라미드 InGaN/GaN 양자 우물 구조 구현과 광 전기적 특성에 대해 더 자세히 논의 하도록 하겠다.
-
Song, Hoo-Young;Suh, Joo-Young;Kim, Eun-Kyu;Baik, Kwang-Hyeon;Hwang, Sung-Min;Yun, Joo-Sun;Shim, Jong-In 145
Light-emitting diodes (LEDs) based on III-nitrides compound semiconductors have achieved a high performance device available for display and illumination sector. However, the conventional c-plane oriented LED structures are still showing several problems given by the quantum confined Stark effect (QCSE) due to the effects of strong piezoelectric and spontaneous polarizations. The QCSE results in spatial separation of electron and hole wavefunctions in quantum wells, thereby decreasing the internal quantum efficiency and red-shifting the emission wavelength. Due to demands for improvement of device performance, nonpolar structure has been attracting attentions, since the quantum wells grown on nonpolar templates are free from the QCSE. However, current device performance for nonpolar LEDs is still lower than those for conventional LEDs. In this study, we discuss the potential possibilities of nonpolar LEDs for commercialization. In this study, we characterized current-light output power relation of the a-plane InGaN/GaN LEDs structures with the variation of quantum well structures. On-wafer electroluminescence measurements were performed with short pulse (10 us) and low duty factor (1 %) conditions applied for eliminating thermal effects. The well and barrier widths, and indium compositions in quantum well structures were changed to analyze the efficiency droop phenomenon. -
The blue light emitting diode (LED) structure based on non-polar a-plane (11-20) GaN which was coated TiO2 nanoparticles using spin coating method was grown on r-plane (1-102) sapphire substrates to improve light extraction efficiency. We report on the emission and structural properties with temperature dependence of photoluminescence (PL) and x-ray rocking curves (XRC). From PL results at 13 K of undoped GaN samples, basal plane stacking fault (BSF) and near band edge (NBE) emission peak were observed at 3.434 eV and 3.484 eV, respectively. We also found the temperature-induced band-gap shrinkage, which was fitted well with empirical Varshini's equation. The PL intensity of TiO2 nanoparticles ?coated multiple quantum well (MQW) sample is decayed slower than that of no coating sample with increasing temperature. The anisotrophic strain and azimuth angle dependence in the films were shown from XRC results. The full width at half maximum (FWHM) along the GaN [11-20] and [1-100] directions were 564.9 arcsec and 490.8 arcsec, respectively. A small deviation of FWHM values at in-plane direction is attributed to uniform in-plane strain.
-
High crystalline nonpolar a-plane (11-20) nitride light emitting diodes (LEDs) have been fabricated on r-plane (1-102) sapphire substrates by metalorganic chemical-vapor deposition (MOCVD). The multi-quantum wells (MQWs) active region is consists of 4 periods the nonpolar a-plane InGaN/GaN(a-InGaN/GaN) on a high quality a-plane GaN (a-GaN) template grown by using the multibuffer layer technique. The full widths at half maximum (FWHMs) of x-ray rocking curve (XRC) obtained from phiscan of the specimen that was grown up to nonpolar a-plane GaN LED layers with double crystal x-ray diffraction. The FWHM values were decreased down to 477 arc sec for
$0^{\circ}$ and 505 arc sec for$-90^{\circ}$ , respectively. After fabricating a conventional lateral LED chip which size was$300{\times}600{\mu}m^2$ , we measured the optical output power by on-wafer measurements. N-electrode was made with Cr/Au contact, and ITO on p-GaN was formed with Ohmic contact using Ni/Au followed by inductively coupled plasma etching for mesa isolation. The optical output power of 1.08 mW was obtained at drive current of 20 mA with the peak emission wavelength of 502 nm. -
일반적으로 LED 제작에 사용되는 c-plane GaN는 c축 방향으로 발생하는 분극의 영향을 받게 된다. 분극은 LED내 양자우물의 밴드를 기울게 하여 그 결과 전자와 홀의 재결합 확률을 감소시켜 낮은 내부양자효율을 가지게 된다. 이러한 문제를 해결하기 위한 여러 가지 방법들이 제시되었는데 그 중에서도 특히 a-plane 혹은 m-plane면과 같은 무분극 면을 사용하는 GaN LED가 주목받고 있다. 그 이유는 무분극 면은 분극이 발생하는 c축과 수직이기 때문에 분극의 영향을 받지 않아 높은 내부 양자효율을 가질수 있다. 본 연구에서는 MOCVD 장비를 사용하여 2인치 r-plane 사파이어 기판위에 3um두께의 a-plane GaN을 성장하였다. 그위에 2um정도로 Si을 도핑하여 n-type GaN 형성한후 단일 양자우물, 그리고 Mg을 도핑하여 p-type GaN을 성장하였다. 장파장대역의 a-plane LED의 특성을 알아보기 위해서 양자우물 형성시 In의 조성비를 높였다. 일반적인 포토리소그래피 공정과 Dry etching 공정을 사용하여 메사구조를 형성하였으며 Ti/Al/Pt/Au와 Ni/Au를 각각 n-type과 p-type의 전극 물질로 사용하였다. 제작된 LED의 특성을 파악하기 위해서 인가전류를 0부터 100mA까지 출력 스펙트럼을 측정하였으며 orange대역의 파장을 갖는 LED를 얻었다. 인가전류별 Peak 파장의 변화와 반측폭의 변화를 파악하여 장파장 대역의 a-plane LED의 특성을 확인하였다.
-
CdS films were deposited on glass substrates by R.F. magnetron sputtering method and the films were annealed at various substrate temperatures ranging from room temperature to
$300^{\circ}C$ . Structural properties of the films were studied by X-ray diffraction analysis. The structural parameters as crystallite size have been evaluated. The crystallite sizes were found to increase, and the X-ray diffraction patterns were seen to sharpen by increasing substrate temperatures. X-ray diffraction patterns of these films indicated that they contain both cubic (zincblende) and hexagonal (wurtzite) structures as a mixture. Optical properties of the films were measured at room temperature by using UV/VIS spectrometer in the wavelength range of 190 to 1100nm and optical absorption coefficients were calculated using these data. The energy gap of the films was found to decrease, and the band edge sharpness of the optical absorption was seen to oscillate by annealing. The results show that heat treatments under optimal annealing condition can provide significant improvements in the properties of CdS thin films. -
Choe, Jang-Hui;An, Seong-Su;Yu, Su-Gyeong;Lee, Jong-Min;Park, Jae-Gyu;Lee, Dong-Han;Jo, Byeong-Gu;Han, Won-Seok 150
양자점 Laser Diode(LD)는 낮은 문턱전류, 높은 미분 이득을 갖으며 또한 온도변화에도 안정적이기 때문에 광통신분야에서 광원으로 양자점 LD를 사용하기 위한 연구가 계속되고 있다. 양자점은 fill factor가 낮기 때문에 양자점의 밀도를 높이거나 양자점을 적층 성장하여 fill factor를 높인다. 그러나 양자점을 적층 성장하면 각 층간의 응력, 수직적 결합, 전기적인 결합이 생기며 이는 양자점의 전기적, 광학적 특성에 영향을 미친다. 본 연구에서는 metal organic chemical vapor deposition (MOCVD)을 이용하여 InP기판 위에 자발성장 법으로 InAs 양자점을 다주기 성장하였으며 photoluminescence (PL)을 이용하여 광학적 특성을 분석하였다. precursor는 trimethylindium (TMI), trimethylgalium (TMGa),$PH_3$ ,$AsH_3$ 를 사용하였으며 carrier gas는$H_2$ 를 사용하였다. InAs 양자점은 1100 nm의 파장을 갖는 InGaAsP barrier 위에 성장하였고, InAs와 InGaAsP의 성장온도는$520^{\circ}C$ 이며 InAs 양자점 성장시 V/III 비는 3.66으로 일정하게 유지하였다. 그림 1은 양자점 성장시간을 0.11분으로 고정하여 3주기(A), 5주기(B), 8주기(C) 성장한 구조이며 그림 2는 양자점 성장시간을 3주기마다 0.01분씩 줄여가며 3주기는 0.11분${\times}$ 3(D), 6주기는 0.11분${\times}$ 3+0.10분${\times}$ 3(E), 9주기는 0.11분${\times}$ 3+0.10분${\times}$ 3+0.09분${\times}$ 3(F) 으로 성장한 성장구조이다. 각 성장한 시료는 PL을 이용하여 파장과 반치폭을 측정하였다. 그림 3은 양자점 성장시간을 고정한 시료 A, B, C의 PL파장과 PL반치폭 데이터이다. PL파장은 A, B, C 시료 각각 1504 nm, 1571 nm, 1702 nm이며 반치폭은 각각 140 meV, 140 meV, 150 meV이다. PL파장과 반치폭은 각각 3주기에서 6주기로 증가할 때 67 nm, 0 meV 6주기에서 9주기로 증가할 때는 131 nm, 10 meV 증가하였다. 다음 그림4는 양자점 성장시간을 조절하여 성장한 양자점 시료 D, E, F의 PL파장과 PL반치폭 데이터이다. PL파장은 D, E, F 시료 각각 1509 nm, 1556 nm, 1535 nm이며 반치폭은 각각 137 meV, 138 meV, 144 meV이다. PL파장과 반치폭은 각각 3주기에서 6주기로 증가할 때 47 nm, 1 meV 증가하였고, 6주기에서 9주기로 증가할 때는 21 nm 감소, 6 meV 증가하였다. 양자점 성장시간을 고정하여 다주기를 성장하였고 또 3주기마다 양자점 성장시간을 달리하여 다주기를 성장하였으며 PL을 이용해 광학적 특성을 연구하였다. 성장된 양자점의 PL 파장과 PL 반치폭 변화를 통해 적층구조에서 성장 주기가 늘어날수록 양자점의 크기가 증가하는 것을 확인하였고 또한 적층성장을 할 때 양자점 성장시간을 줄임으로써 양자점의 크기 변화를 제어 할 수 있었다. -
Silicon 기반의 환경에서 연구 및 제조되는 전자소자는 반도체의 기술이 발전함에 따라 chip 선폭의 크기가 30 nm에서 20 nm, 그리고 그 이하의 크기로 점점 더 작아지는 요구에 직면하고 있다. 탄소나노 구조와 나노와이어 기술이 Silicon을 대신할 다음세대 기술로 주목받고 있다. 많은 연구결과들 중에서 III-V CMOS가 가장 빠른 접근 방법이라 예상한다. III-V족 물질을 이용하면 electron 보다 수십 배 이상의 이동도를 얻을 수 있으나 p-type의 구조를 구현하는 것이 해결해야 할 문제이다. p-type 3-5 족 화합물을 이용하여 에너지 밴드 갭의 변화를 가능하게 한다면 hole의 이동도를 크게 향상시킬 수 있어 silicon 기반의 p-type 소자보다 2~3배 더 빠른 소자의 구현이 가능하다. 3-5족 화합물 반도체의 성장 기술이 많이 진보되어 이를 이용하여 고속 소자를 구현한다면 시기적으로 더욱 빨리 다가올 것이라 예측한다. 에너지 밴드갭의 변화와 격자 부정합을 고려하여 SI InP 기판에 GaSb 물질을 채널로 사용한 p-type 2-dimensional hole gas (2DHG) 소자를 구현하였다. 관찰된 소자 구조의 박막 상태의 특징을 보이며 10 um
${\times}$ 10 um AFM 측정결과 1 nm 이하의 표면 거칠기를 가지며 상온에서의 hole 이동도는 약 650 cm2/Vs이고 sheet carrier density는$5{\times}1012$ /cm2의 결과를 확인하였다. 실험결과 InP 기판위에 채널로 사용된 GaSb 박막을 올리는데 있어 가장 중요한 것은 Phosphorus, Arsenic, 그리고 Antimony 물질의 양과 이들의 변화시간의 조절이다. 본 발표에서 Semi-insulating InP 기판위에 electron이 아닌 hole을 반송자로 이용한 차세대 고속 전자소자를 구현하고자 하여 MBE (Molecular Beam Epitaxy)로 p-type 소자를 구현하여 실험하였다. 아울러 더욱 빠른 소자의 구현을 위하여 세계의 유수 그룹들의 연구 결과들과 앞으로 예상되는 고속 소자에 대해서 비교와 함께 많은 기술에 대해 논의하고자 한다. -
유리나 폴리머를 기판으로 하는 TFT(Thin film transistor), solar cell에서는 낮은 공정 온도에서(
$200{\sim}500^{\circ}C$ ) amorphous semiconductor thin film을 poly-crystal semiconductor thin film으로 결정화 시키는 기술이 매우 중요하게 대두 되고 있다. Ge은 Si에 비해 높은 carrier mobility와 낮은 녹는점을 가지므로, 비 저항이 낮을 뿐만 아니라 더 낮은 온도에서 결정화 할 수 있다. 하지만 일반적으로 쓰이는 Ge의 결정화 방법은 비교적 높은 열처리 온도를 필요로 하거나, 결정화된 원소에 남아있는 metal이 불순물 역할을 한다는 문제점, 그리고 불균일한 결정크기를 만든다는 단점이 있었다. 그 중에서도 현재 가장 많이 쓰이고 있는 MIC, MILC는 metal과 a-Ge이 접촉되는 interface나, grain boundary diffusion에 의해 핵 생성이 일어나고, 결정이 성장하는 메커니즘을 가지고 있으므로 단순 증착과 열처리 만으로는 앞서 말한 단점을 극복하는데 한계를 가지고 있다. 이에 PIII&D 장비를 이용하면, 이온 주입된 원소들이 모재와 반응 할 수 있는 표면적이 커짐으로 핵 생성을 조절 할 수 있을 뿐만 아니라, 이온 주입 시 발생하는 self annealing effect로 결정 크기까지도 조절할 수 있다. 또한 이러한 모든 process가 한 진공 장비 내에서 이루어지므로 장비의 단순화와, 공정간 단계별로 발생하는 불순물과 표면산화를 막을 수 있으므로 절연체 위에 저항이 낮고, hall mobility가 높은 poly-crystalline Ge thin film을 만들 수 있다. 본 연구에서는, 주로 핵 생성과정에서 seed를 만드는 이온주입 조건과, 결정 성장이 일어나는 증착 조건에 따라서 Ge의 결정방향과 크기가 많은 차이를 보이는데, 이는 HR-XRD(High resolution X-ray Diffractometer)와 Raman spectroscopy를 이용하여 측정 하였으며, SEM과 AFM으로 결정의 크기와 표면 거칠기를 측정하였다. 또한 Hall effect measurement를 통해 poly-crystalline thin film 의 저항과 hall mobility를 측정하였다. -
Jo, Byeong-Gu;Lee, Gwang-Jae;Park, Dong-U;Kim, Hyeon-Jun;Hwang, Jeong-U;O, Hye-Min;Lee, Gwan-Jae;Kim, Jin-Su;Kim, Jong-Su;No, Sam-Gyu;Im, Jae-Yeong 154
자발형성법(Self-assembled)을 이용한 InAs 양자점(Quantum dots)은 성장법의 고유한 물리적 한계로 길이방향에 대한 수직방향 비율(Aspect ratio, AR)이 상대적으로 작은 값을 갖는다. 기존에 보고된 바에 따르면 GaAs 기판에 형성한 InAs 양자점은 일반적으로 AR이 0.3 정도를 보인다. 이러한 높이가 상대적으로 낮은 InAs 양자점은 수직방향으로 운반자(Carrier)의 파동함수 (Wave-function) 구속이 작게 되어 나노 양자점 구조의 0차원적 특성이 저하되게 된다. 본 논문에서는 Arsenic 차단법(Interruption technique)을 이용한 수정자발형성법(Modified self-assembled method, MSAM)으로 InAs 양자점(MSAM-InAs 양자점)을 형성하고 성장 변수에 따라 광 및 구조적 특성을 평가하여 0차원 순도를 분석하였다. MSAM InAs 양자점을 성장하고 12 nm 두께의 GaAs spacer 층을 증착한 후$600^{\circ}C$ 에서 30초 동안 Arsenic 분위기에서 열처리(Annealing)를 수행 한 후 다시 InAs을 증착 하였다. 이러한 과정을 5번 반복하여 높이 방향으로 형상을 개선시킨 InAs 양자점을(Vetically-controlled MSAM, VCMSAM) 성장하였다. 기존 자발형성법을 이용한 InAs 양자점과 MSAM-InAs 양자점 단일층 구조를 기준시료로 성장하였다. 상온 포토루미네슨스(Photoluminescence, PL) 실험에서 단일 MSAM InAs 양자점 및 VCMSAM 양자점 시료의 발광에너지는 각각 1.10 eV와 1.13 eV를 나타내었다. VCMSAM InAs 양자점 시료의 PL세기는 단일 MSAM 양자점보다 3.4배 증가되어, 확연히 높게 나타나는 결과를 보였다. 이러한 결과는 높이 방향으로 운반자의 파동함수 구속력이 증가하여 구속준위 (Localized states)의 전자-정공의 파동함수중첩(Overlap integral)이 개선된 것으로 설명할 수 있다. 투과전자현미경(Transmission electron microscopy) 및 원자력간 현미경(Atomic force microscopy)을 이용하여 구조적 특성을 평가하고 이를 비교 분석한 결과를 보고한다. -
반도체 양자링은 양자점과 같이 효율이 높은 광학 소자 및 전자 소자에 응용 가능할 뿐 아니라, 양자점과는 다른 흥미로운 현상 연구가 가능하기 때문에 지속적으로 연구되고 있는 양자 구조이다. 특히, 반도체 양자링은 다양한 양자 구조를 형성하기 위한 기초 구조로 사용될 수 있으므로, 반도체 양자링 구조의 형성 메카니즘을 연구하는 것 또한 중요하다. 본 연구에서는 Molecular Beam Epitaxy (MBE)를 이용하여 N-type (100) GaAs 기판 위에 GaAs 양자 구조를 형성하였다. As4 분압의 영향, 즉 3-5 ratio가 표면 양자 구조 변화에 미치는 영향을 관찰하기 위해 3족과 5족을 분리하여 성장하는 전형적인 성장 방식인, droplet epitaxy mode를 사용하였다. 성장 온도, Ga metal droplet 밀도 등의 조건을 고정하고 Arsenic 분압을 1e-5 torr부터 3e-8 torr로 감소시켰을 때 표면 이미지를 AFM과 SEM으로 관찰하였다. As4 분압이 1e-5 torr일 때 양자점의 표면 형상을 보여주다가 As4 분압을 줄여갈수록 양자점의 크기가 증가하면서 As4 분압 1e-6 torr에서는 SEM 이미지 상으로도 분명한 양자링을 관찰할 수 있었다. 특히 주목할 것은 As4 분압 1e-6 torr에서 더 줄여갈수록 양자링 중앙 부분의 낮은 부분이 점점 넓어졌다는 점이다. 이것은 As4 분압 1e-6 torr 이상의 조건이 As4와 Ga atom이 결합하여 GaAs 양자점을 형성하는데 적절한 3-5 ratio의 조건인 반면, 그보다 적은 As4 분압에서는 As4와 결합하지 못한 Ga atom의 표면 migration에 의한 driving force로 인해 양자링이 형성되었다고 추측할 수 있다. 이렇게 형성된 양자링을 열처리 후 macro-PL 측정을 통해 광학적 특성을 보고자 하였다. 그 결과 같은 조건에서 열처리되어 PL 측정한 양자점의 에너지에 비해 peak position이 blue shift한 것을 볼 수 있었다. 이것은 As4를 제외한 같은 조건에서 성장된 양자 구조에서 양자링의 경우 양자점에 비해 그 높이가 낮음을 추측해 볼 수 있다. 양자 구조의 모양과 광학 특성의 관계를 밝히기 위해 추후 추가 측정 및 분석이 필요할 것이다.
-
InAs와 InGaAs 양자점(Quantum Dot: QD)을 이용한 광대역 초발광 다이오드(Superluminescent Diode: SLD) 시료가 분자선증착법(Molecular Beam Epitaxy)을 이용하여 성장되었다. 광대역 파장대 출력을 얻기 위해 각기 다른 종류의 양자점과 다른 크기의 양자점을 적층하였다. 시료는 광발광(Photoluminescence: PL) 측정과 전계발광(Electroluminescence: EL) 측정을 통해 분석 되었으며, PL 측정결과 1222 nm와 1321 nm 파장에서 최대치(peak)를 나타냈으며 EL 측정결과 900mA 전류 주입시 131 nm의 반치폭(Full Width at Half Maximum: FWHM)을 얻었다.
-
박막형태로 제작이 가능한 비정질 실리콘은 결정질 실리콘에 비하여 AM-1 (Air Mass 1:100mW/cm2)조건하에서 10-3 S/cm 정도의 높은 광전기전도도와 가시광선 영역(
$4000{\sim}7000{\AA}$ )에서 약 10배의 높은 광흡수계수를 가지며,$300^{\circ}C$ 이하의 낮은 기판온도에서 다양한 기판위에 대면적으로 제작이 가능할 뿐만 아니라 제작공정이 단순하여 제작비용이 저렴하다는 이점이 있다. 본 실험에서 제작된 모든 박막은 PECVD로 증착하였으며 구조는 p-i-n superstrate형 구조를 사용하였고, 각 박막의 두께는 p-a-Si:H/i-a-SiGe:H/n-a-Si:H ($300{\AA}/2000{\AA}/600{\AA}$ )으로 고정하였다. a-Si:H (hydrogenated amorphous silicon) 태양전지의 광 흡수층인 i-layer에서의 germane 가스 유량 변화(0, 20, 40. 60, 80, 100 sccm)에 대한 흡수율의 차이를 UV/Vis/Nir spectrophotometer (ultraviolet/visible/near infrared spectrophotometer)를 통해 확인하고, 그에 따른 a-Si:H 박막 태양전지를 제작하여 solar simulator를 사용하여 AM 1.5 G의 환경 조건에서 태양전지 특성을 평가하였다. 그 결과 germane 가스 유량이 증가함에 따라 파장에 대한 absorptance (a.u.)값이 증가함을 알 수 있었으며, 흡수되는 파장영역의 범위가 장파장으로 확대됨을 확인할 수 있었다. 또한 germane 가스 유량이 60 sccm 일때 a-SiGe:H 박막 태양전지 변환효율이 3.80%로 최대값을 가졌다. 실험에서 germane 가스 유량이 증가할수록 흡수율이 높아져 태양전지특성이 향상될 거라 예상 했지만, 100 sccm보다 60 sccm일 때가 단락전류밀도 값과 변환효율이 높다는 것을 확인할 수 있었다. 이는 각 layer사이에 계면상의 문제가 있을 거라 예상되며 직렬저항측정을 통해 확인할 수 있다. -
$Cu(In_xGa_{1-x})Se_2$ (CIGS) thin film solar cell is one of the most promising solar cells in photovoltaic devices. CIGS has a direct band gap which varied from 1.0 to 1.26 eV, depending on the Ga to In ratio. Also, CIGS has been studying for an absorber in thin film solar cells due to their highest absorption coefficient which is$1{\times}10^5cm^{-1}$ and good stability for deposition process at high temperature of$450{\sim}590^{\circ}C$ . Currently, the highest efficiency of CIGS thin film solar cell is approximately 20.3%, which is closely approaching to the efficiency of poly-silicon solar cell. The deposition technique is one of the most important points in preparing CIGS thin film solar cells. Among the various deposition techniques, the sputtering is known to be very effective and feasible process for mass production. In this study, CIGS thin films have been prepared by rf magnetron sputtering method using a single target. The optical and structural properties of CIGS films are generally dependent on deposition parameters. Therefore, we will explore the influence of deposition power on the properties of CIGS films and the films will be deposited by rf magnetron sputtering using CIGS single target on Mo coated soda lime glass at$500^{\circ}C$ . The thickness of CIGS films will be measured by Tencor-P1 profiler. The optical properties will be measured by UV-visible spectroscopy. The crystal structure will be analyzed using X-ray diffraction (XRD). Finally the optimal deposition conditions for CIGS thin films will be developed. -
Among the semiconductor ternary compounds in the I-III-
$VI_2$ series,$CulnS_2$ ($CulnSe_2$ ) are one of the promising materials for photovoltaic applications because of the suitability of their electrical and optical properties. The$CuInS_2$ thin film is one of I-III-$VI_2$ type semiconductors, which crystallizes in the chalcopyrite structure. Its direct band gap of 1.5 eV, high absorption coefficient and environmental viewpoint that$CuInS_2$ does not contain any toxic constituents make it suitable for terrestrial photovoltaic applications. A variety of techniques have been applied to deposit$CuInS_2$ thin films, such as single/double source evaporation, coevaporation, rf sputtering, chemical vapor deposition and chemical spray pyrolysis. This is the first report that$CuInS_2$ thin films have been prepared by Aerosol Jet Deposition (AJD) technique which is a novel and attractive method because thin films with high deposition rate can be grown at very low cost. In this study,$CuInS_2$ thin films have been prepared by Aerosol Jet Deposition (AJD) method which employs a nozzle expansion. The mixed fluid is expanded through the nozzle into the chamber evacuated in a lower pressure to deposit$CuInS_2$ films on Mo coated glass substrate. In this AJD system, the characteristics of$CuInS_2$ films are dependent on various deposition parameters, such as compositional ratio of precursor solution, flow rate of carrier gas, stagnation pressure, substrate temperature, nozzle shape, nozzle size and chamber pressure, etc. In this report,$CuInS_2$ thin films are deposited using the deposition parameters such as the compositional ratio of the precursor solution and the substrate temperature. The deposited$CuInS_2$ thin films will be analyzed in terms of deposition rate, crystal structure, and optical properties. -
I-III-VI족 화합물 반도체인
$CuInS_2$ (CIS) 박막은 Cu(In,Ga)$Se_2$ 에 비해서 독성원소를 사용하지 않으므로 환경 친화적이고 Ga, Se를 사용하지 않아 조성의 조절이 쉬우며 태양전지의 이상적인 밴드갭인 1.5 eV에 근접한 1.53 eV의 직접천이형 에너지 밴드갭을 가지고 있어 태양전지의 광흡수층으로써 유망한 재료이다. CIS 박막 증착에는 다양한 방법이 있으며 본 연구에서는 chamber를 진공으로 만들고 CIS를 구성하는 용액으로부터 미립자화 된 입자를 노즐을 통하여 팽창시켜 에어로졸을 생성하고 입자들의 운동에너지를 증착에 직접 이용 할 수 있는 Aerosol Jet Deposition (AJD)라는 방법을 이용하려고 한다. 이 방법은 높은 증착속도로 우수한 박막을 성장시킬 수 있는 저비용 및 단순공정으로 CIS를 증착 할 수 있는 새로운 방법이다. 물을 용매로 하여 수용액 상태의$CuCl_2{\cdot}2H_2O$ ,$InCl_3$ ,$(NH_2)_2CS$ 를 혼합하여 CIS 용액을 제조하고 carrier gas를 주입하여 CIS 용액을 노즐로 이동시켜 팽창시킨다. 용액이 팽창되면서 온도가 감소하여 응축이 일어나며 이 응축된 용액이 가열된 기판 위에 충돌하여 용매가 증발하면서 결정화된 CIS가 증착이 된다. CIS의 특성은 용액의 전구체 비율, 기판 온도, 팽창 전 압력, chamber 압력 등의 영향을 받는데 본 연구에서는 기판 온도를 증착변수로 선택하여 CIS 박막을 증착하고 박막의 특성을 고찰하고자 한다. -
최근 광전자 분야에서는 미래 에너지 자원에 대한 관심과 함께 GaN 기반 태양전지 연구가 활발히 진행되고 있다. GaN 물질은 높은 전자 이동도와 높은 포화 속도 등 광전자 소자에 유리한 광, 전기적 특성들을 가지고 있다. 또한, In의 함량을 변화시켜가며, 0.7eV에서 3.4eV까지 밴드갭을 조절함으로써, 자외선부터 적외선까지 태양빛 스펙트럼의 대부분을 흡수할 수 있는 장점이 있다. InGaN 태양전지의 효율을 높이기 위해서는 In의 함량을 늘려 밴드갭을 줄이는 것이 중요하다. 하지만 GaN 와 InN 간의 격자 부정합으로 인해 In 함량이 높은 단결정 InGaN 층을 두껍게 성장 하는 것이 어렵다. 때문에 GaN 기반 태양전지 관련 연구 그룹들이 태양전지의 효율 향상을 위해 활성층에 양자우물(MQWs) 구조, Supper Lattice (SLs) 구조와 같이 얇은 InGaN/GaN 층을 주기적으로 반복하여 적층함으로써 높은 조성의 In을 함유한 상질의 InGaN/GaN 층을 성장하는 연구들을 진행해 왔다. 본 연구에서는, p-i-n 구조와 MQW 구조를 갖는 InGaN 기반 태양전지를 제작하여, 각각의 특성을 분석해 봄으로써, In0.1Ga0.9N 태양전지 활성층의 구조에 따른 장/단점에 대해 논의하였다. 먼저 MOCVD를 이용하여 200 nm의 i-In0.1Ga0.9N 활성층을 갖는 p-i-n 구조와 In0.19Ga0.81N/GaN(3 nm/8 nm) MQWs (8 periods) 구조를 갖는 태양전지 에피를 각각 성장하였고, 그 후 공정을 통해 그림 1과 같이 InGaN 태양전지 소자를 제작하였다. 그 후, 각 태양전지의 전류/전압 곡선과 외부양자효율을 측정하여 그림 2와 같은 결과를 얻었다. p-i-n과 MQW 샘플의 외부양자효율은 각각 ~70%, ~25%로 측정 되었다. MQW 샘플의 외부 양자효율이 높지 않음에도 불구하고 p-i-n 구조에 비해 높은 In 함량을 가지고 있으므로, 더 넓은 파장의 빛을 흡수하여, 높은 단락전류(0.778 mA/cm2)를 보이고 있다. 또한 p-i-n 구조에 비해 높은 개방전압(2.3V)를 가지고 있으므로, MQW 샘플이 약 17% 정도 높은 변환효율(1.4%)를 보이고 있다. 이후 추가적으로 p-i-n 과 MQW 구조의 InGaN 태양전지에 나타나는 Voc와 Jsc의 차이를 Polarization 효과를 비롯한 다양한 측면에서 분석해 보고자 한다.
-
박막태양전지의 가장 큰 문제점인 stablity가 우수한 조건을 찾는 연구가 많이 진행되고 있다. 그 중 i-layer는 박막태양전지의 구조 중 가장 크게 degradation이 일어나는 부분으로 알려져 왔다. 이에 i-layer 부분을 서로 특성이 다른 두 개의 막을 사용함으로써 stability를 향상시키는 방법이 제시되었는데 이 방법을 사용하는 동시에 높은 효율을 확보하기위해 이 실험을 진행하였다. i-layer의 제작 조건을 가변하여 다양한 Bandgap Energy를 가지는 단일막을 확보하였고 이를 ASA simulation을 이용해 cell에 적용하여 높은 효율을 얻고자 하였다. 결과로 i-layer Bandgap Energy를 1.8eV와 1.75eV로 쌓았을 때 최적의 효율과 electric field를 가짐을 확인할 수 있었다. 본 연구를 통해 stability 향상시킨 구조인 double i-layer 박막태양전지에서의 고효율화를 구현해 볼 수 있었다.
-
Han, Im-Sik;Lee, Sang-Jo;Son, Chang-Won;Ha, Jae-Du;Kim, Jong-Su;Kim, Yeong-Ho;Kim, Seong-Jun;Lee, Sang-Jun;No, Sam-Gyu;Park, Dong-U;Kim, Jin-Su;Im, Jae-Yeong;Byeon, Ji-Su 164
본 연구에서는 InAs 양자점 태양전지의 활성영역에 크기가 다른 양자점을 삽입하여 그 광학적 특성변화를 photoreflectance (PR)와 photoluminescence (PL)를 이용하여 연구하였다. 본 연구에 사용된 InAs 양자점 태양전지 구조는 n+-GaAs (100) 기판 위에 n+-GaAs buffer를 300 nm 성장 후 활성영역에 InAs 양자점과 40 nm 의 n-GaAs spacer를 이용하여 8층의 양자점을 삽입하였다. 그 위에 n-GaAs$1.14{\mu}m$ 와 p+-GaAs$0.6{\mu}m$ , p+-AlGaAs window를 50 nm 성장하고 ohmic contact을 위하여 p+-GaAs 10 nm 성장하였다. 활성영역에 사용된 InAs 양자점의 크기는 InAs 조사량을 1.7 ML~3.0 ML까지 변화시키며 조절하였다. 양자점 태양전지의 활성영역에 삽입한 양자점의 크기에 따른 photoreflectance 측정에서 InAs 조사량이 0~2 ML 사이에서는 Franz-Keldysh oscillation (FKO)의 주기가 짧아지고 2.5 ML 이상에서는 일정한 값 가짐을 보였다. 이는 양자점의 크기가 커질수록 내부 응력에 의한 전기장의 변화에 의한 것으로 사료된다. 아울러 InAs 양자점 태양전지의 photoluminescence 측정 결과 상온에서 1.35 eV 근처에 발광이 관측되었으며 InAs 조사량이 증가할수록 발광중심 낮은 에너지쪽으로 이동함을 보였으며 태양전지 효율은 2.0 ML 인 경우 최고치를 나타내었다. InAs 조사량을 2.0 ML 이상 증가 시킨 경우는 효율이 점진적으로 감소하였다. -
Jo, Jae-Hyeon;Lee, Yeong-Seok;An, Si-Hyeon;Jang, Gyeong-Su;Park, Hyeong-Sik;Park, Cheol-Min;Lee, Jun-Sin 165
기존 실리콘 박막 태양 전지는 적외선에 대한 감응도와 흡수도가 낮아서 광흡수율을 증가시킬 경우 효율의 효과적인 개선이 기대되어진다. 이를 개선하기 위해서 밴드갭이 Si에 비해 상대적으로 낮은 Ge을 도입함으로써 Si와 Ge 화합물을 형성할 경우 결정상태와 수소 함유량에 따라 밴드갭 조절이 가능하다. 또한 Ge는 Si에 비해 빛에 대한 감응도가 우수하여 광흡수율을 증가시킬수 있다. 단 SiGe 박막의 Ge 량이 일정량이상 많아질 경우 박막 내 결함 등의 생성으로 광변환 효율이 오히려 감소하므로 Ge 량의 적정화가 필요하다. 본 실험에 사용된 SiGe:H Layer는 SiH4 가스와 GeH4 가스를 혼합하여 증착하였고 증착장비는 PECVD를 이용하였다. GeH4/SiH4+GeH4 가스는 각각 0, 0.03, 0.1, 0.5, 1의 비율로 증착하였으며, 파워는 플라즈마의 방전특성을 알아본 후 최소파워를 이용하여 증착하였다. 이는 증착 시 플라즈마에 의한 박막 손상을 최소화하기 위함이다. Ellipsometry를 이용하여 박막의 두께와 optical bandgap을 측정하였고, FTIR, Raman scattering 등을 측정하였다. -
연료전지 핵심 부품 가운데 하나인 분리판(Bipolar plate)는 막전극체(MEA), 기체확산층(GDL)과 함께 발생한 전류의 수집 및 전달, 반응 가스의 수송, 반응/생성물의 수송 및 제거, 반응열 제거 등을 위한 냉각수 전달 등의 다양한 역할을 담당한다. 이러한 역할을 위하여 분리판은 우수한 전기전도성, 열전도성, 화학적 안정성이 요구되어 진다. 기존의 연료전지용 분리판은 흑연계 소재 및 수지와 흑연을 혼합한 복합 흑연 재료를 통해 제조하여 요구 되어지는 물성을 만족시켜 왔으나 흑연계 분리판의 경우 강도 및 가스 밀폐성 측면에서 낮은 특성을 보이며 특히 고가의 제조 공정 비용과 낮은 양산성으로 인하여 자동차 연료전지 상용화에 수많은 해결 과제를 안고 있었다. 흑연계 분리판의 이러한 문제점을 대체하기 위한 연구로 최근 금속계 분리판의 적용 및 개발이 활발하게 진행되고 있다. 특히 금속계 분리판은 양산 제조 공정이 적용 가능하여 대량생산이 가능하며 자동차 연료전지 스택의 경량화 및 박판화가 가능하다는 장점을 가지고 있다. 그러나, 박판의 스테인리스강을 소재로 적용한 금속분리판의 양산을 위하여 반드시 선행되어야 할 연구가 바로 금형 코팅 연구이다. 일반 자동차 생산 금형을 평균 약 50만타로 예측한다면 연료전지 금속계 분리판 성형 금형의 현재 수명은 약 10만타로 추정 가능하다. 이러한 원인은 고하중의 프레스 사용과 정밀 금형으로 인한 극한 공정 조건으로 야기된 결과이며 문제 해결을 위하여 성형 금형에 PVD 코팅 적용 연구를 진행하였다. 성형 금형의 PVD 코팅 적용을 통하여 금형 교체 주기 감소를 통한 생산 원가 절감 및 이형성 개선을 통한 성형성 확보를 목표로 본 연구를 진행하였다.
-
Magnetic random access memory (MRAM) is one of the prospective semiconductor memories for next generation. It has the excellent features including nonvolatility, fast access time, unlimited read/write endurance, low operating voltage, and high storage density. MRAM consists of magnetic tunnel junction (MTJ) stack and complementary metal-oxide semiconductor (CMOS). The MTJ stack is composed of various magnetic materials, metals, and a tunneling barrier layer. For the successful realization of high density MRAM, the etching process of magnetic materials should be developed. Among various magnetic materials, FePt has been used for pinned layer of MTJ stack. The previous etch study of FePt magnetic thin films was carried out using
$CH_4/O_2/NH_3$ . It reported only the etch characteristics with respect to the variation of RF bias powers. In this study, the etch characteristics of FePt thin films have been investigated using an inductively coupled plasma reactive ion etcher in various etch chemistries containing$CH_4$ /Ar and$CH_4/O_2/Ar$ gas mixes. TiN thin film was employed as a hard mask. FePt thin films are etched by varying the gas concentration. The etch characteristics have been investigated in terms of etch rate, etch selectivity and etch profile. Furthermore, x-ray photoelectron spectroscopy is applied to elucidate the etch mechanism of FePt thin films in$CH_4$ /Ar and$CH_4/O_2/Ar$ chemistries. -
정보화 사회가 도래함으로 개인별 정보 이용량이 급격히 증가하였고 스마트폰과 같은 모바일 기기의 개발로 정보 이용량이 최고치를 갱신 중이다. 이러한 흐름 속에 사람들은 빠른 처리 속도와 고도의 저장 능력을 요구하게 되고 이에 따라 새로운 Random Access Memory에 대한 연구가 활발히 진행되고 있다. 현재 Dynamic Random Access Memory (DRAM)가 눈부신 발전과 성과를 이룩하고 있지만 전원 공급이 중단 될 경우 저장된 내용들이 지워진다는 단점을 가지고 있다. DRAM의 장점에 이러한 단점을 보완할 수 있는 차세대 반도체 소자로 주목 받고 있는 것이 Magnetic Random Access Memory (MRAM)이다. DRAM에서 Capacitor와 유사한 기능을 하는 MTJ stack은 tunneling magnetoresistance (TMR) 현상을 나타내는 자기저항 박막을 이용하여 MRAM 소자에 집적된다. 본 연구에서는 MRAM의 자성 재료로 구성된 MTJ stack을 효과적으로 식각하고 우수한 식각 profile을 얻는 동시에 재증착의 문제를 해결하는데 목적을 둔다. 본 IrMn 자성 박막의 식각 연구는 유도결합 플라즈마 반응성 이온 식각 (Inductively Coupled Plasma Reactive Ion Etching: ICPRIE)법을 이용하여 진행되었다. 특히 본 연구에서는 종래의
$Cl_2$ ,$BCl_3$ 그리고 HBr과 같은 부식성 가스가 아닌 부식성이 없는$CH_4$ 가스를 선택하여 그 농도를 변화시키면서 식각하였고 더 나아가$O_2$ 를 첨가하면서 그 효과를 극대화하려고 시도하였다. IrMn 자성 박막의 식각 속도, TiN 하드 마스크에 대한 식각 선택도 그리고 profile 등이 조사되었고 최종적으로 X-ray photoelectron spectroscopy (XPS)를 이용하여 식각 메카니즘을 이해하려고 하였다. -
STT-MRAM (수직자화 자기메모리)는 자화반전 현상을 원리로 구동하는 비휘발성 메모리로 기존의 메모리 장치에 비해 빠른 접근 속도와 높은 저장 밀도를 가지며 영구적인 기록이 가능하다. 이러한 장점들에 더해 적은 소모 전력을 지니므로 기존의 SRAM등의 한계를 극복할 대안으로 각광받고 있으며 차세대 메모리 군의 선두주자로 가장 적합한 후보중 하나이다. STT-MRAM의 건식 식각 방식에 있어 가장 큰 이슈는 소자 구동에 핵심적인 역할을 하는 MTJ(Magnetic Tunnel Junction)의 식각이다. MTJ는 free layer, tunnel barrier, pinned layer 3개의 층으로 구성되어 있으며 양 끝 layer에는 강자성체인 CoFeB가 사용되고 tunnel barrier에는 절연층인 MgO가 사용되고 있다. 이러한 물질들은 기존의 반도체 소자에서는 사용되지 않았던 물질들로 기존 공정에서 사용되던 Cl2 based plasma etching에서는 측벽에 비화발성 반응물과 잔류 Cl2에 의해 부식이 발생하는 문제점이 드러나고 있다. 이러한 문제점을 해결하기 위한 새로운 대안으로 CO/NH3/Ar나 CH4/Ar 같은 새로운 가스 조합을 사용하는 연구가 진행되고 있다. 이러한 연구에 의해 기존의 Cl2 plasma를 이용한 식각에서 나타나는 문제점은 해결이 되었으나 또 다른 문제점들이 보고되고 있다. 본 연구에서는 stack MRAM sample을 사용하여 기존의 사용되는 Cl2/Ar plasma와 대안 gas인 CO/NH3, CH4/Ar plasma에서의 식각을 진행하였으며 실험 조건(gas 비율 변화, Bias power 변화, 식각 시간)에 따른 식각 속도의 변화나 식각 후의 profile에 대하여 관찰하였다. 이에 따라 식각후에 어떠한 차이점이 있는 지를 알아보았으며 CO/NH3나 CH4/Ar plasma에서 식각시 나타나는 문제점에 대하여도 조명해 보았다.
-
태양전지와 박막 트랜지스터를 위한 유망한 재료로서 수소화된 비정질 실리콘과 나노결정 실리콘 박막이 관심을 받아 왔다. 특히, 수소화된 나노결정 실리콘 박막은 비정질 대비 높은 방향성과 조밀한 구조 덕에 박막 태양전지나 TFT(Thin film transistor) 소자의 성능 향상에 기여할 수 있는 물질로 연구되고 있다. 이러한 박막들은 보통
$SiH_4$ 같은 Si을 포함한 가스에 다량의$H_2$ 를 희석시켜 플라즈마 화학 증착법(PECVD, Plasma Enhanced Chemical Vapor Deposition)에 의해 성장된다. 이러한 CVD증착 방식을 이용하여 결정화된 박막을 얻기 위해서는 대개 높은 수소 희석비를 이용하는 것이 일반적이나, 이러한 공정 방식은 실리콘이 결합되어야 할 결합위치에 bonding energy가 더 높은 수소의 결합을 촉진하게 된다. 이러한 특성은 박막 태양전지에서 효율을 떨어뜨리는 주요 요소로 작용하고 있다.(1) 본 연구에서는 수소의 결합 확률을 낮춘 결정화된 박막을 성장시키기 위해 수소를 대신하여 헬륨을 희석가스로 사용하여 박막을 증착하고 그 특성을 분석해 보았다. 박막의 구조적 특성, 결정화도(Xc), 플라즈마 내 활성 라디칼(Active radical in plasma), Si-H결합 특성, 전도도(Conductivity)와 같은 박막 특성을 알아보기 위해 주사전자현미경(SEM, Scanning Electron Microscopy), 라만 분광기(Raman spectroscopy), 광 방출 분광기(OES, Optical Emission Spectrocopy), 적외선 분광기(FT-IR, Fourier Transform-Infrared Spectroscopy), Keithley measurement kit이 사용되었다. 수소를 대신하여 헬륨을 사용함으로써 동일 결정화도 대비 10%이상 낮은 microstructure factor 값을 얻을 수 있었으며 인가되는 RF 전력을 140W까지 증가시켰을 때 약 80%의 결정화도를 관찰할 수 있었다. -
Co and Fe doped multi-wall carbon nano-tubes (MWCNTs) synthesized by microwave plasma enhanced chemical vapor deposition (PECVD) technique are investigated with synchrotron radiations at Pohang Light Source (PAL) and European Synchrotron Radiation Facility (ESRF). Near edge x-ray absorption spectroscopy (NEXAFS) measurement at C K, Co
$L_{3,2}$ and Fe$L_{3,2}$ -edges, and x-ray magnetic circular dichroism (XMCD) at Co and Fe$L_{3,2}$ -edges have been carried at 7B1 XAS KIST and 2A MS beamline, respectively, to understand the electronic structure and responsible magnetic interactions at room temperature. X-ray absorption spectroscopy (XAS) at C K-edge shows significant p-bonding and Co and Fe L-edges proves the presence of$Co^{2+}$ and$Fe^{2+}$ in octahedral symmetry. Co and Fe doped MWCNTs show good XMCD spectra at 300K. The effect on the magnetism is also studied through swift heavy ion (SHI) radiations and magnetism is found enhanced and change in the electronic structure in Co-CNTs is investigated. -
Lee, Gyu-Yeong;Kim, Su-In;Park, Sang-Jae;Lee, Dong-Gwan;Jeong, Yong-Rok;Jeong, Jun;Lee, Jong-Rim;Lee, Chang-U 172
현대 반도체 금속배선 연구에서는 기존에 쓰이던 Al (Aluminium) 금속배선 대신에 Cu(Copper) 금속배선 연구가 진행 되고 있다. Cu는 Al 보다 비저항이 낮고, 녹는점도 Al보다 높다는 장점이 있지만 저온에서 기판인 Si (Silicon) 과 반응하고 접착력이 우수하지 못 하다는 단점이 있다. 이런 문제를 해결하기 위하여 확산방지막을 기판과 금속배선 사이에 삽입하는 방법이 제시 되었다. 확산방지막으로는 기존에 쓰이던 Ti (Titanium) 계열의 확산방지막과 W (Tungsten) 계열의 확산방지막이 있다. 이번 연구에서는 W 계열의 확산방지막에 불순물 C (Carbon), N(Nitrogen)을 첨가한 W-C-N 확산방지막 시편을 제조하였고, N2의 비율을 변화시키며$600^{\circ}C$ 열처리를 하였다. 실험 결과 질소의 포함 농도에 따라 확산방지막의 안정도가 변화한다는 결과를 얻었으며, 질소 첨가량에 따라 시편의 표면 보다는 시편의 중간층의 물성 변화율이 큰데 이는 시편 표면의 질소는 열처리 중 확산에 의한 시편과의 분리 현상이 일어나지만 시편의 중간층은 trap현상에 의하여 시편에 남아있어 질소의 영향을 받아 시편의 중간층이 더욱 질소 유량에 따른 영향이 큰 것을 확인하였다. 이 결과로부터 W-C-N 박막은 첨가된 질소의 유량에 따라 박막의 안정도가 결정된 다는 것을 알았다. 본 연구에서 시편은 rf magnetron sputtering 방법으로 제작하였고 연속압입 실험은 Hysitron사의 Triboindenter를 이용하였다. Indenting에 사용된 압입팁은 Berkovich tip을 사용하였다. -
Kim, Ju-Yeong;Kim, Su-In;Lee, Gyu-Yeong;Choe, Seong-Ho;No, Hui-Yun;Gwon, Gu-Eun;Lee, Chang-U 173
반도체 집적도의 비약적인 발전으로 복잡하고 다양한 공정이 연구되었고 공정 중 박막에서 발생되는 물리적, 화학적 반응들에 대한 연구 필요성이 대두 되었다. 박막은 다양한 공정 환경에서 박막의 특성을 잃지 않고 물성을 유지하여야 한다. 특히 공정상의 고온 환경에서 박막은 안정해야하며 물리적손상이 있어서는 안 된다. 이 논문에서는 반도체의 기판으로 사용되는 Si기판과 금속배선 물질인 Cu와의 확산을 효과적으로 방지하기 위한 W-C-N 확산방지막을 제시하였고 시료 증착을 위하여 rf magnetron sputter를 사용하여 동일한 증착조건에서 질소(N)의 비율을 다르게 하여 박막 내 질소비율(0 sccm, 2 sccm)에 따른 확산방지막을 제작하였다. 이후 시료의 열적 안정성 측정을 위하여 상온, 600도, 800도로 각각 질소 분위기에서 30분간 열처리 과정을 실시하여 열적 손상을 인가하였다. 이후 Nanoindentation기법을 이용하여 총 16 point 측정을 하였다. 이를 Weibull distribution으로 분석하여 정량화 시켜 박막의 균일도와 신뢰도를 연구하였다. 또 WET-SPM을 이용하여 AFM 표면 이미지를 확인하였다. 그 결과 고온에서 박막이 Compressive stress를 받아 박막이 일어남을 확인 하였다. 이는 질화물질이 고온에서 물성변화가 적게 나타나는 것을 알 수 있었고, 균일도와 결정성 또한 질화물질에서 더 안정적이었다. -
최근 전자산업의 발전은 형상 면에서 경박 단소화로 급속하게 진행되고 있으며, 전자소자 내부에서의 배선재료로 사용되고 있는 알루미늄(Al) 박막의 두께 역시 얇아지고 있다. 두께가 20nm 이하로 작은 극박막 범위에서 박막의 두께 증가에 따라 전기가 잘 흐르기 시작하는 박막의 최소두께로 정의 되는 유착두께를 실시간으로 측정하는 방법을 구현하고 임의의 금속박막과 기판의 조합에 있어서 각각의 재료에 대한 유착두께를 제공함으로써 향후 미세전자소자의 제작시 배선 재료의 선택에 대한 기초자료를 축적할 수 있다. 또한 금속박막의 증착공정 직전에 기판을 표면처리 하여 기판을 활성화시킬 때 표면처리가 박막의 유착두께에 미치는 영향에 대해 박막의 미세구조 변화 관점에서 연구함으로써 여러 가지 금속박막에 대한 유착두께를 줄일 수 있는 방법을 도출할 수 있다. 본 연구에서는 유리 기판 위에 사진 식각 공정으로 패턴을 형성하였다. 패턴이 형성된 유리 기판은 Sputter에 연결된 4 point probe에 구리 도선으로 연결한 후 DC 마그네트론 스퍼터법으로 Al과 Sn을 증착하면서 실시간으로 시간에 따른 전기저항을 측정을 하였다. 이때 Sputter 내부 진공도는
$4.6{\times}10^{-2}torr$ 까지 낮춰준 후 Al을 증착 할 때 진공도는$1.1{\times}10^{-2}torr$ 로 맞춰주고 Ar 가스를 20 sccm 넣어준다. 이때 Al 박막의 유착 두께는 29.6 nm 이고 Sn 박막의 유착두께는 20.48 nm 이다. 유착 두께를 정의함으로써 전자소자의 크기를 최소화 할 수 있으며 실시간 전기저항 측정을 통한 금속박막의 전기전도 특성과 미세구조에 대한 기초 자료를 제공함으로써 신기술 발전에 공헌할 것이다. -
Kim, Jin-Hyeok;Sin, Gwang-Su;Kim, Hyo-Jin;Go, Hang-Ju;Nam, Seong-Pil;Lee, Seong-Gap;Han, Myeong-Su 175
인체감지 적외선 센서로 사용되는 마이크로볼로미터 센서 감지재료인$V_{2-x}W_xO_5$ 를 증착하고 단위소자를 제작하여 저항 및 센서성능을 측정 조사하였다. 감지재료는$V_2O_5$ 에 W을 첨가하여$V_{2-n}W_nO_5$ 타겟을 제작하였으며 RF sputtering 장비를 이용하여$V_{1.85}W_{0.15}O_5$ 박막을 증착하였다. 증착온도$400^{\circ}C$ ,$Ar/O_2$ 가스비율 50/20, 두께 200nm로 증착된 센서 재료의 특성을 조사한 결과 저항은 약$20{\sim}70k{\Omega}$ 이었으며, TCR 값은 -3%/$^{\circ}C$ 이상으로 매우 우수한 박막특성을 얻었다. 볼로미터소자는$40{\times}40{\sim}140{\times}140um^2$ 의 셀면적으로 설계하여 전극패턴과 습식식각공정으로 센서 구조체를 제작하였다. 소자의 성능평가는 검출기 측정장비를 이용하여 반응도 및 탐지도 특성을 조사하였다. -
경사 코팅법은 증발 물질이 기판에 수직으로 입사하는 기존 코팅방법과 다르게 증발물질이 기판과
$90^{\circ}$ 이하의 경사각으로 입사하도록 하여 코팅하는 방법이다. 이러한 경사 코팅법은 각도를 달리함으로 인해 비스듬한 기둥, 나선형, C-형, S-형, zigzag 구조 등의 정교하고 폭넓은 박막을 형성 할 수 있다. 이러한 경사 코팅법은 경사굴절 광학필터, 선형 편광 등의 광 산업계에서 널리 사용되고 있다. 본 연구에서는 경사 코팅법을 이용하여 마그네트론 스퍼터링법으로 Al 박막을 제조하였고 다양한 경사 각도에 따른 조직의 특성과 내식성 등을 평가하고 비교하였다. 기판을$0^{\circ}$ ,$30^{\circ}$ ,$45^{\circ}$ ,$60^{\circ}$ ,$90^{\circ}$ 의 다양한 각도로 위치시켜 냉연강판 및 Si 웨이퍼에 단일층 또는 다층으로 Al 박막을 코팅하였다. 박막의 구조를 확인하기 위해 전자현미경(SEM)을 사용하였으며 기판의 위치에 따른 변화를 관찰하기 위해 시편을 좌, 우, 중간으로 구분하여 분석하였다. Al 박막이 단층일 경우 회전각도$60^{\circ}$ 에서 치밀한 조직이 관찰되었으며 이것은 표면의 반사도와 표면조도 결과와도 유사하게 나타났다. 다층 박막에서는 회전각도$45^{\circ}$ 에서 아주 치밀한 조직이 나타났으며,$3{\mu}m$ 두께로 코팅한 시편의 염수분무 시험 결과 120시간 경과 후에도 적청이 발생하지 않았다. 이것은 기존의 방법이 약 72시간에서 적청이 발생하는 것과 비교할 때 경사 코팅법으로 코팅된 시편의 내식성이 현저히 향상됨을 나타낸다. 따라서 반응성이 높고 쉽게 산화가 일어나는 철강제품의 부식 방지를 위해 경사 코팅법으로 Al 박막을 제조한다면 치밀한 조직으로 인해 얇은 두께에서도 부식이 발생하는 시간을 연장시켜 제품의 내구수명을 연장시킬 수 있을 것으로 기대된다. -
Kim, Tae-Jung;Yun, Jae-Jin;Hwang, Sun-Yong;Kim, Yeong-Dong;Hwang, Su-Min;Lee, Seung-Muk;Ju, Jin-Ho 177
Complementary metal-insulator-metal capacitor에서$SiO_2$ 는 절연체로 널리 사용되고 있었으나, 반도체 소자의 고직접화로 인한 선폭의 감소로 터널링 효과에 의해 누설전류가 증가하여, 대체 물질에 대한 연구가 활발히 진행되고 있다. 그 중$ZrO_2$ 는 고유전율, wide bandgap, 열안정성의 특징을 가지고 있어 대체 물질로 주목 받고 있다.$ZrO_2$ 박막 제작에는 sputter, atomic layer deposition 등의 진공증착을 이용한 방법과 용액을 이용한 sol-gel 법이 있다. 화학용액을 이용한 sol-gel 법은 소자의 패턴을 프린트 할 수 있는 장점과 상대적으로 값싼 공정으로 인해 최근 주목 받고 있지만, 진공증착법에 비해서 연구가 전무한 실정이다. 본 연구에서는 sol-gel 법에 의해 프린트된$ZrO_2$ 박막의 광특성을 분광타원편광분석법으로 연구하였다. Si 기판위에 0.1 M의$ZrO_2$ sol을 입힌 뒤에$300{\sim}700^{\circ}C$ 의 온도에서 열처리 하였다. 분광타원 편광분석기로 1.12~6.52 eV 에너지 영역에서 측정하였고,$ZrO_2$ 박막의 광특성 분석을 위해서 Tauc-Lorentz 모델을 이용하였다. 그 결과 고온에서의 열처리로 인해 효율이 높아서 소자로 이용할 수 있는 tetragonal 구조를 가진$ZrO_2$ 박막이 형성됨을 분석할 수 있었다. 본 연구는 sol-gel법으로 제작된$ZrO_2$ 박막의 고직접, 고속 소자응용성과 비파괴적인 광특성 분석법을 제시하고 있다. -
군사용 장비의 전원장치, 인공위성, 해양개발용 등의 특정분야에 한정되어 이루어지던 열전물질에 대한 연구가 최근에는 에너지원의 다양화와 에너지 절약에 대한 필요성이 크게 대두됨에 따라 산업 폐열과 각종 열기관의 폐열 및 해수 온도차나 태양열과 같은 자연에너지를 이용하는 열전발전에 대한 연구로 영역이 확장되어 꾸준히 이루어지고 있다. 다양한 열전 재료 중에서 BixTey 계, BixSey 계, SbxTey 계, 혹은 이들의 합금계가 많이 연구되고 있다. 이 중에서 BixTey 계의 박막 성장 방법으로는 sputtering deposition, electrodeposition, flash evaporation, molecular beam epitaxy, chemical vapor deposition (화학적기상증착) 등이 있다. 이러한 다양한 방법들 중에서 화학적기상증착법은 양질의 두꺼운 막을 성장시킬 수 있음과 동시에 산업적인 생산에 적용될 수 있기 때문에 열전박막 증착을 위한 중요한 수단이 될 수 있을 것으로 생각되고 있다. 하지만 적절한 전구체(precursor)의 부족, tellurium (Te)의 재증발과 같은 문제점 때문에 화학적기상증착법을 이용한 BixTey 계 박막에 대한 전반적인 연구가 부족한 실정이다. 본 연구에서는 다양한 기판, 예를 들면, 실리콘(Si), 실리콘 산화물(SiO2), 백금(Pt) 등, 에 화학적기상증착법을 이용하여 BixTey 계 박막을 성장시키고, 온도와 압력 등의 조건 변화에 따른 박막의 형상과조성, 구조적 특성에 관한 연구를 진행하였다. 특히, 성장 조건에 따른 박막의 형상 연구를 통하여 성장 기구에 관한 고찰을 진행할 수 있었다. 나아가 투과전자현미경 연구를 통하여 기판과 박막의 계면 특성과 개별 결정립이 가지는 미세구조적 특성에 관한 연구를 진행하였다.
-
관통전극(TSV, Trough Silicon Via) 기술은 전자부품의 소형화, 고성능화, 생산성 향상을 이룰 수 있는 기술이다. Cu는 현재 배선 기술에 적용되고 있고 전기적 저항이 낮아서 TSV filling 재료로 사용된다. 하지만 확산 방지막에 의해 완벽히 감싸지지 않는다면, Cu+은 빠르게 절연막을 통과하여 Si 웨이퍼로 확산된다. 이런 현상은 절연막의 누설과 소자의 오동작 등의 신뢰성 문제를 일으킬 수 있다. 현재 TSV의 제조와 열 및 기계적 응력에 관한 연구는 활발히 진행되고 있으나 Biased-Thermal Stress(BTS) 조건하의 Cu 확산에 관한 연구는 활발하지 않는 것이 실정이다. 이를 위해 본 연구에서는 TSV용 Cu 확산 방지막 Ti에 대해 Cu+의 drift 억제 특성을 조사하였다. 실험을 위해 Cu/확산 방지막/Thermal oxide/n-type Si의 평판 구조를 제작하였고 확산 방지막의 두께에 따른 영향을 조사하기 위해 Ti의 두께를 10 nm에서 100 nm까지 변화하였으며 기존 Cu 배선 공정에서 사용되는 확산 방지막 Ta와 비교하였다. 그리고 Cu+의 drift 측정을 위해 Biased-Thermal Stress 조건(Thermal stress:
$275^{\circ}C$ , Bias stress: +2MV/cm)에서 Capacitance 및 Timedependent dielectric breakdown(TDDB)를 측정하였다. 그 결과 Time-To Failure(TTF)를 이용하여 Cu+의 drift를 측정할 수 있었으며, 확산 방지막의 두께가 증가할수록 TTF가 증가하였고 물질에 따라 TTF가 변화하였다. 따라서 평판 구조를 이용한 본 실험의 Cu+의 drift 측정 방법은 향후 TSV 구조에서도 적용 가능한 방법으로 생각된다. -
본 연구에서는 MEMS 공정 기술 및 니켈 전해도금 공정을 이용한 프로브 카드를 제작 및 연구 했으며 MEMS기술을 사용함에 따라 다양한 형상의 프로브 카드를 구현하였다. 본 연구를 진행하면서 Photolithography공정 중 스핀코팅, 노광의 세기 및 도금시간의 변화를 각각 다르게 했을 때 도금용 Thick PR Mold 높이에 큰 영향이 있는 것을 알 수 있었다. 실리콘 웨이퍼를 대신하여 Pi필름 상에 Thick PR를 이용하여 Mold를 형성하고, 그 위에 니켈 도금법에 의해 니켈 박막을 형성한 후, Lapping에 의해 두께 평탄도를 조정한다면 일정한 두께편차, 직각에 가까운 수직도 및 항상 일정한 치수 정밀도를 갖는 저단가 니켈 소재의 프로브 카드를 제작 할 수 있을 것이며, 높은 효율을 기대 할 수 있다.
-
Phase-change materials can be cycled by exposure to laser beam, and as a function of the pulse intensity and duration, the laser beam triggers the switching from crystalline to amorphous phase and back. In other to progress better crystallization transition and amorphization long phase-transformation data of phase-change memory (PRAM), we investigated about the effect of Sb doping and Ag ions percolating into Ge-Se-Te phase-change material. Doped Sb concentrations was determined each of 10, 20 and 30 wt%. As the Sb-doping concentration was increased, the resistivity decreased and the crystallization temperature increased. Ionization of Ag was progressed by DPSS laser (532 nm) for 1 hour. The resistivity was more decreased and the crystallization temperature was more increased in case of adding Ag layer under Sb-(Ge-Se-Te) thin film. At the every condition of thin films included Ag layer more stable states were indicated compare with just Sb-doped Ge-Se-Te thin films.
-
리튬 이온 배터리의 cathode 및 electrolyte 재료로 사용되는 LiCoO2을 sputtering이나 pulsed laser deposition을 이용하여 박막으로 증착하기 위해서는 target이 필요하다. Target은 원료 분말을 가압 성형한 후 고온에서 소결하여 제조된다. LiCoO2 target 제조과정에서 고밀도를 얻기 힘들고 Li 성분의 증발이 일어난다. 또한 Li2O 분말은 흡습성이 매우 크다. 본 연구에서는 시간과 온도를 조절하여 최적화된 소결 과정을 통해 target의 밀도가 이론밀도와 근사한 값을 갖도록 하고, LiCoO2 또는 Co3O4 분말에 각각 흡습성이 낮은 Li2CO3 분말을 첨가하여 Li 성분을 조절하였다. Li과 Co의 조성비가 1:1-2:1인 고밀도의 LiCoO2 target을 제조하여 박막 증착 후 Li과 Co의 조성비가 1:1이 되도록 하였다.
-
반도체 전자 소자의 초고집적회로(VLSI, Very Large Scale Integrated Circuit)가 수년간 지속됨에 따라 실리콘 기반으로 하는 MOSFET 성능의 한계에 도달하게 되었다. 재료 물성, 축소, 소자 공정 등에 대한 원인으로 이를 극복하고자 하는 재료와 성능향상에 관한 연구가 진행되고 있다. 이에 기존 시스템의 전자의 전하 정보만을 응용하는 것이 아니라 전자의 스핀 정보까지 고려하는 스핀트로닉스 연구분야가 주목을 받고 있다. Spin-FET는 스핀 주입, 스핀 조절, 스핀측정 등으로 나뉘어 연구되고 있으며 이 중 스핀 주입의 효율 향상이 우선시 해결되어야 한다. 일반적으로 스핀 주입 과정에서 소스가 되는 강자성체와 스핀 확산 거리가 긴 반도체 물질과의 Conductance mismatch가 문제되고 있다. 이에 자성 반도체는 근본적인 문제를 해결하고 반도체와 자성체의 특성을 동시에 나타내는 물질로써, Si과 Ge (4족) 등의 반도체뿐만 아니라, GaAs, InP (3-5족), ZnO, ZnTe (2-6족) 등의 반도체 또한 많은 연구가 이루어지고 있다. 자성 반도체에서 해결해야 할 가장 큰 문제는 물질이 자성을 잃는 Curie 온도를 상온 이상으로 높이는 것이다. 이에 본 연구는 전이금속이 도핑된 4족 Si 반도체 박막을 성장하고 후처리 공정을 통하여 나타나는 구조적, 자기적 특성을 연구하였다. 펄스 레이저 증착 방법을 통하여 p-type Si 기판위에 전이금속 Fe이 도핑된 박막을 500 nm 로 성장하였다. 성장 온도는
$250^{\circ}C$ 로 하였고, 성장 분압은$3 {\times}10^{-3}$ Torr 로 유지하며$N_2$ 가스를 사용하였다. 구조적 결과를 보기 위해 X선 회절 분석과 원자력 현미경 결과를 확인하였고, 자기적 특성을 확인하기 위해 저온에서 초전도 양자 간섭계로 조사하였다. XRD를 통해 (002)면, (004)면의 Si 기판 결정을 보았으며, Fe 관련된 이차상이 형성됨을 예측해 보았다. ($Fe_3Si$ ,$Fe_2Si$ 등) 초전도 양자 간섭계에서 20 K에서 측정한 이력 현상을 관찰하고, 온도변화에 따른 전체 자기모멘트를 관찰하였으며 이는 상온에서도 강자성 특성이 나타남을 확인하였다. -
ZnTe semiconductor is very attractive materials for optoelectronic devices in the visible green spectral region because of it has direct bandgap of 2.26 eV. The prototypes of ZnTe light emitting diodes (LEDs) have been reported [1], showing that their green emission peak closely matches the most sensitive region of the human eye. Another application to photovoltaics proved that ZnTe is useful for the production of high-efficiency multi-junction solar cells [2,3]. By using the pulse laser deposition system, ZnTe thin films were deposited on ZnO thin layer, which is grown on (0001) Al2O3substrates. To produce the plasma plume from an ablated ZnO and ZnTe target, a pulsed (10 Hz) YGA:Nd laser with energy density of 95 mJ/
$cm^2$ and wavelength of 266 nm by a nonlinear fourth harmonic generator was used. The laser spot focused on the surface of the ZnO and ZnTe target by using an optical lens was approximately 1 mm2. The base pressure of the chamber was kept at a pressure around$10^{-6}$ Torr by using a turbo molecular pump. The oxygen gas flow was controlled around 3 sccm by using a mass flow controller system. During the ZnTe deposition, the substrate temperature was$400^{\circ}C$ and the ambient gas pressure was$10^{-2}$ Torr. The structural properties of the samples were analyzed by XRD measurement. The optical properties were investigated by using the photoluminescence spectra obtained with a 325 nm wavelength He-Cd laser. The film surface and carrier concentration were analyzed by an atomic force microscope and Hall measurement system. -
태양전지의 효율을 증가시키기 위해서는 표면에서의 Fresnel 반사를 줄여 입사된 빛이 흡수층까지 잘 도달되도록 해야 한다. 그러나 결정질 실리콘의 경우, 굴절률이 높아 32% 이상의 표면반사율을 보이고 있어, 실리콘 태양전지 표면에 단일 또는 다중 박막의 무반사 코팅을 통해 반사율을 낮추는 방법이 널리 사용 되어 오고 있었다. 하지만, 이와 같은 코팅 방법은 열적팽창 불일치, 물질 선택의 어려움뿐만 아니라 낮은 반사율을 포함하는 파장 및 빛의 입사각 영역의 제한 등 여러 문제점을 지니고 있다. 이러한 문제점을 보완하기 위해, 표면에 서브파장의 주기를 갖는 나노구조(subwavelength structure, SWS)의 형성에 관한 연구가 활발히 진행되고 있다. 습식 식각보다 건식 식각을 이용한 SWS 제작 방법이 표면 profile을 제어하기 용이하나 패턴 형성을 위해 식각 마스크가 필요하다. 최근, 복잡하고 고가의 전자빔 또는 나노임프린트를 이용한 패턴 형성보다, 간단/저렴하며 대면적 제작이 용이한 금속 나노입자 마스크를 이용한 SWS의 제작에 대한 연구가 활발히 진행되고 있다. 또한 SWS의 무반사 특성은 표면 profile에 따라 크게 영향을 받는다. 따라서 본 실험에서는 열적 응집현상에 의해 형성되는 self-assembled Pt 나노입자 식각 마스크 및
$SiCl_4$ 가스를 사용한 유도결합 플라즈마(inductively coupled plasma, ICP) 장비를 이용하여 무반사 실리콘 SWS를 제작하였으며, SWS 표면 profile에 따른 구조적 및 무반사 특성을 조사하기 위해 다양한 공정조건을 변화시켰다. 실리콘 기판 위의 Pt 박막은 전자빔 증착(e-beaml evaporation)법을 사용하였고, 급속 열처리(RTA)를 통해 Pt 나노입자의 식각 마스크를 형성시켰다. Pt 나노입자들의 패턴 및 제작된 무반사 실리콘 SWS의 식각 profile은 scanning electron microscope를 사용하여 관찰하였으며, UV-VIR-NIR spectrophotometer를 사용하여 350~1050 nm 파장 영역에서의 반사율을 측정하였다. ICP 식각 조건을 변화시켜 5% 이하의 낮은 반사율을 갖는 높이가 높고 쐐기 형태의 실리콘 SWS를 도출하였다. -
Lee, Hyeon-Ju;Lee, Gyeong-Il;Lee, Cheol-Seung;Kim, Seon-Min;Kim, Seong-Hyeon;Byeon, Sang-Eon;Jo, Jin-U;Choe, Yeong-Jin 187
최근 디스플레이 산업과 태양전지 등의 이차 전지 산업이 발달함에 따라 원가절감과 공정단계의 단순화를 위하여 다이렉트 패터닝 인쇄에 대한 연구가 관심을 받고 있으며, 나노전자부품 제작이 요구되는 전기/전자 소자들은 수백 nm에서부터 수십${\mu}$ m 수준까지 다양한 해상도의 패턴으로 구성되므로 미세패턴이 가능한 정전수력학 잉크젯프린팅 방식은 기존의 인쇄 방식과 달리, 정전기력을 이용하여 인쇄를 하는 방식으로, 수KV의 고전압을 인가하여 잉크를 대전시키고, 대전된 잉크는 대부의 전기적 반발력에 의해 액적이나 액실로 분열하게 된다. 전하를 띤 액적 또는 액실은 정전기력을 받아 기판 쪽으로 이동을 하게 되는데, 이때 액적의 전하량에 의해 액적의 이동속도와 이동경로가 영향을 받게 된다. 본 연구에서는 잉크의 전기전도도에 따른 액적의 전하량을 계산하여 전기전도도와 액적의 전하량과의 관계를 ANASYS 시뮬레이션과 운동경로 분석을 통해 확인하였다. 전기전도도가 0.307s/m~5.6s/m인 잉크에 따른 액적의 전하량을 계산하였으며, 전기전도도가 변화에 따라. 전하량이$0.5{\times}10^{-13}C{\sim}2.5{\times}10^{-13}C$ 으로 변화하는 것을 확인하였다. -
Recently, scaling down of ULSI (Ultra Large Scale Integration) circuit of CMOS (Complementary Meta Oxide Semiconductor) based electronic devices, the electronic devices, become much faster and smaller size that are promising property of semiconductor market. However, very narrow interconnect line width has some disadvantages. Deposition of conformal and thin barrier is not easy. And metallization process needs deposition of diffusion barrier and glue layer for EP/ELP deposition. Thus, there is not enough space for copper filling process. In order to get over these negative effects, simple process of copper metallization is important. In this study, Cu-V alloy layer was deposited using of DC/RF magnetron sputter deposition system. Cu-V alloy film was deposited on the plane SiO2/Si bi-layer substrate with smooth surface. Cu-V film's thickness was about 50 nm. Cu-V alloy film deposited at
$150^{\circ}C$ . XRD, AFM, Hall measurement system, and AES were used to analyze this work. For the barrier formation, annealing temperature was 300, 400,$500^{\circ}C$ (1 hour). Barrier thermal stability was tested by I-V(leakage current) and XRD analysis after 300, 500,$700^{\circ}C$ (12 hour) annealing. With this research, over$500^{\circ}C$ annealed barrier has large leakage current. However vanadium-based diffusion barrier annealed at$400^{\circ}C$ has good thermal stability. Therefore thermal stability of vanadium-based diffusion barrier is desirable for copper interconnection. -
Cu가 기존 배선물질인 Al을 대체함에 따라 resistance-capacitance (RC) delay나 electromigration (EM) 등의 문제들이 어느 정도 해결되었다. 그러나 지속적인 배선 폭의 감소로 배선의 저항 증가, EM 현상 강화 그리고 stability 악화 등의 문제가 지속적으로 야기되고 있다. 이를 해결하기 위한 방법으로 Cu alloy seed layer를 이용한 barrier 자가형성 공정에 대한 연구를 진행하였다. 이 공정은 Cu 합금을 seed layer로 사용하여 도금을 한 후 열처리를 통해 SiO2와의 계면에서 barrier를 자가 형성시키는 공정이다. 이 공정은 매우 균일하고 얇은 barrier를 형성할 수 있고 별도의 barrier와 glue layer를 형성하지 않아 seed layer를 위한 공간을 추가로 확보할 수 있는 장점을 가지고 있다. 또한, via bottom에 barrier가 형성되지 않아 배선 전체 저항을 급격히 낮출 수 있다. 합금 물질로는 초기 Al이나 Mg에 대한 연구가 진행되었으나, 낮은 oxide formation energy로 인해 SiO2에 과도한 손상을 주는 문제점이 제기되었다. 최근 Mn을 합금 물질로 사용한 안정적인 barrier 형성 공정이 보고 되고 있다. 하지만, barrier 형성을 하기 위해 300도 이상의 열처리 온도가 필요하고 열처리 시간 또한 긴 단점이 있다. 본 실험에서는 co-sputtering system을 사용하여 Cu-V 합금을 형성하였고, barrier를 자가 형성을 위해 300도에서 500도까지 열처리 온도를 변화시키며 1시간 동안 열처리를 실시하였다. Cu-V 공정 조건 확립을 위해 AFM, XRD, 4-point probe system을 이용하여 표면 거칠기, 결정성과 비저항을 평가하였다. Cu-V 박막 내 V의 함량은 V target의 plasma power density를 변화시켜 조절 하였으며 XPS를 통해 분석하였다. 열처리 후 시편의 단면을 TEM으로 분석하여 Cu-V 박막과 SiO2 사이에 interlayer가 형성된 것을 확인 하였으며 EDS를 이용한 element mapping을 통해 Cu-V 내 V의 거동과 interlayer의 성분을 확인하였다. PVD Cu-V 박막은 기판 온도에 큰 영향을 받았고, 200 도 이상에서는 Cu의 높은 표면에너지에 의한 agglomeration 현상으로 거친 표면을 가지는 박막이 형성되었다. 7.61 at.%의 V함량을 가지는 Cu-V 박막을 300도에서 1시간 열처리 한 결과 4.5 nm의 V based oxide interlayer가 형성된 것을 확인하였다. 열처리에 의해 Cu-V 박막 내 V은 SiO2와의 계면과 박막 표면으로 확산하며 oxide를 형성했으며 Cu-V 박막 내 V 함량은 줄어들었다. 300, 400, 500도에서 열처리 한 결과 동일 조성과 열처리 온도에서 Cu-Mn에 의해 형성된 interlayer의 두께 보다 두껍게 성장 했다. 이는 V의 oxide formation nergyrk Mn 보다 작으므로 SiO2와의 계면에서 산화막 형성이 쉽기 때문으로 판단된다. 또한, V+5 이온 반경이 Mn+2 이온 반경보다 작아 oxide 내부에서 확산이 용이하며 oxide 박막 내에 여기되는 전기장이 더 큰 산화수를 가지는 V의 경우 더 크기 때문으로 판단된다.
-
반도체 공정은 매우 복잡한 가스를 사용하기 때문에 여러가지 물질이 생성될 수 있다. 특히 플루오르계열 가스는 응축되어 부식성 물질로 변하여 펌프에 영향을 줄 수 있다. 이렇게 좋지 않은 조건을 만들지 않기 위해서 모든 가스들은 원래 상태로 이동하여 나오는 것이 가장 이상적이다. 그러나 챔버에서 반응하지않은 가스는 펌프로 오게 되면 온도와 압력이 달라져서 응축과 화학반응에 의해서 다른 부가물을 만들고, 이것들은 이러한 반도체 공정을 가능하게 하는 진공펌프에 영향을 주어서 고장을 일으킨다. 이러한 펌프에서 부가물을 채취하였다. 그리고 각 공정에서 생성되는 부가물을 분석하기 위하여 FT-IR, XRD, SEM(EDS), XRF분석법을 사용하였다. 이러한 분석법으로 부가물을 규명하고, 생성 원인을 규명하여 펌프의 성능 향상과 개선을 해보고자 한다.
-
Mo 박막은 열적 안전성과 전기 전도성이 우수한 소재로 CIGS 태양전지의 배면전극으로 사용되고 있다. 스퍼터링법에 의한 Mo 박막의 전도성은 공정압력에 민감하여 높은 압력과 낮은 압력에서 이중박막으로 제조되고 있다. 연구에서는 압력에 크게 영향을 받지 않으면서 전도성이
$10{\mu}{\Omega}-cm$ 이하로 우수한 Mo 박막을 얻을 수 있는 아크 이온플레이팅법으로 Mo 박막을 제조하였다. 그러나 Mo 박막 증착시에 나타나는 높은 압축응력은 기재(Soda lime Glass; SLG)와의 밀착성을 떨어뜨렸다. 기재(SLG)와의 밀착성을 확보하기 위해 Ti 중간층($0.3{\mu}m$ ,$0.9{\mu}m$ )을 증착하고 그 위에 Mo 박막을 증착하여 전도성이 우수한 박막을 제조하였으나 여전히 압축잔류응력의 문제점을 보였다. 압축응력의 완화를 위해 CIGS 박막이 제조되는$550^{\circ}C$ 의 온도에서 열처리를 1시간 수행하였다. 열처리를 통해 열처리 전과 후에 나타나는 전도성과 잔류응력의 변화를 공정압력(5 mTorr~30 mTorr)별로 알아보았다. 사용된 시험편은 Si wafer, SLG, SUS계 소재를 이용하였으며 공정압력별로 아크 타겟에 인가되는 전류는 100 A로 고정하였고, 바이어스 전압은 0V, -50V를 인가하였다. 열처리 전과 후에 전도성은 크게 변화가 관찰되지 않았으나 잔류응력에는 많은 변화가 관찰 되었다. 잔류응력은 공정압력(5mTorr~30mTorr)별로 응력 완화가 일어났으며, 바이어스 전압이 0V에서 공정압력이 5 mTorr일 때 열처리 전에 측정된 1346 MPa 압축응력이 열처리 후에는 188 MPa의 인장응력을 나타내었다. 이러한 응력 변화에 대해 XRD와 SEM으로 구조분석을 통해 Mo 박막의 결정성과 전도성 및 잔류응력의 상관관계에 대해 알아보았다. -
To obtain ceramic films, the sol-gel coating technique has been broadly used with heat treatment, but crack formation tend to occur during heat treatment in thick sol-gel films. We prepared PZT thin films by sol-gel method with single-step spin coating process. The PZT solution have been synthesized using lead acetate (
$Pb(CH_3COO)_2$ ), zirconium acetylacetonate ($Zr(OC_3H_7^n)_4$ ), and titanium diisopropoxide bis(acetylacetonate) 75wt% in isopropanol ($Ti(OC_3H_7^i)_2(OC_3H_7^n)_2$ ) as starting materials and n-propanol was selected as a solvent. The poly(vynilpyrrolidone) (PVP) was added with 0, 0.25, 0.5, 0.75, and 1 molar ratios to control viscosity of solution. We investigated influence of the viscosity on thickness, microstructure, and electrical properties of final PZT films. Thermo-gravimetric analysis and differential scanning calorimeter (TGA/DSC) was carried out from room temperature to$800^{\circ}C$ in order to measure pyrolysis temperature. Structural characteristics were analyzed by X-ray diffraction (XRD) and scanning electron microscopy (SEM). Ferroelectric and dielectric properties were measured by RT66A (Radiant) and impedance analyzer (Agilent), respectively. The thicknesses of PZT films depended on incorporation of an excess amount of PVP. Finally, we obtained PZT films of good quality without crack formation via single-step spin coating. -
The effects of argon neutral beam (NB) energy on the amorphous carbon (a-C) films were investigated, while the a-C films were deposited by neutral particle beam assisted sputtering (NBAS) system. The energy of neutral particle beam can be controlled by reflector bias voltage directly as a unique operating parameter in this system. The deposition characteristics of the films investigated of Raman spectra, UV-visible spectroscopy, electrical conductivity, stress measurement system, and ellipsometer indicate the properties of amorphous carbon films can be manipulated by only NB energy (or reflector bias voltage) without changing any other process parameters. We report the effect of reflector bias voltage in the range from 0 to -1KV. By the increase of the reflector bias voltage, the amount of cross-linked sp2 clusters as well as the sp3 bonding in the a-C film coated by the NBAS system can be increased effectively and the composition of carbon thin films can be changed from nano-crystalline graphite phase to amorphous carbon phase.
-
플라즈마를 이용한 반도체 이온 주입 공정(Plasma Immersion Ion Implantation)에서 이온 도즈량(DOSE) 측정은 공정 신뢰성 및 재현성 확보를 위해 중요하다. 본 연구에서는 도즈량 측정을 위해 패러데이컵과 같이 측정 장비를 챔버에 직접 삽입 시키지 않고 챔버 외부에서 이온 주입을 위한 바이어스 전극의 직류 펄스 전압 및 전류 신호 측정을 통해 실시간으로 도즈량을 추출하는 방법을 개발하였다. 펄스 전압 신호에서 전압 신호 상승, 하강 시간에 의해 발생된 변위 전류와 플라즈마 발생 소스의 RF잡음등을 제거한 후 이온 포격으로 인한 2차 전자 방출 계수를 고려하여 펄스 동작 기간 추출을 통해 실시간으로 측정하는 알고리즘을 구현하였다.
-
팔라듐-구리 합금 분리막은 세륨산화물로 전처리된 다공성 니켈 지지체 위에 마그네트론 스퍼터 공정과 구리리플로우 공정에 의해 제조되었다. 스퍼터 공정은 얇고 치밀한 팔라듐 합금 분리막 증착을 위해 아주 효과적이다. 본 연구에서는 고온 스퍼터 공정에 의해 증착된 팔라듐 상부에 유동성과 열적확산이 우수한 구리를 코팅한 후, 반도체 분야에서 기가 패턴 매립시 사용하는 구리리플로우 공정을 도입하였다. 구리리플로우 공정은 치밀하고 미세기공이 존재하지 않는 표면을 구현하고 무한대의 수소 투과도를 가능하게 한다. 이로써 마그네트론 스퍼터에 의해
$200^{\circ}C$ 에서 팔라듐과 구리를 순차적으로 코팅한 후,$700^{\circ}C$ 에서 2시간 구리리플로우 공정을 실시하여$7.5{\mu}m$ 두께의 팔라듐-구리 합금 분리막이 제조되었다. 세륨산화물(CeO2)은 고온에서 장시간 운전하는 동안 다공성 니켈 지지체의 금속성분이 팔라듐 합금층으로 확산하는 금속의 확산 문제를 개선하고자 지지체와 코팅층 사이에 확산방지막으로 도입되었으며, 균일한 스퍼터 증착을 위해 평탄한 표면의 지지체를 구현하였다. 투과도 테스트는 100-400kPa 의 압력차, 673-773K 의 온도 조건에서 순수한 수소가스로 실시하였다. 표면 미세기공이 없는 치밀한 팔라듐-구리 합금 분리막은 혼합가스에서 질소의 투과 없이 수소만을 투과하는 무한대의 우수한 분리도를 나타내었으며, 상용온도$500^{\circ}C$ 에서 12.6ml/$cm^2{\cdot}min{\cdot}atm$ 의 수소 투과 능력을 보였다. 본 연구에 의해 제조된 팔라듐-구리 합금 분리막은 표면 미세기공이 없는 치밀한 분리막 제조를 가능하게 하였으며 열팽창계수가 팔라듐과 매우 비슷한 세륨산화물($CeO_2$ )로 인해 지지체층과 코팅층과의 접합력이 향상되고 수소취성에 강하고 높은 열적 안정성을 갖는다. -
고온 스퍼터 공정과 구리 리플로우 공정을 통해 다공성 니켈 지지체에 팔라듐-구리-니켈 삼원계 합금 수소 분리막을 제조하였다. 그러나 스퍼터와 같은 물리적 증착법은 주로 주상정 형태로 증착되기 때문에 다공성 니켈 지지체 표면의 수마이크론 내외의 기공이 존재할 경우 다공성 니켈 지지체에 기인한 많은 기공들 때문에 스퍼터 증착에 영향을 주어 수소 분리막 표면에 기공들이 존재하게 된다. 이를 방지하고 균일한 증착이 이루어지도록 다공성 지지체 표면의 전처리 공정이 필요하다. 본 연구에서는 스퍼터 코팅에 의한 균일한 팔라듐 금속층 형성하고 표면에 미세기공이 없는 수소 분리막을 제조하기 위해 다공성 니켈 지지체를 니켈도금, 알루미나 분말 주입 및 미세연마 전처리 공정을 통하여 다공성 니켈 지지체의 표면기공들을 매립하여 치밀한 팔라듐 합금 층을 형성하였다. 전처리를 하지 않은 다공성 니켈 지지체는 팔라듐 및 구리의 고온 스퍼터 증착 및 구리 리플로우 공정에 의해 표면 기공을 막을 수가 없었고 수소분리기능이 없어 수소 분리막으로 역할을 하지 못했다. Al2O3 분말 주입 전처리 공정을 한 다공성 니켈 지지체에 팔라듐 및 구리 고온 스퍼터 증착과 구리 리플로우 공정을 이용하여 제조된 수소 분리막은 다공성 니켈 지지체에 기인한 기공을 메우기 위해서 팔라듐 합금 층이 두꺼워지는 어려움이 있었다. 니켈도금 전처리 공정을 한 다공성 니켈 지지체에 형성한 수소 분리막은 우수한 선택도를 가졌으나 도금 전처리에 사용된
$2{\mu}m$ 두께의 니켈층이 수소의 확산을 방해하는 저항막 역할을 하여 수소 투과도가 3.96$ml{\cdot}cm-2{\cdot}min-1{\cdot}atm-1$ 으로 낮게 나타났다. 미세연마 전처리 공정을 한 다공성 니켈 지지체에 형성한 수소 분리막 역시 우수한 수소 선택도를 가졌으며, 수소의 확산을 방해하는 저항막이 존재하지 않아 13.2$ml{\cdot}cm-2{\cdot}min-1{\cdot}atm-1$ 의 우수한 수소 투과도를 나타내었다. -
단분산 결정질 실리콘 나노입자 (<10 nm)는 양자점 효과로 인한 선택적 파장 흡수가 가능하므로 태양전지 분야에 응용 가능성이 크다. 특히 입경의 크기가 작아지면 부피대비 표면적이 넓어지기 때문에 태양빛 흡수 면적이 증가한다. 따라서 입자의 크기는 태양전지에서 효율을 결정하는 중요한 요소 중 하나이다. 이러한 이유에서 plasma arc synthesis, laser ablation, pyrolysis 그리고 PECVD (Plasma Enhanced Chemical Vapor Deposition) 등이 실리콘 나노입자를 합성하는데 연구되어 왔으며, 특히 PECVD는 입자 생성과 동시에 균일한 증착이 이루어질 수 있기 때문에 태양전지 제작 시 공정 효율을 높일 수 있다. PECVD를 이용한 나노입자 합성에서 입경을 제어하는데 중요한 전구물질은 Ar과 SiH4가스이다. Ar 가스는 ICP (Inductively Coupled Plasma) 챔버 내부에 가해준 전력을 통해 가속됨으로써 분해되어 Ar plasma가 생성된다. 이는 공급되는 SiH4가스를 분해시켜 핵생성을 유도하고, 그 주위로 성장시킴으로써 실리콘 나노입자가 합성된다. 이때 중요한 변수 중 하나는 핵생성과 입자성장시간의 조절을 통한 입경제어 이다. 또한 공급되는 가스의 유량은 입자가 생성될 때 필요한 화학적 구성비를 결정하므로 입경에 중요한 요소가 된다. 마지막으로 공정압력은 챔버내부의 plasma 구성 요소들의 평균 자유 행로를 결정하여 SiH4가 분해되어 입자가 생성되는 속도와 양을 제어한다. PECVD를 이용한 실리콘 나노입자 형성의 주요 변수는 RF pulse, 가스(Ar, SiH4, H2)의 유량, Plasma power, 공정압력 등이 있다. 본 연구에서는 RF (Radio Frequency) PECVD방법을 이용하여 실리콘 나노입자를 만드는데 필요한 여러 변수들을 제어함으로써 이에 따른 입경분포 차이를 연구하였다. 또한 SEM (Scanning Electron Microscopy)과 SMPS (Scanning Mobility Particle Sizer)를 이용하여 각 변수에 따라 생성된 나노입자의 입경과 농도를 분석하였다. 이 중 plasma power에 따른 입경분포 측정 결과 600W에서 합성된 실리콘 나노입자가 상당히 단분산 된 형태로 나타남을 확인할 수 있었고 향후 다른 변수의 제어, 특히 DC bias 전압과 열을 가함으로써 나노입자의 결정성을 확인하는 추가 연구를 통해 태양전지 제작에 응용 할 수 있을 것으로 예상된다.
-
Recently, Thin film transistors (TFTs) with amorphous oxide semiconductors (AOSs) can offer an important aspect for next generation displays with high mobility. Several oxide semiconductor such as ZnO,
$SnO_2$ and InGaZnO have been extensively researched. Especially, as a well-known binary metal oxide, tin oxide ($SnO_2$ ), usually acts as n-type semiconductor with a wide band gap of 3.6eV. Over the past several decades intensive research activities have been conducted on$SnO_2$ in the bulk, thin film and nanostructure forms due to its interesting electrical properties making it a promising material for applications in solar cells, flat panel displays, and light emitting devices. But, its application to the active channel of TFTs have been limited due to the difficulties in controlling the electron density and n-type of operation with depletion mode. In this study, we fabricated staggered bottom-gate structure$SnO_2$ -TFTs and patterned channel layer used a shadow mask. Then we compare to the performance intrinsic$SnO_2$ -TFTs and doping hafnium$SnO_2$ -TFTs. As a result, we suggest that can be control the defect formation of$SnO_2$ -TFTs by doping hafnium. The hafnium element into the$SnO_2$ thin-films maybe acts to control the carrier concentration by suppressing carrier generation via oxygen vacancy formation. Furthermore, it can be also control the mobility. And bias stability of$SnO_2$ -TFTs is improvement using doping hafnium. Enhancement of device stability was attributed to the reduced defect in channel layer or interface. In order to verify this effect, we employed to measure activation energy that can be explained by the thermal activation process of the subthreshold drain current. -
Yu, Sin-Jae;Kim, Dae-Ung;Kim, Jeong-Hyeong;Seong, Dae-Jin;Sin, Yong-Hyeon;Na, Byeong-Geun;Jang, Hong-Yeong 200
Although the cut-off probe, a precise measurement method for the electron density, is widely used in the industry, the physics on the wave spectrum of the cut-off is not understood yet, only cut-off point frequency containing the information of electron density has been analyzed well. This paper analyzes the microwave frequency spectrum of the cut-off probe to see the physics behind using both microwave field simulation (CST Microwave Studio) and simplified circuit simulation. The result shows that the circuit model well reproduces the cut-off wave spectrum especially in the low frequency regime where the wavelength of the driving frequency is larger than the characteristic length and reveals the physics of transmission characteristics with frequency as resonances between vacuum, plasma and sheath. Furthermore, by controlling the time domain in solver of the microwave simulator, the cut-off like transmission peaks above the cut-off frequency which has been believed as cavity effect is verified as chamber geometry effect. The result of this paper can be used as the basis for the improvement of cut-off probe. -
컷오프 진단법은 프로브 형태로 제작된 마이크로 웨이브 진단법으로, 간단한 수식을 통해 전자밀도, 전자온도 등의 측정이 가능하며, 장치나 분석방법이 매우 간단한 장점을 지닌다. 또한, 측정에 약 1 mW 정도의 적은 파워를 사용하여 플라즈마 상태를 거의 변화시키지 않으며, 공정 플라즈마에서도 사용이 가능하다. 그러나 컷오프 진단법을 사용한 측정은 다른 종류의 프로브와 마찬가지로, 약 1초 정도의 긴 시간이 필요로 하는 단점이 있다. 따라서 기존의 컷오프 진단법은 펄스 플라즈마나 토카막과 같이 빠르게 변하는 플라즈마를 측정하기에는 무리가 있다. 본 발표에서는 컷오프 진단법을 새로운 방법으로 구현하여 더욱 빠르게 측정할 수 있는 방법을 소개하고자 한다. 컷오프 프로브는 방사 안테나, 측정 안테나와 네트워크 분석기로 구성되어 있다. 네트워크 분석기는 두 안테나 사이의 플라즈마 투과 스펙트럼을 만드는데 쓰이며, 주파수 스캔 방법을 사용하여 스펙트럼을 만든다. 컷오프 진단법의 측정시간은 주파수 스캔에 걸리는 시간에 의해 결정된다. 본 발표에서는 측정을 빠르게 하고자 전혀 새로운 방법을 도입하였다. 펄스 형태의 단일신호를 플라즈마 투과 특성을 살피는데 이용하면 측정을 매우 빠르게 할 수 있다. 그래서 펄스제조기와 오실로스코프를 이용하여 스펙트럼을 얻는데 사용하였다. 이론적으로는 이 방법을 통해 측정시간을 수 nano second 수준으로 줄일 수 있다. 실험적으로는 micro second 정도의 시간으로 측정을 할 수가 있었으며, 동일한 스펙트럼 및 측정결과를 얻을 수 있었다. 또한 이 방법을 펄스플라즈마에 적용할 경우 수십 nano second 수준의 시간분해능으로 측정을 할 수가 있었다. 이 방법을 응용하면 토카막 언저리와 같이 매우 빠르게 변하며 반복되지 않는 플라즈마의 측정도 가능할 것으로 예상된다.
-
Diagnostics of plasma density is a key factor for monitoring plasma processing. Various probes are invented to measure plasma density and cut-off probe is a one of the most promising diagnostics tool for measuring plasma density. However, at the low density or high pressure the cut-off probe cannot clearly resolve the cut-off peak. Several reasons make this problem: Cut-off likes peaks caused by cavity resonances and weaken transmission spectrum signal at high pressure. Recently, You et al., have researched mechanism of cut-off probe and we improve the cut-off reliability and sensitivity base on that research. Modified cut-off antenna is adapted and bias cut-off probe method is tried. These experiment results have good agreement with the previous study and show good measurement characteristics.
-
Metastable statates, resonant states in 4s level and excited states in 4p level were investigated with a simple global model and examined by the LIF experiments. Metastable states exhibit an anomalous behavior with the plasma density, on the other hands, other states show monotonous increasing behaviors. It turns out that the metastable state can have such an anomalous behavior due to its special characteristic, electric dipole radiation forbidden. It is expected to resolve the ambiguity of previously reported metastable density behaviors and provide further understanding.
-
In thin film silicon solar cells, p-i-n structure is adopted instead of p/n junction structure as in wafer-based Si solar cells. PECVD is a most widely used thin film deposition process for a-Si:H or
${\mu}c$ -Si:H solar cells. For best performance of thin film silicon solar cell, the dopant profiles at p/i and i/n interfaces need to be as sharp as possible. The sharpness of dopant profiles can easily achieved when using multi-chamber PECVD equipment, in which each layer is deposited in separate chamber. However, in a single-chamber PECVD system, doped and intrinsic layers are deposited in one plasma chamber, which inevitably impedes sharp dopant profiles at the interfaces due to the contamination from previous deposition process. The cross-contamination between layers is a serious drawback of a single-chamber PECVD system in spite of the advantage of lower initial investment cost for the equipment. In order to resolve the cross-contamination problem in single-chamber PECVD systems, flushing method of the chamber with NH3 gas or water vapor after doped layer deposition process has been used. In this study, a new plasma process to solve the cross-contamination problem in a single-chamber PECVD system was suggested. A single-chamber VHF-PECVD system was used for superstrate type p-i-n a-Si:H solar cell manufacturing on Asahi-type U FTO glass. A 80 MHz and 20 watts of pulsed RF power was applied to the parallel plate RF cathode at the frequency of 10 kHz and 80% duty ratio. A mixture gas of Ar, H2 and SiH4 was used for i-layer deposition and the deposition pressure was 0.4 Torr. For p and n layer deposition, B2H6 and PH3 was used as doping gas, respectively. The deposition temperature was$250^{\circ}C$ and the total p-i-n layer thickness was about$3500{\AA}$ . In order to remove the deposited B inside of the vacuum chamber during p-layer deposition, a high pulsed RF power of about 80 W was applied right after p-layer deposition without SiH4 gas, which is followed by i-layer and n-layer deposition. Finally, Ag was deposited as top electrode. The best initial solar cell efficiency of 9.5 % for test cell area of 0.2$cm^2$ could be achieved by applying the in-situ plasma cleaning method. The dependence on RF power and treatment time was investigated along with the SIMS analysis of the p-i interface for boron profiles. -
투명 전도성 산화물 (TCO, Transparent Conductive Oxide) 박막을 태양전지에 적용하기 위해서는 우수한 전기 전도성 및 가시광 영역에서 높은 투과율을 가져야 한다. 대표적인 TCO 물질인 ITO (Indium tin oxide) 박막은 우수한 전기적, 광학적 특성을 가지고 있지만
$400^{\circ}C$ 이상의 고온에서는 전기저항이 급격히 증가하게 되어 실제 태양전지 패널에 적용했을 때 전기적 특성이 저하된다. 따라서 태양전지용 TCO 박막을 개발 시, 뛰어난 고온 안정성이 요구되고 있다. 본 연구에서는 고온 안정적 특성을 지니는 Ga3+를 도핑한 ZnO 계열 TCO인 GZO/ITO multi-layered 박막을 증착하였다. 또한 buffer layer의 두께 변화 및 구조 제어를 통한 최위층 박막의 전기적 특성 및 결정성을 조사하였으며 다층 박막의 계면 간 특성 및 굴절률 제어를 통한 광학적 물성을 연구하였다. -
Amorphous transparent oxide semiconductors (a-TOS) have been widely studied for many optoelectronic devices such as AM-OLED (active-matrix organic light emitting diodes). Recently, Nomura et al. demonstrated high performance amorphous IGZO (In-Ga-Zn-O) TFTs.1 Despite the amorphous structure, due to the conduction band minimum (CBM) that made of spherically extended s-orbitals of the constituent metals, an a-IGZO TFT shows high mobility.2,3 But IGZO films contain high cost rare metals. Therefore, we need to investigate the alternatives. Because Aluminum has a high bond enthalpy with oxygen atom and Alumina has a high lattice energy, we try to replace Gallium with Aluminum that is high reserve low cost material. In this study, we focused on the electrical properties of IZO:Al thin films as a channel layer of TFTs. IZO:Al were deposited on unheated non-alkali glass substrates (5 cm
${\times}$ 5 cm) by magnetron co-sputtering system with two cathodes equipped with IZO target and Al target, respectively. The sintered ceramic IZO disc (3 inch${\phi}$ , 5 mm t) and metal Al target (3 inch${\phi}$ , 5 mm t) are used for deposition. The O2 gas was used as the reactive gas to control carrier concentration and mobility. Deposition was carried out under various sputtering conditions to investigate the effect of sputtering process on the characteristics of IZO:Al thin films. Correlation between sputtering factors and electronic properties of the film will be discussed in detail. -
최근 유연기판 기술을 기반으로 대면적 roll to roll 공정기술 개발이 활발히 연구됨에 따라 이에 적용 가능한 대면적 플라즈마 소스의 중요성이 대두되고 있다. 대면적 플라즈마 처리 공정에 적용 가능한 소스 중 closed drift 타입의 선형 이온 소스는 제작 및 대면적화가 용이함에 따라 다양한 산업 분야에서 사용되고 있다. 선형 이온 소스를 다양한 표면처리 공정에 효과적으로 적용하기 위해서는 방전 특성에 대한 이해를 바탕으로 각 공정에 맞는 이온빔 전류 밀도, 방전 전압 등의 방전 인자 조절이 필수적이다. 본 연구에서는 표면 개질, 식각 및 박막 증착 등의 다양한 분야에 활용 가능한 선형 이온 소스를 개발하였으며, 선형 이온 소스를 통한 표면 식각 공정을 집중적으로 연구하였다. 전극 및 자기장 구조에 따른 선형 이온 소스 내 플라즈마 방전거동 분석을 위해 object oriented particle in cell(OOPIC) 전산모사를 수행하였으며, 이를 통해 식각 또는 증착 공정에 적합한 이온 소스의 구조 및 공정 조건을 예측하였다. 또한 OOPIC 전산모사를 통해 예측된 이온빔 인출 경향을 Faraday cup을 이용한 이온빔 전류 밀도 측정을 통해 확인하였다. 실리콘 기판 식각 공정의 경우, 이온 전류밀도 및 에너지에 따른 식각 거동 분석, 이온빔 입사각 변화에 따른 식각 특성 분석을 통해 최적 식각 공정 조건을 도출하였다. 특히, 이온빔 입사각 변화에 따른 식각률 변화는 일반적으로 알려진 입사각에 따른 스퍼터링율과 유사한 경향을 보였다. 이온빔 에너지 3 kV, Ar 압력 1.3 mTorr 조건에서 기판 정지 상태시 약 8.5 nm/s의 식각 속도를 얻었다.
-
플라즈마 공정에 있어 챔버 및 웨이퍼의 표면 상태변화는 공정 결과에 큰 영향을 끼치게 된다. 챔버 표면에 대한 연구는 많이 진행되어 있지만 대부분의 연구가 챔버 표면에서 일어나는 화학적 반응에 초점을 맞추고 있다. 본 연구에서는 플라즈마 상태 변화에 따른 챔버 표면물질의 전기적 특성 변화를 관찰하였다. 프로브 표면에 Al2O3로 코팅을 하고 플라즈마에 삽입 후 AC 하모닉법을 이용하여 실시간으로 표면의 축전용량을 측정하였다. 그 결과 표면의 축전용량은 플라즈마에 인가한 전력과 표면이 플라즈마에 노출된 시간에 따라 변하는 것이 관찰되었다. 플라즈마에 인가된 전력이 증가되면 처음에는 급격이 축전용량이 증가하였고, 그 후 시간이 지날수록 천천히 수렴되었다. 유전물질의 축전용량은 그 물질의 온도와 연관이 있다. 실험 결과로 미루어 보았을 때, 플라즈마에서의 표면의 축전용량의 변화는 플라즈마로부터 표면으로의 열전달에 의한 표면의 온도 변화에 의한 것으로 이해할 수 있다. 특히, 쉬스에서 가속되는 이온의 포격에 의해 표면 격자가 크게 진동하면서서 일반적인 온도 변화에 의한 축전용량의 변화보다 더 큰 변화가 일어난 것으로 추정된다. 공정에 사용되는 많은 챔버의 표면이나 전극의 표면은 유전체로 코팅되어 있다. 이 유전체의 특성이 온도에 의해 변하게 되면 챔버의 전기적인 특성이 변하게 되어 임피던스 매칭 조건에 변화를 가져온다. 그 결과 플라즈마의 특성도 바뀌게 되어 공정 결과에 영향을 미치게 된다. 그러므로 챔버 표면의 유전특성을 관찰하고 제어하는 것이 플라즈마의 특성을 유지시키는데 중요하다고 할 수 있다.
-
The effects of CH2F2 and N2 gas flow rates on the etch selectivity of silicon nitride (Si3N4) layers to extreme ultra-violet (EUV) resist and the variation of the line edge roughness (LER) of the EUV resist and Si3N4 pattern were investigated during etching of a Si3N4/EUV resist structure in dual-frequency superimposed CH2F2/N2/Ar capacitive coupled plasmas (DFS-CCP). The flow rates of CH2F2 and N2 gases played a critical role in determining the process window for ultra-high etch selectivity of Si3N4/EUV resist due to disproportionate changes in the degree of polymerization on the Si3N4 and EUV resist surfaces. Increasing the CH2F2 flow rate resulted in a smaller steady state CHxFy thickness on the Si3N4 and, in turn, enhanced the Si3N4 etch rate due to enhanced SiF4 formation, while a CHxFy layer was deposited on the EUV resist surface protecting the resist under certain N2 flow conditions. The LER values of the etched resist tended to increase at higher CH2F2 flow rates compared to the lower CH2F2 flow rates that resulted from the increased degree of polymerization.
-
플라즈마를 제어하기 위해서는 플라즈마의 온도, 밀도, 에너지 분포등과 같은 플라즈마의 특성을 정확히 측정할 수 있어야한다. 핵융합발전에서는 플라즈마를 발생하기 위하여 플라즈마의 온도, 밀도 등 각종 변수들을 시공간적으로 계측, 분석할 수 있는 진달설비를 사용하고 있으며, 정확한 플라즈마 제어와 측정을 위한 새로운 진단기술을 개발하고 있다. 그리고 중요한 변수중에 하나인 플라즈마 이온온도를 측정하기 위해 중성입자 검출법이 잘 알려져 있다. 이 실험은 수소 중성입자가 토카막 내부의 플라즈마 이온과 충돌하면서 생성된 고속 중성입자의 에너지를 분석하는 실험이다. 본 연구의 실험방법은 수소 중성입자를 이온빔 장치에서 이온화 시킨 후 자체 제작한 가속기를 통하여 가속시켜 에너지 특성을 분석을 하는 것이다. 본 연구의 실험장치로 에너지 교정용 100 keV 이온빔 소스를 제작 하였고 이온빔 장치 내부에 수소기체를 주입하고 기체방전을 일으켜 플라즈마를 발생시켰다. 이온빔 외부에는 팬을 설치하고 전도성이 강한 물 대신 전도성이 약한 오일을 사용하여 냉각 하였다. 이온빔 장치와 결합될 이온 가속장치는 지름 300 mm, 두께 2 mm의 원형 구리판을 여러층으로 쌓아 전극으로 제작하였고 전극과 전극 사이에서 코로나 방전과 스파크를 방지하기 위해 전극 둘레에 코로나링을 설치 하였다. 또한 전극 사이마다 1G
${\Omega}$ 의 저항을 설치한 후 고전압을 생성하여 이온 가속 효율을 증대시켰다. 진공시스템으로는 Alcatel사의 CFF100 터보분자 펌프와 우성진공사의 MVP24 진공로타리펌프를 결합하여 사용하였으며, 진공도측정은 Alcatel사의 ACS1000 장치를 사용하였다. 고진공후 고속 중성입자의 이온화와 에너지 측정을 위한 전하교환기를 설치하였다. 전하교환기로는 진공시스템을 별도로 설치하고 비용이 비교적 많이 드는 기체형 전하교환기 대신 소형화가 가능하고 유지보수가 좋은 고체형 전하교환기 제작하여 실험 하였다. 전하교환기에서 이온화된 고속 중성입자가 전기장이나 자장에 영향을 받았을때 에너지분포를 디텍터를 통해 측정하였다. 즉, 이온화된 중성입자의 에너지가 실리콘 다이오드를 통해 전압 펄스 신호로 변환되고 이차 증폭기를 통해 전압 펄스 신호들이 증폭한다. 에너지 측정을 위한 디텍터는 소형화가 가능하고 비용이 비교적 적게 드는 실리콘 다이오드를 설치하였다. 본 연구결과 중성입자 에너지 분석 장치가 실제 핵융합 장치의 플라즈마 이온온도와 특성 측정에 적용할 수 있으며, 앞으로 개발될 여러 형태의 응용 플라즈마 발생장치의 플라즈마 진단에 이용될 것으로 기대한다. -
DC arc plasmatron is powerful plasma source to apply etching and texturing processing. Even though DC arc plasmatron has many advantages, it is difficult to apply an industry due to the small applied area. To increase an effective processing area, we suggest a DC-RF hybrid plasma system. The DC-RF hybrid plasma system was designed and made. This system consists of a DC arc plasmatron, RF parts, reaction chamber, power feeder, gas control system and vacuum system. To investigate a DC-RF hybrid plasma, we used a Langmuir probe, OES (Optical emission spectroscopy), infrared (IR) light camera. For RF matching, PSIM software was used to simulate a current of an impedance coil. The results of Langmuir probe measurements, we obtain a homogeneous plasma density and electron temperature those are about
$1{\times}1010$ #/cm3 and 1~4 eV. The DC-RF hybrid plasma source is applied for plasma etching experimental, and we obtain an etching rate of 10${\mu}m$ /min. through a 90 mm of reaction chamber diameter. -
RF 플라즈마의 경우 일반적인 싱글 랑뮤어 프루브를 사용하여 I-V 파형을 구하는 경우에, 우리는 시평균한 값만을 구할 수 있다. 일반적인 플라즈마 반응 챔버의 구조상, 양 전극의 크기가 다르기 때문에, 시간에 따라 진동하는 플라즈마 포텐셜의 형태는 정확한 사인파의 형태가 아니다. 그렇기 때문에 플라즈마 포텐셜에 따라서 진동하는 데이터를 시평균한 값에는 DC 오프셋 성분이 나타난다. 이러한 DC 오프셋값은 랑뮤어 프루브를 통한 플라즈마 포텐셜 측정시에 오차로 나타난다. 우리는 DC 오프셋에 의한 에러값을 보정하기 위해 멀티 프루브를 사용할 수 있다. 가장 흔하게 쓰이는 듀얼 랑뮤어 프루브의 경우를 살펴보면, 내부의 전원이 플로팅되어 있으며 전압인가를 위한 회로 또한 접지에서 절연되어 있기 때문에, 플라즈마 포텐셜이 시간에 따라 흔들려도 전체적인 전위가 플라즈마 포텐셜과 함께 움직이기 때문에, 앞에서 말한 DC 오프셋에 의한 오차를 줄일 수있다는 장점이 있다. 그러나, 이를 위하여는 회로의 절대적인 플로팅이 필요하지만 실제 듀얼 랑뮤어 프루브의 전원 회로를 구현시에는, 트랜스포머 등을 사용하여 회로를 절연시켜도 회로에 기생적으로 발생하는 콘덴서 성분 때문에 플로팅에 영향을 받을 수 있다. 또한 양극과 음극 사이의 내부 임피던스가 다르게 나타난다. 실제로 기존의 듀얼 랑뮤어를 가지고 RF 플라즈마를 측정할 때에, 듀얼 랑뮤어 프루브의 두 팁 간에 서로 다른 전압-전류 파형이 나타나곤 한다. 이러한 두 팁간의 전압-전류 파형의 차이는 두 팁이 물리적으로 완전히 동일한 구조를 가질 수 없기 때문에 발생 하기도 하지만, 위에서 밝힌 원인에 의해서도 발생한다. 이로 인하여 듀얼 랑뮤어 프루브에 의한 I-V 파형은 이론 상 원점을 대칭으로 한 기함수의 형태이어야 하는데, 실제 측정 결과를 보면 이러한 대칭 형태의 모양을 보기 힘들다. 우리는 이에 이를 보정하기 위하여 위상이 180도 차이가 나는 두 개의 삼각파 발생 전원을 각각 듀얼 랑뮤어 프루브의 양 팁에 인가하여 두 팁 간의 내부 저항과 기생 임피던스 등을 일치시킨 프루브를 디자인하였으며 이 프루브를 이용한 실험에서, 비교적 완벽하게 원점에 대하여 대칭하는 I-V 커브를 구할 수 있었다. 이에 이 논문에서는 새로운 회로와 이 회로로 이루어진 듀얼 랑뮤어 프루브를 사용하여 플라즈마를 진단하는 방법에 대하여 기술한다.
-
There have been various direct or indirect methods to measure the characteristics of plasma. Comparing to direct method like Langmuir probe method, indirect measurements which give information as some external parameters like current, voltage, or phase are easier to obtain. In this research, an indirect method to measure averaged plasma density in a transformer coupled plasma(TCP) has been proposed and evaluated. With a simple analytic model connecting electrical characteristics to plasma impedance, direct measurement via double Langmuir probe has been performed. This result may play a meaningful role to diagnose TCP or similar plasma sources
-
플라즈마를 이용하는 식각 및 증착등의 반도체공정에 있어서 최근에는 기판의 크기가 점차 증가하는 추세에 있다. 이러한 대면적 플라즈마 발생장치 내에서 플라즈마 밀도와 라디칼 농도의 공간적인 특성을 이해하는 것에 대한 중요성이 더해지고 있다. 이를 위해 Langmuir probe와 같은 전기적 접근법에 의한 진단방법이나 광학적 접근법에 의한 진단방법에 대한 연구가 이루어 졌다. 전기적 접근법에 의한 플라즈마의 진단방법은 원리가 간단하고 정확도가 높다는 장점이 있지만 진단 장치에 의한 플라즈마의 간섭이 크고 식각가스의 경우 진단이 어렵다는 단점이 있다. 그에 비해 광학적 진단방법은 플라즈마에 간섭이 많지 않은 방법으로 알려져 있고 레이저 형광법(LIF), 원적외선 레이저 흡수 분광법(IR laser Absorption Spectroscopy), 광량측정법(Actinometry)등이 있다. 이 중 레이저 형광법, 원적외선 레이저 흡수 분광법의 경우, 진단장치가 매우 복잡하고 가격이 비싸다는 단점을 가지고 있다. 반면 광량측정법의 경우 다른 광학적 접근법에 의한 진단방법에 비해 원리와 실험장치가 간단하고 공간적인 라디칼 분포의 진단이 쉽다는 점에서 장점을 가지고 있다. Actinometry는 Ar과 같은 불활성 기체를 작은 비율을 넣어서 여기 된 불활성 기체의 파장세기와 여기 된 측정 라디칼의 파장세기의 비교를 통해 상대밀도를 측정하는 방법이다. 이 측정 방법에 Abel's inversion equation을 적용함으로 해서 대면적 M-ICP(Magnetized - Induced Coupled Plasma)에서 식각가스인
$CF_4$ 플라즈마에서 F 라디칼 농도의 공간적인 분포를 측정하고 분석하였다. 또한 플라즈마의 압력, 소스 전력 값과 기판 전력 값등의 조건의 변화에 따라 F 라디칼 농도의 분포가 어떻게 달라지는지에 대해 측정 분석하여 다루었다. -
Tokamak 플라즈마는 ICRF 영역에서 외곽 플라즈마 부근에 CUT-OFF밀도가 있으며, 이보다 낮은 밀도에서는 ICRF 전파가 투과하지 못하는 전파 장벽이 존재하게 된다. 이때 전달되는 효율은 안테나 부하저항으로 알 수 있으며, 이는 전파장벽이 낮을수록 큰 값을 갖는다. 따라서, 전파장벽은 에너지 전달 효율을 급격히 떨어뜨리므로 전파 장벽의 특성을 분석하고 이를 낮추는게 매우 중요하다. CUT-OFF 밀도는 자기장, k_par, 구동주파수, 플라즈마 밀도에 의존하게 되고, 측정한 밀도 분포를 통해 전파장벽의 구간을 안다면,이를 이용하여 안테나의 부하저항과의 의존성을 알 수 있다. 본 연구에서는 이러한 외곽 플라즈마 밀도 분포를 얻기 위해 토카막의 언저리 영역에서 플라즈마에 간섭없이
$10^{18}{\sim}10^{19}m^{-3}$ 의 플라즈마 밀도를 진단할 수 있는 9GHz~30GHz의 microwave를 사용하는 반사계를 설계하였으며,플라즈마 변수와 ICRF 운전 변수에 따른 부하저항의 계산결과와 반사계 시스템 설계에 대한 내용이 발표될 것이다. -
공정용 유도 결합 플라즈마(ICP)에서 강자성체인 페라이트를 이용하여 제작한 발룬 변압기(balun transformer)를 사용하여 플라즈마 밀도를 높이는 실험을 수행하였다. 실험에서는 2개의 발룬 변압기를 이중구조 안테나에 설치하여 실제 인가되는 전압이 접지전위 대비+V에서
${\pm}$ V/2로 변환되도록 구성하였다. 20~100 mTorr 압력 범위의 아르곤 기체 50 sccm에 30~70 W범위의 전력을 인가하여 반응용기의 중앙과 벽면에서 부유 탐침법을 적용하여 플라즈마 밀도를 측정 하였다. 같은 압력과 같은 전력에서 발룬 변압기를 사용했을 때와 회로에서 변압기만 제거한 실험을 비교하면 반응용기 중앙에서 플라즈마 밀도가 평균 10% 증가함을 보였다. 이는 안테나에 발란스 된 전압이 인가되면 플라즈마 균일도가 증가하고 부유전위(floating potential) 대비 플라즈마 전위(plasma potential)가 낮아져서 이온에 의한 손실이 줄어들어 전자가 더 많은 에너지를 흡수해서 나타나는 현상이다. 특히 E-mode에서 H-mode로 전환되면 플라즈마 밀도가 크게 증가함을 보였고, 반응용기 벽면에서는 발룬 변압기를 사용했을 때 밀도가 낮다가 H-mode로 전환 시 비교실험 대비 밀도가 크게 증가함을 볼 수 있었다. -
The Ne-Xe plasmas in dense plasma-focus device with coaxial electrodes were generated for extreme ultraviolet (EUV) lithography. The influence of gas mixture ratio, Ne-Xe (1, 10, 15, 20, 25, 30, 50%) mixture gas, on EUV emission measurement, EUV intensity and electron temperature in the coaxially focused plasma were investigated. An input voltage of 4.5 kV was applied to the capacitor bank of 1.53mF and the diode chamber was filled with Ne-Xe mixture gas at a prescribed pressure. The inner surface of the cylindrical cathode was lined by an acetal insulator. The anode was made of tin metal. The EUV emission signal of the wavelength in the range of 6~16 nm has been detected by a photo-detector (AXUV-100 Zr/C, IRD). The visible emission line was also detected by the composite-grating spectrometer of the working wavelength range of 200~1100 nm (HR 4000CG). The electron temperature is obtained by the optical emission spectroscopy (OES) and measured by the Boltzmann plot with the assumption of local thermodynamic equilibrium (LTE).
-
Byeon, Yong-Seong;Song, Gi-Baek;Hong, Yeong-Jun;Lee, Seung-Hui;Eom, Hwan-Seop;Choe, Deok-In;Ryu, Han-Yong;Choe, Eun-Ha 221
수 Tera Watt급의 가속기 및 펄스파워 시스템은 다수의 스위치를 사용하고 있으며, 이와 같은 가속기 및 시스템의 성능은 기체방전 스위치의 성능에 직접적으로 관련되어 있다. 일반적으로 이와 같은 기체방전, 액체방전 고출력 스위치는 다목적으로 많은 연구와 개발에 응용되고 있다. 예를 들어 천둥 펄스전자빔 발생장치는 12개의 Marx gap 및 3개의 100 kV 펄스충전 전기트리거 gap을 가지고 있다. 기체 방전 또는 액체 방전 펄스 충전 갭 스위치의 음극에 펄스 고전압이 인가되면 이로 인하여 음극에서 전자빔이 발생한다. 내부에는 전자빔이 양극과 충돌하는 순간 양극표면에 플라스마가 형성된다. 이와 같은 플라스마 sheath는 축 방향 이극관 안에서 양극 충전 에서 음극으로 팽창하면서 전파하며, 또한 거의 동시에 음극표면에도 플라스마가 형성되어 음극에서 양극으로도 팽창하여 전파하게 된다. 이와 같은 펄스충전 고출력 갭 스위치 안에서 발생되는 방전 플라스마의 특성에 관한 갭 breakdown 과정에 대한 특성연구를 한다. 고출력 스위치의 특성 조건으로는 방전전압, 방전시간, jitter 등이 있다. 본 연구에서는 최대전압 600 KV, 최대전류 88 KA, 펄스 폭 60 ns의 특성을 가지는 고전압 펄스 시스템 '천둥'을 이용하여 방전 챔버에 고전압 펄스를 인가하고 N2와 SF6 혼합기체 종류와 압력에 따른 현상을 전기, 광학적으로 연구하였다. 전극은 구리텅스텐 합금재질의 표준전극을 사용하였고, 전극 간격은 20 mm로 고정하였다. 방전 챔버 압력을 100 torr에서 4 기압까지 변화시켜가며 실험을 진행하였고, N2에 대한 SF6의 혼합비율을 0%~100%까지 변화시키며 실험을 진행하였다. 실험결과 방전전압은 압력이 증가함에 따라 증가하다가 2 기압 이상에서는 완만히 증가하는 경향을 보였고, SF6 혼합비율은 0~10%까지 급격히 증가하고, 그 이상의 혼합비율에서는 완만히 증가하였다. 전자온도는 SF6 혼합비율이 0~10%일 때 급격히 증가하여 이후에는 포화되는 경향을 보였고, 압력에 따라서는 큰 경향성을 보이지 않았다. -
용량성 결합 플라즈마는 반도체 및 디스플레이 공정에서 널리 쓰이기 때문에 그 방전 특성에 관한 연구는 매우 중요하다. 하지만 대부분의 연구는 상대적으로 유사한 면적을 갖는 전극 구조에서 주로 진행되어 왔다. 따라서 본 연구는 두 전극의 면적 차이가 매우 큰 비대칭 구조를 갖는 용량성 결합 플라즈마에서 방전 특성을 측정하였으며, 전력 소비 모드 전이와 플라즈마 밀도와의 상관관계에 관한 연구를 진행하였다. 인가 전력 또는 방전 전류가 증가함에 따라서 플라즈마에 전달된 전력은 초기에는 선형적으로 증가하다가 점차적으로 급격히 증가하였으며, 방전 저항은 감소하다가 증가하는 형태의 전이를 보였다. 전달 전력과 방전 저항의 변화는 용량성 결합 플라즈마에서 초기에는 대부분의 전력이 플라즈마 내의 전자에 의해 소비되다가 점차 쉬스 내의 이온의 가속 에너지로 소비되는 전력 소비 모드 전이에 의한 것이며, 이로 인해 플라즈마 밀도는 처음에는 큰 폭으로 증가하다가 그 증가 폭이 줄어들었다. 이러한 방전 특성에 관한 연구는 다양한 아르곤 압력 범위에서 인가 전력을 증가시킴에 따라서 실험하였으며, 스퍼터, 에칭 등 산업용 플라즈마 공정에서 최적의 방전 조건 형성을 위해 큰 도움이 되리라 예상된다.
-
헬리콘 플라즈마는 자기장을 이용하여 높은 전자밀도를 가지게 하는 플라즈마 소스이다. 이러한 장점에도 불구하고, 전자석의 크기 때문에 설치가 어렵고, 전자석을 작동시키기 위해 추가 파워에 대한 비용이 필요하며, 플라즈마의 균일도가 좋지 않아 공정에서는 많이 사용되지 못하였다. 이러한 난점은 UCLA의 Chen이 영구자석을 이용한 새로운 개념의 소스를 개발함으로써 풀릴 수 있다. 이 소스는 헬리콘 플라즈마의 높은 저항을 이용하여 여러 개의 헬리콘 소스를 병렬로 연결이 가능하게끔 한다. 본 연구에서는 우선 Helic Code를 이용하여 floating metal end plate의 위치에 따른 플라즈마의 loading resistance를 계산한 후, 플라즈마의 internal 및 external parameter를 각각 single Langmuir probe와 VI-probe를 이용하여 측정하여 비교해 보았다. 헬리콘 플라즈마의 파장에 따른 보강간섭 조건을 맞췄을 경우, 헬리콘 모드로 전환이 낮은 파워에서 이루워지는 것을 external parameter를 통해 확인해 보았다.
-
Jin, Jeong-Tae;Seo, Chang-Seok;O, Byeong-Hun;Lee, Gwang-Won;In, Sang-Ryeol;Jang, Dae-Sik;Jeong, Seung-Ho;Hwang, Cheol-Gyu 224
전자 사이클로트론 공명 이온원(Electron Cyclotron Resonance Ion Source; ECR 이온원)을 사용하여 다가(multi-charged) 이온빔을 인출하고 이온들을 분리하였다. 사용된 ECR 이온원은 그림 1과 같은 구조를 가진다. 그림 1에서 축자장을 만드는 자석(axial magnet)은 세 뭉치의 상전도 전자석으로, 그리고 육극자장을 만드는 자석(hexapole magnet)은 영구자석으로 되어 있으며 14.5 GHz 고주파는 도파관을 통하여 용기의 축과 평행한 방향으로 입사된다. 헬륨, 아르곤, 메탄(CH4), 이산화탄소(CO2)를 사용하여 빔 인출 및 이온 분리 실험을 진행하였으며, 본 논문에서는 운전조건의 최적화 과정을 수행하기 전에 진행된 초기 실험결과들에 대하여 논의한다. 그림 2는 헬륨을 사용한 경우의 질량 스펙트럼이다. -
소형 대기압 플라즈마 소스는 그 형태에 따라 DBD (Dielectric Barrier Discharge)나 Plasma Needle, 혹은 Plasma Jet 등으로 구별되며, 구동 파형의 특성에 따라 DC, RF (Radio Frequency), 혹은 Pulsed 방식 등으로 나뉜다. 또한 코로나 방전도 소형 대기압 플라즈마 장치에서 사용된다. DBD는 1857년 Siemens에 의해 최초로 보고 되었고 산업 분야에서 대규모로 사용되어 왔다. 본 연구에서는 대향 방전 DBD 대신 유전체 양쪽 면에 전극이 도포된 면방전 형태의 DBD 구조 내부로 He 가스가 흐를 때의 방전에 대한 광학적 진단을 수행하였다. 전극간의 거리와 가스 유속의 변화에 따라 방전 특성이 어떻게 달라지에 대해서 Optical Emission Spectroscopy (OES)를 통하여 생성되는 radical 종의 변화를 측정하고 ICCD (intensified charge coupled device) image를 통해 방전이 시간에 따라 어떻게 진행되는지를 진단하였다.
-
최근 ECR (Electron Cyclotron Resonance) 가열에 의한 플라즈마 소스는 고밀도 플라즈마를 유지하면서 고진공 운전을 동시에 만족시켜 다양한 플라즈마 응용 분야에서 많은 관심을 받고 있다. 그 중 HNB (Hyperthermal Neutral Beam)를 이용한 플라즈마 소스에 있어서 ECR 플라즈마 소스는 고진공에서도 높은 플라즈마 밀도를 유지할 수 있기 때문에 기존의 HNB 플라즈마 소스인 ICP (Inductive Coupled Plasma)의 운전압력의 한계점을 해결하여 높은 HNB 방향성(~1mTorr이하)을 가진 고밀도플라즈마를 발생시킬 수 있을 것이라 제안되었다. ECR 플라즈마가 HNB 소스로서 적합하기 위해서는 플라즈마 소스의 대면적화와 균일화가 동시에 이루어져야 한다. 본 연구에서는 이러한 요구에 부합하여 Lisitano coil를 이용한 균일한 대면적 ECR 플라즈마 소스를 설계하였다. 최적의 설계와 진단을 위한 Lisitano Coil antenna 내의 B-field 분포 시뮬레이션과 Langmuir Probe 진단이 이루어졌다.
-
Jeong, Jong-Yun;Han, Sang-Ho;Kim, Dong-Jun;Kim, Jeong-Hyeon;Kim, Yun-Jung;Kim, Jung-Gil;Jo, Gwang-Seop 228
바늘형 전극과 컵형 전극을 사용한 대기압 플라즈마 제트 장치의 플라즈마 분출 특성을 조사한다. 바늘형 전극은 원통형 주사기 바늘을 사용하였다. 컵형 전극은 냉음극 형광 램프의 전극을 사용하였다. 방전 가스는 Ar을 사용하고 가스의 유량은 3 lpm이다. 구동 전원은 DC-AC 인버터를 사용하고 구동 주파수는 40 kHz이다. 방전 전압-전류 특성과 전류별 플라즈마 방출 길이를 측정한 결과, 원통형 바늘보다 컵형 전극이 방전 개시 전압이 낮았으며 동일 전류에서 플라즈마 방출 길이가 더 길다. -
Jeong, Jong-Yun;Im, Hyeon-Gyo;Kim, Jeong-Hyeon;Kim, Dong-Jun;Han, Sang-Ho;Jeong, Jong-Mun;Jo, Gwang-Seop 229
대기압 플라즈마 제트의 플라즈마 전파 현상을 조사하였다. 바늘침과 유리관으로 구성된 플라즈마 발생장치에 Ar을 주입하여 교류 고전압을 인가하면, 바늘침 전극부에 발생된 플라즈마가 길이방향에 따라 유리관 밖으로 전파된다. ICCD 초고속 카메라로 촬영한 결과, 고압부에 발생한 플라즈마 총알처럼 전파되는 것을 관측 되였다. 전파속도는 ~104 m/s이다. 이는 기체의 유속 ~10m/s 보다 훨씬 큰 값이다. 또한 광 프로브를 이용하여 광신호를 측정하였다. 광 신호가 고압 측부터 유리관 길이방향으로 순차적으로 전파되는 것이 관측 되었다. 전파 속도 ~104m/s으로 ICCD로 측정한 플라즈마 전파 속도와 일치한다. -
Kim, Yun-Jung;Jeong, Jong-Yun;Kim, Jeong-Hyeon;Kim, Dong-Jun;Han, Sang-Ho;Gang, Han-Rim;Jo, Gwang-Seop 230
대기압 플라즈마 제트 장치의 분출구 크기에 따른 플라즈마 분출 특성을 조사한다. 플라즈마가 분출되는 홀 모양의 방전관 출구의 직경 0.2, 0.5, 0.7, 1.0 mm에 대하여 비교 실험하였다. 플라즈마 제트 장치는 원통형 바늘 전극을 유리관 속에 삽입하고, 가스가 주입되는 형태이이다. 방전 가스는 Ar을 사용했으며 유량은 5 lpm이다. DC-AC 인버터를 사용하여 주파수 45 kHz 수 kV의 고전압을 인가하여 플라즈마 제트 장비를 구동한다. 직경이 작을수록 방출되는 플라즈마가 가늘고 방전 전압이 낮다. 직경이 작을수록 분출량 미세 조절이 용이하고 또한 낮은 방전 전압으로 인체 실험에 적용하는 경우에 전기적 충격이 적을 것으로 예상된다. -
Kim, Dong-Jun;Jeong, Jong-Yun;Kim, Yun-Jung;Jo, Yun-Hui;Han, Guk-Hui;Kim, Jung-Gil;Jo, Gwang-Seop 231
바늘침 전극을 사용한 대기압 플라즈마 제트의 전기적 특성을 조사하였다. 접지 전극 위치, 안정 커패시터 크기 등 변수에 따라서 플라즈마 제트 방전 특성의 변화를 조사한다. 각 실험조건의 등가회로를 통해서, 플라즈마 방전 특성(IV-curve)을 분석한다. 등가회로에서 안정 커패시터 Cp, 유리관 내부 플라즈마 저항 RP, 접지측 유리층 커패시턴스 CG, 대기 접지 RA, 등의 각 변수들을 검토한다. Rp 및 Rj는 방전이 강해질수록 작아진다. 특히 타운젠트 방전 후 Rp 및 Rj는 약 수십$k{\Omega}$ 으로 작아진다, 회로 전체 임피던스와 비교하면 아주 작은 값이다. 안정 커패시터 와 접지 측 유리 층의 임피던스는 수백$k{\Omega}$ 으로 아주 크다. 방전이 진행되면서 플라즈마 저항 Rp 및 Rj가 급감하여도 Cp 및 CG의 역할로 회로전체 임피던스가 일정한 값을 유지할 수 있어서 전류가 급증 하는 것을 방지할 수 있다. 대기 접지 RA는$M{\Omega}$ 으로, 접지 전극이 없을 때 방전 개시전압도 높아진다. -
Park, Jun-Seok;Yu, Seung-Yeol;Yu, Seung-Min;Hong, Eun-Jeong;Seok, Dong-Chan;Hong, Yong-Cheol;No, Tae-Hyeop;Lee, Bong-Ju 232
DAF는 기존 침전 공정에 비해 뛰어난 정수 품질과 빠른 처리 시간으로 차세대 정수 공정으로 각광 받고 있다. DAF는 기포 생성 방법에 따라 용존 공기 부상법, 분산 공기 부상법, 진공 부상법, 전해 부상법, 미생물학적 부상법 등이 있다. 이 중 가장 많이 쓰이는 방식은 용존 공기 부상법으로, 과포화 상태의 기체와 액체의 혼합액을 압력을 급격히 감소시켜 기포를 발생 시키는 방법이다. 이 방법은 기포의 발생은 많지만 장비의 크기가 거대하고 시설제조 비용이 많이 드는 단점이 있다. 수중에서 발생되는 플라즈마는 그 구조와 메카니즘에 따라 생성되는 버블의 양을 제어할 수 있음을 확인하였다. 모세관 형태의 전극을 이용한 수중 방전은 전원 공급 장치만 있다면 적은 공간으로도 효과적으로 기포를 생성 할 수 있기 때문에, 수중 방전을 이용하여 기포 발생 후 DAF에 적용 가능한지 알아보고자 한다. DAF공정에서 필요한 요인으로는 기포의 크기, 개수, 성분 물질 등이 있는데, 그 중 가장 핵심은 기포의 크기 이다. 그래서 간단한 전원 장치와 리액터 제작 후 방전에 최적화 된 전극으로 기포를 발생시켜 기포의 크기를 측정하였다. 기포의 크기는 전극의 직경과 방전공간의 비율에 따라 제어가 가능함을 확인하였고 평균 기포의 크기는 약 50${\mu}m$ 로서, DAF에 적용 할 수 있는 크기이다. 일반적으로 기포의 사이즈가 작을수록 입자 제거율이 높은데, 실제 DAF공정에서 사용되는 기포의 사이즈는 80${\mu}m$ 정도 이다. 따라서 개발된 기포 발생장치를 DAF 공정에 응용한다면 높은 효율을 가질 것으로 판단된다. -
O, Byeong-Hun;In, Sang-Yeol;Lee, Gwang-Won;Seo, Chang-Seok;Jang, Dae-Sik;Jin, Jeong-Tae;Jeong, Seung-Ho;Hwang, Cheol-Gyu 233
ECR 이온원을 가볍고 작게 하면서 운전을 단순화함으로써 유지보수를 최소화하는 것은 특히 의료용 중입자 가속기에서 매우 중요한 조건 중 하나가 된다. 탄소 다가 이온을 만들어내기 위한 의료용 중입자가속기의 이온원으로 영구자석형 ECR 이온원을 개념 설계하였다. 영구자석은 이온원 입출구에서 강력한 축방향 자장을 만들기 위한 솔레노이드 자석 두 개와 반경방향 자장을 만들어 주기 6극 자석으로 구성된다. 또한 축방향 자장 흐름을 효과적으로 만들어주기 위한 두 개의 링 형 자석을 추가하여 자장의 강도를 높였다. 그러나 영구자석으로만 만들어진 자장 구조는 제작과 동시에 고정이 되어 수정이 불가능하기 때문에 제작 후 매우 제한적인 운전영역을 가질 뿐 만 아니라 최악의 경우에는 운전조건을 찾지 못하는 경우도 발생할 수 있다. 따라서 본 설계에서는 그림과 같이 두 개의 작은 보조 솔레노이드 전자석을 추가하여, 최소한의 운전조건으로 ECR 이온원의 공명영역을 결정하는 최소 자장의 구조뿐만 아니라 축방향 자장의 세기도 각각 능동적으로 제어할 수 있도록 하였다. 또한 마이크로파원으로는 TWT (Traveling Wave Tube)를 사용하여 10 GHz에서 14 GHz 까지 다양한 주파수에서 운전이 가능할 수 있도록 설계하였다. 이러한 설계를 통하여 다양한 운전조건을 가질 수 있는 안정된 ECR 이온원을 부피가 작으면서도 유지보수를 최소화하는 구조로 만들 수 있으며, 본 이온원은 탄소 다가 이온을 만들어내기 위한 목적뿐 만 아니라 다양한 중이온을 작은 규모로 만들어내기 위한 장치에서도 사용될 수 있다. -
Electron-neutral collision frequency is one of the important parameters in the plasma physics and in industrial plasma engineering. We can understand the momentum, energy, and charge transport properties of the plasma using electron-neutral collision frequency.[1] The wave-cutoff method is a diagnostic method for the electron density measurement, but the cutoff peak value depends on gas pressure. The wave-cutoff signal becomes unclear as increasing gas pressure. The reason of pressure dependence is that the electron-neutral collision disturbs electron motion so that microwave can propagate through plasma at plasma frequency.[2] Using the pressure dependence of wave-cutoff method we can find the electron-neutral collision frequency. At first we tried to confirm this method using well known gas such as Ar. The cutoff signal decrease as increasing gas pressure (the simulation result). The wave-cutoff signal is unclear at a gas pressure of 500 mTorr. (electron density
$1.0{\times}10^{10}/cm^3$ , electron temperature 1.7 eV, electron -neutral collision frequency~1 GHz). In this condition, the electron-neutral collision frequency is closed to the wave-cutoff frequency. -
진단용 또는 의료용 동위원소들은 안정한 표적물질에 높은 에너지의 양성자가 조사 될 때 핵반응에 의해서 생성된다. 양성자를 충분한 에너지로 가속하기 위해서 이용되는 사이클로트론의 주요 부분은 (1) 진공시스템, (2) 자석시스템, (3) RF 시스템, (4) 외부 이온원, (5) 수직 축 방향빔의 수평방향 전환 시스템, (6) 빔 인출 장치, 그리고 빔전송과 표적장치로 구성된다. 인출된 빔은 표적까지 손실 없이 전송 될 수 있도록 빔 라인에 설치된 광학적 요소에 의해 집속되어 전송된다. 방사성동위원소의 생산량은 양성자 빔의 특성과 표적 물질의 종류에 따라 결정된다. 즉, 표적 물질에 조사하는 입자의 종류, 적절한 핵반응 선택, 최소량의 불순핵종과 원하는 방사핵종의 최대수율을 얻을 수 있는 최적 에너지 범위결정, 표적 물질의 냉각능력과 입자전류의 세기 등을 고려 하여야 한다. 동위원소 생산에 있어서 예측되는 수율은 입자전류와 비례하며, 에너지에 대한 핵반응 단면적 즉, 여기함수를 적분하여 아래와 같이 얻을 수 있다. 주 생성핵종의 생산 효율을 최대로 높이고 불순 핵종의 생성량을 최소로 감소시키기 위해서는 정확한 여기 함수 자료를 바탕으로 최적 입자를 결정하여야 한다. 또한 이론적인 생산 수율은 입자 전류에 정비례하지만, 입자 전류가 클경우 생산수율은 이론적인 수율보다 적다. 입자빔의 불균일성, 표적의 방사선 피폭에 의한 손상, 높은 입자전류에 의해 발생하는 열로 인하여 생성 핵종이 증발하여 생산 수율이 감소된다. 본 발표에서 방사핵종 C-11과 Tc-99m을 개발하기 위한 최적 조건에 관한 연구결과를 보고하고자 한다.
-
본 연구 축전 결합형 고주파 플라즈마(CCP) 식각장비에 펄스 직류(Pulse DC) 전원을 인가하여 오실로스코프(oscilloscope)를 분석하여 전기적 특성을 평가하는 것이다. 펄스 직류전원 플라즈마 시스템에서는 다양한 변수를 이해하여야 한다. 본 실험에서 사용한 공정 변수는 Pulsed DC Voltage 300~500 V, Pulsed DC reverse time
$0.5{\sim}2.0{\mu}s$ , Pulsed DC Frequency 100~250 kHz 이었다. 실험 결과를 정리하면 1) Pulsed DC Voltage 가 증가할수록 Input voltage의 최대값은 336~520 V, 최소값은 -544~-920 V로 변하여 피크 투 피크 (peak to peak)값은 880~1460 V로 증가였다. Input current 또한 최대값은 1.88~2.88 A, 최소값은 -0.84~-1.28 A로 변하여 피크 투피크 값은 2.88~4.24 A로 증가하였다. 이는 척에 인가되는 전류와 파워의 증가를 의미한다. 2) Pulsed DC reverse time이 증가하면 Input voltage와 Input current값이 증가했다 (Input voltage의 피크 투 피크 값은 1200~1440 V, Input current의 피크 투 피크 값은 3.56~4.56 A). 3) Pulsed DC frequency가 증가하면 주기가 짧아져 Input voltage와 Input current값이 증가 한다 (Input voltage의 피크 투 피크 값은 900~1320 V, Input current의 피크 투 피크 값은 2.36~3.64 A). 결론적으로 펄스 직류 플라즈마의 다양한 전기적 변수들은 반응기 내부에 인가되는 Input voltage와 Input current의 값에 큰 영향을 준다는 것을 알 수 있었다. -
다가 이온원 제조를 위한 ECR 이온원 제작과 더불어 이를 효과적으로 운전 제어할 수 있는 방안을 만들어야 한다. 이 운전 제어 방안은 두 가지 기능을 만족할 수 있도록 구성해야 하는데, 초기 실험실에서 ECR 이온원의 성능 검증을 하기에 유리하도록 알고리즘이나 시퀀스를 보다 용이하게 변경할 수 있도록 만들고 이후 확정된 알고리즘과 시퀀스를 이용하여 이온원의 안전을 보장하면서 최소한의 운전자만으로도 운전 가능하도록 구성해야 한다. 이를 구현하기 위해서 ECR 이온원 운전 제어와 관계된 모든 부대 장치들을 EPICS (Experimental Physics and Industrial Control System)로 운전하고 제어할 수 있도록 통합하면서 알고리즘의 복잡함으로 인해 발생할 수 있는 불확실성을 줄이기 위해 부대 장치를 구성하는 개별 장치 단위로 알고리즘을 만들어 EPICS database로 구현하고 운전 및 장치 보호를 위해 필요한 시퀀스는 EPICS sequencer를 이용하여 구현하였다. 현재까지 ECR 플라즈마 생성, 빔인출 그리고 입자 진단을 위해 사용된 전원 장치들을 운전 제어하기 위해 구현된 내용을 소개하고 이를 바탕으로 개별 장치에 확대하여 적용할 수 있는 방안에 대하여 연구하였다.
-
최근 반도체 산업은 더 높은 성능의 회로 제작을 통해 초고집적화를 추구하고 있다. 이를 위해서 회로 설계의 최소 선폭과 소자 크기는 지속적으로 감소하고 있고 이를 위한 배선 기술들은 플라즈마 공정을 이용한 식각공정에 크게 의존하고 있다. 식각공정에 있어서 반응가스의 조성은 식각 속도와 선택도를 결정하는 중요한 요소이다. 본 연구에서는 CIS QMS (closed ion source quadrupole mass spectrometer)를 이용하여 CF4+Ar를 이용한 실리콘 산화막의 플라즈마 식각 공정 시 생성되는 라디칼과 이온 종들을 측정하였다. Ar 이온이 기판표면과 충돌하여 기판물질간의 결합을 깨놓으면, 반응성 기체 및 라디칼과의 반응성이 커져서 식각 속도를 향상 시키게 된다. 본 실험에서는 2 MHz의 RPS (remote plasma source)를 이용하여 플라즈마를 발생시키고 13.56 MHz의 rf 전력을 기판에 인가하여 식각할 웨이퍼에 바이어스 전압을 유도하였다. CF4/(CF4+Ar)의 가스 혼합비가 커질수록 식각 부산물인 SiF3의 양은 증가 하였으며, CF4 혼합비가 0일 때(Ar 100%) 비하여 1일 때(CF4 100%) SiF3의 QMS 이온 전류는 106배 증가하였다. 이때의 Si와 결합하여 SiF3를 형성하는 F라디칼의 소모는 0.5배로 감소하였다. 또한 RPS power가 800 W일 때 플라즈마에 의해서 CF4는 CF3, CF2, CF로 해리 되며 SiO2 식각 시 라디칼의 직접적인 식각과 Si_F2의 흡착에 관여되는 F라디칼의 양은 CF3 대비 7%로 검출되었고, 식각 부산물인 SiF3는 13%로 측정되었다. Ar의 혼합비를 0 %에서 100%까지 증가시켜 가면서 측정한 결과 F/CF3는
$1.0{\times}105$ 에서$2.8{\times}102$ 로 변화하였다. SiF3/CF3는 1.8에서 6.3으로 증가하여 Ar을 25% 이상 혼합하는 것은 이온 충돌 효과에 의한 식각 속도의 증진 기대와는 반대로 작용하는 것으로 판단된다. -
Chang, D.H.;Jeong, S.H.;Kim, T.S.;Lee, K.W.;In, S.R.;Jin, J.T.;Chang, D.S.;Oh, B.H.;Bae, Y.S.;Kim, J.S.;Cho, W.;Park, H.T.;Park, Y.M.;Yang, H.L. 240
The first neutral beam injector (NBI-1) has been developed for the Korea Superconducting Tokamak Advanced Research (KSTAR) tokamak. A first long pulse ion source (LPIS-1) has been installed on the NBI-1 for an auxiliary heating and current drive of KSTAR core plasmas. Performance of ion and neutral beam extractions in the LPIS-1 was investigated initially on the KSTAR NBI-1 system, prior to the neutral beam injection into the main plasmas. The ion source consists of a JAEA magnetic bucket plasma generator with multi-pole cusp fields and a set of KAERI prototype-III tetrode accelerators with circular apertures. The inner volume of plasma generator and accelerator column in the LPIS-1 is approximately 123 liters. Final design requirements for the ion source were a 120 kV/ 65 A deuterium beam and a 300 s pulse length. The extraction of ion beams was initiated by the formation of arc plasmas in the LPIS-1, called as an arc-beam extraction method. A stable ion beam extraction of LPIS-1 has been achieved up to an 100 kV/42 A for a 4 s pulse length and an 80 kV/25 A for a 14 s pulse length. Optimum beam perveance of 1.21 microperv has been found at an accelerating voltage of 80 kV. Neutralization efficiency has been measured by using a water flow calorimetry (WFC) method of calorimeter and an operation of bending magnet. The full-energy species of ion beams have been detected by using the diagnostic method of optical multichannel analyzer (OMA). An arc efficiency of the LPIS was 0.6~1.1 A/kW depending on the operating conditions of arc discharge. -
최근들어 저온플라즈마를 이용한 생물학적 응용분야가 각광을 받고 있다. 특히 전기전도도를 가진 전해질 내에서 형성된 액상 플라즈마는 열손상없이 암, 세균 및 비정상 장기조직의 제거가 가능하다는 점에서 기존 시술들이 가지는 문제를 해결할 수 있다. 허리통증을 유발하는 탈출 수핵을 대용량으로 제거하기위한 플라즈마발생 전극에 관한 연구가 수행되었다. 수핵 분해량을 늘리기 위해서는 플라즈마를 통하여 다량의 수산화기 라디컬을 형성, 수핵표면에 조사해야 한다. 이를 위하여 6개의 텅스텐 전극표면에서 기포를 발생시켜 플라즈마 발생면적을 넓힐 수 있었다. 텅스텐 전극들은 캡톤코딩과 세라믹 스페이서를 통하여 분리되었고, 전극의 후방에는 SUS 재질의 환형 접지전극을 배치하여 6개의 텅스텐 전극표면에서 모두 기포가 발생할 수 있도록 하였다. 시술적용시 플라즈마 및 전극이 가지는 제한 조건은 단백질 변성을 막기위한 섭씨 45도 이하의 온도 상승과 조직에 대한 기계적인 손상 방지를 위한 2.5 mm 이하의 전체 전극 굵기이다. 이를 만족하는 가운데 수산화기 라디컬 형성을 증대할 수 있는 전극의 구조를 결정하기 위하여 1-D 전기 열유체 모델 도입하였다. 모델에서 도출된 기포의 두께를 바탕으로 다중전극간의 거리 조절을 통하여 플라즈마 방전구조를 전극 - 전극 (기포두께
${\times}2$ > 전극간 거리)과 전극 - 기포표면 (기포두께${\times}2$ < 전극간 거리)으로 통제하였다. 형성된 플라즈마의 소모전력, 전자 밀도및 수산화기 라디컬의 회전온도를 분석하기 위하여 0.9% 염화나트륨 수용액, 1.6 S/m, 전해질에서 플라즈마 형성를 형성하고 전기신호 및 광학신호를 관측하였다. 전극에 인가된 전압은 340 VRMS이며 운전주파수는 380 kHz이다. 실험 결과, 전극 - 기포표면 방전구조는 전극 -전극 방전구조에 비하여 전해질의 저항역할로 인하여 방전전류가 3.4 Ipp에서 1.6 Ipp로 감소하였으나, 기포표면에서의 물분자의 분해로 인하여 수산화기 라디컬에서의 발광세기는 약 4배 증가하였다. 또한 수산화기의 회전온도 분포상에서도 전극 - 기포표면 방전은 주변 물분자의 열교환으로 인하여 전극 -전극간 방전의 1500K 에 비하여 낮은 400K를 보였다. 이는 전극-기포표면 방전구조의 전극이 낮은 온도의 수산화기를 다량으로 형성할 수 있음을 시사하며, 카데바를 이용한 실험에서 220초에 걸쳐 약 87%의 수핵을 기계적 손상 및 단백질 변형없이 효과적으로 제거함을 확인하였다. -
현재 반도체 및 디스플레이 장비들이 공정 매개변수 및 플라즈마 변수를 독립적으로 제어하기 위하여 전원 주파수를 다양하게 사용된다. 플라즈마의 상태나 에너지 전달 효율은 반도체 및 디스플레이 공정에 중요한 요소이다. 따라서 플라즈마 발생장치의 전원 주파수를 바꾸었을 때의 플라즈마 밀도와 에너지 전달 효율에 관하여 연구하였다. 공정용 유도 결합 플라즈마(ICP)를 발생시키기 위하여 신호 발생기에서 전력 증폭기와 임피던스 정합회로(Matcher)를 거쳐 반응 용기에서 플라즈마를 발생시켰다. 6 mTorr의 압력에서 주파수는 13.56 MHz에서부터 80 MHz까지, 15~60 W의 전력을 인가하였다. 플라즈마의 에너지 효율을 측정은 제작한 로고스키코일(Rogowski Coil)을 이용하여 시스템 전반을 등가회로로 계산하였으며, 플라즈마 밀도는 반응용기 중앙에서 부유 탐침법을 적용하여 도출하였다. 같은 전력 조건에서 주파수가 증가함에 따라 플라즈마 밀도가 증가함을 볼 수 있었다. 그러나 플라즈마 에너지 효율은 주파수가 높아짐에 따라 점점 커지다 작아지는 경향을 볼 수 있었다. 에너지 전달 효율의 변화는 정합회로의 표피효과(Skin effect)에 기인하며 플라즈마 밀도의 변화는 이온의 에너지 손실에 기인한다.
-
현재 식각이나 증착, 이온주입 등에 반도체 공정에 플라즈마를 이용하고 있다. 이런 반도체 공정용 플라즈마 용기의 경우 플라즈마에 의한 용기의 스퍼터 등에 의해 금속 입자가 생성되어 공정중인 반도체 웨이퍼에 오염을 줄 수 있기 때문에 대부분의 공정용 용기는 아노다이징 알루미늄이나 세라믹 등을 사용한 부도체 용기를 사용하게 된다. 단일탐침법은 플라즈마내 금속 도체를 삽입한 후 바이어스 전압을 인가하여 전류-전압 특성 곡선을 해석하여 측정하는 방법이다. 하지만 플라즈마와 측정 시스템의 공통된 기준전압이 있어야만 측정이 가능하다는 단점을 가지고 있다. 따라서 일반적으로 많이 사용하는 부도체 용기내의 플라즈마는 기존의 단일탐침법으로 측정이 어렵다. 또한 높은 플라즈마 전위를 가지고 있는 플라즈마의 경우 높은 전압에서 전류-전압특성의 측정시스템을 구축하기 매우 어려운 단점을 가지고 있다. 따라서 이런 경우에도 측정이 가능하도록 축전기의 과도현상을 이용하여 탐침이 전기적으로 부유된 단일탐침법을 연구하였다. 이 방법의 타당성 확인을 위하여 금속용기에서 플라즈마를 발생시켜 기존의 단일탐침법과 부유형 단일탐침법을 비교하였다. 기존의 단일탐침법과 비교 결과는 공정조건에 관계없이 상당히 유사하였다. 따라서 이 방법으로 기존 단일탐침법을 사용할 수 없는 높은 플라즈마 전위의 플라즈마나 부도체용기내의 플라즈마의 측정에 사용할 수 있을 것으로 기대된다.
-
Kim, Hui-Su;Lee, Seok-Gwan;Lee, Du-Hyeong;Choe, Min-Sik;On, Yeon-Gil;Pyeon, Han-Byeol;No, Seung-Jeong;Gwon, Jin-Jung;Park, Jun-Gyu;Lee, Cheol-Ui 244
핵융합장치 내부의 플라즈마 대면재료는 고온의 플라즈마와 직접 대면하므로 수 십 MW/$m^2$ 에 이르는 큰 열부하에 따른 재료의 물성변화, 즉 고온환경에 따른 재료의 팽윤, 크리프(creep) 변형 그리고 금속이 가역성이나 연성을 잃는 취화현상 등이 중요한 연구주제이다. 고열부하의 인가를 통한 핵융합대면재료의 물성실험은 현재 국내에서는 탄소히터, 열플라즈마 등을 이용하여 이루어지고 있으며 국외에서는 짧은 시간에 큰 열부하를 인가할 수 있는 고출력 전자빔장치가 주요한 열부하실험장치로 활용되고 있다. 본 연구에서는 기초적인 실험이 가능한 고열부하용 전자빔조사장치를 제작하여 대표적인 플라즈마 대면재료인 텅스텐에 60 keV, 30 mA의 전자빔을 조사한 후 고열부하에 따른 텅스텐의 물성변화를 측정하였다. -
Emission lines ratios were used for diagnostics of and excited level densities in low-temperature plasmas. In this work, an optical emission spectroscopy (OES) was used to determine the electron temperature and metastable level densities in low-pressure inductively coupled plasma. The emission spectroscopy method was based on a simple collisional-radiative model. The selected lines of the Ar(4p to 4s) were influenced by the radiation trapping at relatively high pressures where the plasma become optically thick. To quantify this effect, a pressure dependence factor
${\alpha}$ (P) was derived by using corrections for the measured intensities. It was found that the lower metastable level densities were obtained when${\alpha}$ (P) increased with the increasing discharge pressure. The effect of non-Maxwellian electron energy distribution functions (EEDFs) on the metastables was also presented and discussed. -
차단기의 주 임무는 사고전류를 차단하는 것이다. 진공 인터럽터는 진공차단기의 차단부로서 진공차단기의 핵심부이다. 사고전류 발생시 전극이 분리되면서 아크가 발생한다. VI의 아크소호 방식에는 크게 축자계 방식과 횡자계 방식이 있는데 본 논문은 횡자계 방식에 관한 것이다. 교류전류에서는 전류가 일시적으로 공급되지 않는 전류영전에서 아크소호가 가능하다. 전류영점에서 아크가 소호된 직후 극간저항은 거의 0에서부터 무한대까지 급격하게 변화하는데 이때 이 저항의 증가에 비례하여 과도회복전압이 발생한다. 하지만 잔류플라즈마의 소멸에는 일정시간이 소요되며 아크가 소호된 이후에도 종종 극간에 금속증기가 존재하게 된다. 잔류플라즈마는 전기전도도를 가지므로 극간에 과도회복전압이 걸리면 전류영점 직후에 아크를 통해 흘러 결국 아크의 재점호를 야기시키는 post arc current를 발생시킬 수 있다. 따라서 전류영점의 충분한 시간 이전에 아크를 확산아크로 전환시켜 극간에 존재하는 잔류 플라즈마 량을 최소화시켜야 한다. VI 내부의 아크거동에 미치는 인자에는 접점재료와 VI 용기내부의 진공도 이외에도 전극의 직경, 쉴드, 전극의 개극속도, 최종 극간거리 등이 있다. 본 연구에서는 나선형 VI 접점을 대상으로 두 접점 사이의 비틀림 각도에 따른 아크제어성능을 비교분석하였다.
-
유전체 모세관을 이용한 해수에서의 펄스고전압 방전 특성을 연구하였다. 내경 1, 2, 3 mm의 구멍이 뚫린 Quartz 블럭에 외경 1, 2, 3 mm의 SUS 핀을 삽입하였고 삽입된 핀의 끝이 해수에 담구어 지도록 하여 고전압 방전을 발생 시켰다. 인가된 펄스 고전압은 5 kHz의 반복 주파수를 가지며, Pulse 폭을
$1{\sim}2.5{\mu}sec$ 까지 변화 시켜 전압전류 파형과 방전양상을 살펴 보았다. 방전은 펄스폭 변화에 따라 전해전도 전류에 의한 모세관 가열, 모세관내 미세기포형성, 기포내의 코로나 방전 개시 그리고 글로우 또는 아크방전으로 발전하는 것을 확인하였다. 모세관의 길이는 각각의 구경에 대하여 5 mm, 10 mm 두 가지로 변화하여 실험하였고, 모세관 길이 10 mm 조건에서는 방전이 매우 불안정 하였다. 각각의 방전조건별로 1~5분간 방전을 진행하여 해수내의 유리염소의 농도 변화를 살펴본 결과 방전모드가 글로우 또는 아크 방전 모드에서 단위 에너지당 유리염소 발생 수율이 큰 폭으로 증가하는 것을 확인할 수 있었다. -
전력용 개폐장치인 진공차단기의 차단부가 송배전 시스템에 30 [kA] 정도의 커다란 사고전류가 흐르는 것을 방지하기 위하여 동작될 때 차단부 내부 전극 사이에 25,000 [K] 이상의 아크 플라즈마가 발생하게 된다. 두 전극 사이에 발생된 아크 플라즈마는 약 10 ms~20 ms 동안 지속되다가 교류전원의 전류영점 부근에서 회복된 절연성능으로 인하여 자연스럽게 소멸되지만, 대전류 구간동안 아크 플라즈마의 집중 현상 등에 의하여 전극의 심각한 손상 등이 발생되면 절연성능이 요구된 만큼 회복되지 못하여 사고전류를 차단하지 못하며 시스템에 연결된 기기들에게 심각한 손상을 입히고 정전사고를 일으킨다. 본 연구에서는 전자계-열유동 연성해석기법을 이용한 축자계 진공차단부에서 발생하는 아크 플라즈마의 3차원 수치해석을 통하여 전극의 심각한 손상을 입히는 아크플라즈마의 집중 현상에 관한 축자계의 영향을 고찰하고자 한다. 수치해석을 위한 아크 영역은 양극과 음극의 직경과 같은 직경의 원기둥으로 가정하였고, 전자계 해석으로부터 얻어진 로렌츠 힘과 줄열을 열유동 해석을 위한 Navier-Stokes 방정식의 파라미터로 입력하여 해석을 수행함으로써 전자계와 유체역학적인 영역을 동시에 연계한 순차적 일방향 연성해석 기법을 적용하였다. 컵형 축자계 진공차단부 내 아크영역에서의 로렌츠 힘의 특성과 온도분포에 대하여 수치해석을 수행하였고, 크기가 다른 두 로렌츠 힘에 의하여 양극표면으로 집중되는 온도분포의 크기를 비교함으로써 진공아크 플라즈마의 집중현상에 영향을 미치는 주요 요소를 규명할 수 있었다.
-
Pulsed DC magnetron sputter 진공 웹코팅 연속증착기를 사용하여 PET 또는 PEN 기판 위에 Al2O3 가스 배리어 박막을 형성 하였다. 주사전자현미경 측정으로 표면을 분석하였고, PERMATRAN-W3/33을 사용하여 투습률 값을 결정하였다. PEN과 PET 기판위의 가스 배리어 막 모두 O2 분압이 증가 할수록 투습률이 증가하였다. O2 분압이 증가함에 따라 결정립들 사이에 크랙이 발생하여 투습률값에 영향을 미치는 것을 확인하였다. PET 보다 PEN 기판위에 증착막이 더 O2분압이 증가할수록 크랙이 증가하였다. PET 위에 SiO2, SiOC 및 SiON 박막을 증착하여 SiO2는 두께에 따른 변화를 SiOC와 SiON는 부분압의 변화에 따른 투습률값과 투과도값을 측정하였다. SiO2 박막 두께가 500 nm일 때 최소의 투습률인 6.63 g/m2/day를 얻었고, SiO2 박막 두께가
$1{\mu}m$ 일 때 투습률값이 9.46 g/m2/day로 증가하였다. 투과도값은 두께가 증가할수록 감소하는 것을 보였다. 이러한 결과는 투습률값이 두께 변화에 따른 영향보다 표면의 결정립들의 영향에 더 민감함을 알 수 있었다. 부분압이$6.6{\times}10^{-4}Torr$ 일 때 SiOC와 SiON의 최소의 투습률이 각각 7.85 g/m2/day 이고 8.1 g/m2/day 이며 SiOC 박막의 투습률 보다 작았다. -
본 연구에서는 Zr이 도핑 된 ZnSnO (ZZTO) 기반의 물질을 액상공정을 이용하여 합성하고, 박막트랜지스터를 제작하였다. 출발 물질로써 지르코늄 클로라이드 (ZrCl4), 아연 아세테이트 디하이드레이트 (
$Zn(CH_3COO)_2{\cdot}2H_3O$ ), 틴 클로라이드 ($SnCl_2$ )를 아연과 주석 프리커서의 비율을 4:7로 고정하고, 지르코늄 프리커서의 몰비를 변형시켜 제작하였다. 제작된 솔루션은 0.25몰의 몰 농도로 고정하였다. 솔벤트로는 2-메톡시에탄올 (2-methoxyethanol)을 사용하였으며, 준비된 솔루션은$0.2{\mu}m$ 필터를 이용하여 필터링을 실시하였다. Heavily doped p+ Si 기판에 열적 산화법을 이용하여 120 nm 두께의$SiO_2$ 를 성장시킨 것을 게이트 및 게이트 절연막으로 이용하였으며, 스핀코팅을 이용하여 ZZTO 박막을 코팅하였다. 코팅 된 기판은$300^{\circ}C$ 에서$500^{\circ}C$ 사이로 2시간 열처리를 실시하였으며, 마지막으로 소오스/드레인을 스퍼터링법으로 Al을 증착하였다. Zr 함량비, 열처리 온도, 제작된 솔루션의 온도에 따른 박막단계를 파악하기 위해 X-ray photoelectron spectroscopy (XPS), thermogravimetry differential thermal analyzer (TG-DTA), X-ray diffractometer (XRD), high-resolution transmission electron microscopy (HR-TEM), Hall-effect measurement, UV-Vis spectroscopy 분석을 실시하였으며, 제작된 소자는 semiconductor analyzer (HP4156C)를 이용하여 측정하였다. -
Moon, Yeon-Keon;Kim, Woong-Sun;Lee, Sih;Kang, Byung-Woo;Kim, Kyung-Taek;Shin, Se-Young;Park, Jong-Wan 252
ZnO-based thin film transistors (TFTs) are of great interest for application in next generation flat panel displays. Most research has been based on amorphous indium-gallium-zinc-oxide (IGZO) TFTs, rather than single binary oxides, such as ZnO, due to the reproducibility, uniformity, and surface smoothness of the IGZO active channel layer. However, recently, intrinsic ZnO-TFTs have been investigated, and TFT- arrayss have been demonstrated as prototypes of flat-panel displays and electronic circuits. However, ZnO thin films have some significant problems for application as an active channel layer of TFTs; it was easy to change the electrical properties of the i-ZnO thin films under external conditions. The variable electrical properties lead to unstable TFTs device characteristics under bias stress and/or temperature. In order to obtain higher performance and more stable ZnO-based TFTs, HZO thin film was used as an active channel layer. It was expected that HZO-TFTs would have more stable electrical characteristics under gate bias stress conditions because the binding energy of Hf-O is greater than that of Zn-O. For deposition of HZO thin films, Hf would be substituted with Zn, and then Hf could be suppressed to generate oxygen vacancies. In this study, the fabrication of the oxide-based TFTs with HZO active channel layer was reported with excellent stability. Application of HZO thin films as an active channel layer improved the TFT device performance and bias stability, as compared to i-ZnO TFTs. The excellent negative bias temperature stress (NBTS) stability of the device was analyzed using the HZO and i-ZnO TFTs transfer curves acquired at a high temperature (473 K). -
현재 AMLCD(Active Matrix Liquid Crystal Display)는 노트북, 컴퓨터, TV등 여러 영상매체에 있어 가장 많이 활용되고 있는 디스플레이로 손꼽힌다. AMLCD에 구동소자로 사용되는 a-Si:H TFT는 낮은 제조비용과 축적된 기술을 바탕으로 가장 많이 쓰이고 있다. 특히 a-Si이 가지는 소형화나 대형화의 편의성은 모바일 기기, projection TV, 광고용 패널 등 적용분야가 점점 넓어지고 있는 추세이다. 하지만 a-Si라는 물질 자체가 가지는 낮은 이동도는 더 많은 application을 위해 해결되어야 할 과제이다. 낮은 이동도는 a-Si 실리콘 원자간 결합의 불규칙성 및 무질서와 dangling bond에 의한 localize state(deep trap, band tail)의 존재 때문에 발생하며 결과적으로 TFT 소자의 특성의 저하를 가져온다. 앞선 연구에서는 carrier이동도의 개선을 위해서 첫 번째로 insulator층과 active층 사이의 계면 상태를 향상시키기 위해 insulator로 쓰이는 a-SiN층 표면에 0~18 sccm의 유량으로 phosphorus를 주입하였다. AFM분석을 해본 결과 phosphorus를 주입함으로써 계면의 roughness가 줄어드는 것을 확인 할 수 있었다. 이러한 계면의 roughness 감소는 표면 산란(surface scattering)및 전자 포획(trap)의 영향을 줄임으로써 이동도의 향상을 가져왔다. 두 번째로 active층으로 쓰이는 a-Si:H 층의 표면에 phosphorus를 0?9sccm의 유량으로 doping하였다. 이로 인해 channel이 형성되는 active 영역에 직접적으로 불순물을 doping됨으로써 전도도를 증가되어 이동도를 향상시켰다. 하지만 지나친 doping은 불순물 산란(impurity scattering)의 증가로 인해 이동도를 저하시키는 결과를 보여 주었다. 본 연구에서는 TFT의 이동도 향상을 위해 두 가지의 technology를 함께 적용시켜 a-SiN/a-Si:H 계면 각각에 phosphorus를 주입 및 doping을 하였다. 모든 박막은 PECVD로 제작하였으며 각 박막의 두께는 a-SiN/a-SiN(phosphorus)/a-Si:H(doped)/a-Si:H/n+ a-Si(
$2350{\AA}/150{\AA}/150{\AA}/1850{\AA}/150{\AA}$ )으로 고정하고 유량을 변화시키면서 특성을 관찰하였다. -
Zinc oxide based thin films have been extensively studied in recent several years because they have very interesting properties and zinc oxide is non-poisonous, abundant and cheap material. ZnO films are employed in different applications like transparent conductive layers in solar cells, protective coatings and so on. Wide industrial application of the ZnO films requires of development of cheap, effective and scalable technology. Typically used technologies don't completely satisfy the industrial requirements. In the present work, we studied effect of the deposition parameters on the structure and properties of ZnO films deposited by DC arc plasmatron. The varied parameters were gas flow rates, precursor composition, substrate temperature and post-deposition annealing temperature. Vapor of Zinc acetylacetone was used as source materials, oxygen was used as working gas and argon was used as the cathode protective gas and a transport gas for the vapor. The plasmatron power was varied in the range of 700-1500 watts. Flow rate of the gases and substrate temperature rate were varied in the wide range to optimize the properties of the deposited coatings. After deposition films were annealed in the hydrogen atmosphere in the wide range of temperatures. Structure of coatings was investigated using XRD and SEM. Chemical composition was analyzed using x-ray photoelectron spectroscopy. Sheet conductivity was measured by 4-point probe method. Optical properties of the transparent ZnO-based coatings were studied by the spectroscopy. It was shown that deposition by a DC Arc plasmatron can be used for low-cost production of zinc oxide films with good optical and electrical properties. Increasing of the oxygen content in the gas mixture during deposition allow to obtain high-resistive protective and insulation coatings with high adhesion to the metallic surface.
-
Using plasmas, hydrophobic surfaces are made on various substances such as polyimide films, filter paper, cotton clothes and multi-walled carbon nanotube (MWCNT) with hexamethyldisiloxane (HMDSO), trimethylchlorosilane (TMCS) and toluene reagents. Plasmas are easily and rapidly to change surface of hydrophilic materials into hydrophobic. We have also optimized processing time and maximized contact angle for super-hydrophobicity of MWCNT. Contact angles have been calculated by measuring between substance and probe liquid, and total surface free energies are determined by the Owens-Wendt equation. Figure 1 shows the measured contact angles with time and ratio of reagents on MWCNT.
-
The amorphous indium-gallium-zinc-oxide (a-IGZO) materials for use in high performance display research fields are strongly investigated due to its good performance, such as high mobility and better transparency. However, the stability of a-IGZO materials is increasingly becoming one of critical issues due to the sub-gap electron trap sites induced by rough interfaces during deposition processing. It is well-known that the threshold voltage shift is related to interface roughness and oxygen vacancy formed by breaking weak chemical bonds. Here, we report the better properties of transparent oxide transistors by reducing the threshold voltage shift with an external rf plasma supported magnetron sputtering system. Mainly, our sputtering method causes the surface of sample to be sleek, so that it prevents the formation of various defects, such as shallow electron trap sites in the interface. External rf power was applied from 0 to 50W during RF sputtering process to enhance the stability of our oxide transistor without having a large voltage shift. To observe the effects of external rf-plasma source on the properties of our devices, Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM) are carried out to observe surface roughness and morphology of sputtered thin film. In addition, typical electrical properties, such as I-V characteristics are analyzed.
-
Solar Cell의 TCO로써 연구중인 ZnO 박막을 제조함에 있어 Remote ICP PECVD 방법으로 개발된 공정기술을 응용하여 공정 변수인 소스간의 혼합비율, 공정압력, 공정온도, 플라즈마 파워 등의 공정조건을 조절하여 박막의 표면구조 및 (박막) 특성을 제어하는 것이 가능하다. 공정조건을 조절하여 박막두께와 표면결정크기를 변화시킴에 따라 광학적 특성의 변화가 발생하였으며 표면결정크기와 Haze 율이 관계가 있음을 확인하였다. 일반적으로 CVD 법에 의한 ZnO 박막의 표면결정크기는 박막의 두께가 증가함에 따라 성장하지만 공정조건의 조절을 통해 동일두께에서 결정크기의 조대화가 가능함을 알 수 있었다.
-
대기압 플라즈마는 멸균과 살균, 지혈, 피부재생, 치아 미백 등 여러 의학 분야를 대상으로 그 효과를 나타내고 있으며, 플라즈마 장비를 만들어 내기 위해 부피가 큰 진공 장비가 필요하지 않다는 점에서 대기압 플라즈마는 그 활용과 효과에 있어 큰 기대를 받고 있다. 대기압에서 플라즈마는 다양한 주파수를 이용하여 만들어져 왔으며, 본 연구실에서 연구하고 있는 수백 MHz-수 GHz 대역의 파워를 사용하는 플라즈마의 경우 대기압 플라즈마를 의학 분야에 사용할 때 만족해야 할 조건들에 만족하는 특성을 보여준다. 기존의 고주파를 사용하는 장비의 경우 추가적인 Matching 장비로 인해 플라즈마를 만들기 위해 큰 장비와 높은 파워가 필요한 단점이 있었다. 하지만 이 마이크로웨이브 장비는 전송선 이론을 기반으로 장비 자체가 구조적인 Matching이 이루어 지도록 설계되었다(그림 1). 즉, 추가적인 Matching 장비의 필요 없이 외부에서 파워를 주는 것만으로 플라즈마를 발생 시킬 수 있으며, 50% 이상의 파워 효율을 보여준다. 또한 그 크기도 손에 쥐고 사용할 수 있을 볼펜 정도의 크기이며, 3W의 정도의 저 전력으로 플라즈마를 발생 시켰다. 높은 에너지를 가지는 전자들은 공급되는 기체뿐만 아니라, 주변 공기와의 반응하여 여러 응용분야에 적합한 활성 종을 다량 만들어내게 된다. 본 연구실의 강점인 플라즈마 시뮬레이션으로 얻은 결과에서 주파수가 올라 갈수록 높은 에너지를 가지는 전자들이 많아지는 것을 보여준다. 그리고 발생시킨 플라즈마의 광학 특성에서도 생의학 분야에 적합한 많은 활성 종들이 발생 되는 것을 확인하였다. 일반적으로 의학 분야에 사용되는 플라즈마의 경우 플라즈마에서 발생하는 열에 의한 피해를 최소화 하는 것이 중요하다. 마이크로웨이브 플라즈마의 경우, 그 플라즈마의 온도가 50
$50^{\circ}$ C 미만으로 의학 분야에 사용하기 적합하다. 또한 구동 주파수가 올라갈수록 플라즈마를 유지하는데 필요한 전압이 상대적으로 낮아지게 되는데, 이는 전기적 쇼크 등 플라즈마 의용에서 발생하는 안전성 문제에 있어서도 마이크로 웨이브 장비가 좋은 점이다. 본 플라즈마 장비를 구동하기 위한 손바닥 크기 정도의 소형의 전용 파워 장치를 개발함으로써 저전력 소형 플라즈마 장치를 개발하는 것을 목표로 하고 있다. 마이크로 웨이브 장비는 여러 가지 분야에서 그 효과를 검증 받았다. 혈액 응고 실험에서 30초 정도의 짧은 처리만으로도 자연 응고에 비해 탁월한 지혈 효과를 보여줬다 (그림 2). 충치를 발생시키는 대표적인 구강균인 S.mutans의 살균 실험에서 Ti02와의 복합적인 처리를 통해 30초 미만의 처리로 처리하지 않은 것에 비해 10-6 만큼의 줄어드는 살균 효과를 보여줬다. 뿐만 아니라 치아의 미백에 있어서도 탁월한 효과를 나타냈다. 현재 본연구실에서는 마이크로 웨이브 장비의 기본적인 구조를 응용하여, 좀더 넓은 영역을 처리할 수 있는 대면적 마이크로 웨이브 장비를 위한 연구를 수행 중이다. -
본 연구는 HMDS/
$O_2$ /He/Ar의 gas mixture를 이용하여 remote-type의 DBD source를 통한 APPECVD를 통한 SiOx 양질의 무기막 증착 공정을 개발하였다. 이때 기판에 바이어스를 인가 하거나 혹은 접지를 하여 대기압 플라즈마의 환경 내에서도 바이어스 효과를 확인할 수 있도록 double discharge system을 구축하였다. 그리고 이 double discharge system의 다양한 특성과 기존의 전형적인 DBD와 비교 하였을 때 어떠한 차이점을 가지는지에 대해서도 관찰하였다. 그리하여 전형적인 DBD system과 double discharge를 통해 증착된 SiOx 무기막의 특성을 역시 비교 관찰하였다. Gas mixture 중 HMDS의 유량이 증가함에 따라, 그리고$O_2$ gas의 유량이 감소함에 따라 SiOx 무기막의 증착률은 감소하였다. 그러나, SiOx 무기막 내의 불순물들, 예를 들어, carbon 혹은 hydrogen 계열의 chemical bond에 대한 정성적인 양은 HMDS 의 유량이 증가하거나 혹은$O_2$ gas의 양이 감소함에 따라 오히려 증가함을 관찰할 수 있었다. 그리고 기판에 바이어스를 인가하는 double discharge system을 사용하였을 경우, 같은 HMDS,$O_2$ gas 유량을 사용한 전형적인 DBD type의 증착 공정 보다 더 높은 공정 효율을 나타냄과 동시에 더 낮은 불순물 함량을 가짐을 알 수 있었다. 이러한 double discharge system을 통해 증착된 양질의 SiOx 무기막이 증착 되었음을 FT-IR을 통한 막질 분석을 통해 확인 할 수 있었다. 이러한 double discharge system의 증착 공정에 대한 긍정적인 효과들은 atmospheric discharge의 효율 향상에 따른 gas dissociation efficiency 증가와 이를 통한 HMDS 분해 및 산소와의 recombination 효율의 증가에 따른 결과로 사료된다. -
본 연구에서는 DBD (Dielectric Barrier Discharge)방식의 상압 플라즈마를 이용하여 FPD (flat panel display) 공정에 사용되는 a-Si, Si3N4의 식각 공정 특성을 평가하였다. 사용된 DBD 반응기는 기존의 blank planar plate 형태의 Power가 인가되는 anode 부분과 Dielectric Barrier 사이 공간을 액상의 도전체로 채워 넣은 형태의 전극이 사용 하였으며, 인가 Power는 40kHz AC 최대인가 전압 15 kVp를 사용 하였다. 방전 가스는 N2, 반응가스로는 CDA (Clean Dry Air)와 NF3, 액상의 Etchant를 사용 하였으며 모든 공정은 In-line type으로 시편을 처리 하였다. NF3의 경우 30 mm/sec 이송속도 1회 처리 기준 a-Si 1300
${\AA}$ , Si3N4 1900${\AA}$ 의 식각 두께를 보였으며 a-Si : Si3N4 선택비는 N2, CDA의 조절을 통하여 최대 1:2에서 4:1 정도까지 변화가 가능하였다. 균일도는 G2 (370 mm${\times}$ 470 mm)의 경우 5.8 %의 균일도를 보이고 있다. 이외에도 NF3 공정의 경우 실제 TFT-LCD 공정 중 n+ channel (n+ a-Si:H)식각 공정에 적용하여 5.5 inch LCD panel feasibility를 확인 할 수 있었다. 액상 Etchant (HF수용액, NH4HF2)는 버블러를 사용하여 기화 시켜 플라즈마 소스를 통해 1차적으로 활성화 시키고 기존 DBD 반응기에 공급해 주는 형태로 평가를 진행하였다. 식각 특성은 30mm/sec 이송속도에서 a-Si$25{\AA}$ 정도로 가스 형태의 Etchant에 비해 매우 낮은 수준이나 Etching rate 향상을 위한 factor 파악 및 개선을 위한 연구를 진행 하였다. -
Poly [(N, N'-oxydiphenylene) pyromellitimide], polyimide (PI) film은 기계적 강도가 매우 우수하고 열적, 화학적 안정성이 뛰어난 재료로서 전자제품의 소형화, 경령화, 고성능화를 위한 차세대 flexible electronic device에 적용하기 위하여 많은 연구가 진행되고 있다. 그러나 PI의 특성상, 매우 낮은 표면에너지로 인해 금속과의 접촉력이 좋지 않은 단점을 가지고 있다. 본 연구에서는, 금속박막과 PI film 과의 접촉력을 증가시키기 위해 remote-type modified dielectric barrier discharge (DBD) module을 이용하여 대기압 플라즈마 표면처리를 하였다. 실험에 사용된 gas composition은 각각
$N_2$ / He/$SF_6$ ,$N_2$ / He/$O_2$ ,$N_2$ / He/$SF_6$ /$O_2$ ,$N_2$ / He/$SF_6$ /$O_2$ 이다.$N_2$ / He/$SF_6$ /$O_2$ gas composition을 이용하여 PI 표면을 플라즈마 처리한 경우, C=O 결합이 PI film 위에 생성됨으로써, 접촉각이 매우 낮게 형성됨을 관찰할 수 있었다. 이와는 반대로$N_2$ / He/$SF_6$ gas composition 을 사용하였을 경우에는 C-Fx 화학적 결합이 생성되기 때문에 가장 높은 접촉각이 형성됨을 관찰할 수 있었다. 특히,$N_2$ (40 slm)/ He (1 slm)/$SF_6$ (1.2 slm) gas composition에$O_2$ gas를 0.2 slm부터 1.0 slm까지 변화시켜가며 PI film 표면을 처리한 결과,$O_2$ gas를 0.9 slm 첨가하였을 때, 가장 낮은$9.3^{\circ}$ 의 접촉각을 얻을 수 있었다. 이는 0.9 slm의$O_2$ gas를 첨가하였을 때, 가장 많은 양의$O_2$ radical이 생성되기 때문에 많은 양의 C=O 결합이 생성되기 때문이다. 최적화된$N_2$ (40 slm)/ He (1 slm)/$SF_6$ (1.2 slm)/$O_2$ (0.9 slm) gas composition 조건에서 Ag film과 PI film과의 접촉력을 관찰할 결과, 111 gf/mm를 얻을 수 있었다. -
저온 대기압 플라즈마는 21세기에 들어 생의학 분야에 이용될 수 있는 새로운 도구로서 많은 관심을 받고 있다. 대기압 플라즈마는 고가의 진공 장비를 필요로 하지 않고 저전력 구동이 가능하기 때문에 저비용 구동이 가능하고 방전 장치와 전력공급 장치의 소형화에 매우 유리하다. 특히 저온 대기압 플라즈마는 고온의 전자와 저온의 이온 입자가 공존하는 열적 불평형(thermal non-equilibrium) 상태에 있기 때문에 플라즈마의 저온 특성은 유지하면서도 (
${\sim}30^{\circ}C$ ) 물리/화학적 반응성은 매우 높아 그 응용 분야가 매우 넓다. 플라즈마의 다양한 생의학 분야 응용 가운데 세포의 사멸 유발 또는 생장 촉진, 살균/멸균, 지혈, 상처 치유 등에 저온 대기압 플라즈마가 매우 뛰어난 효능을 보인다는 것이 국내외의 다양한 연구를 통해 밝혀지고 있다 [1]. 20 kHz 정현파로 구동되는 플라즈마 장치를 이용한 암 세포 제거 실험에서 플라즈마 처리 효과를 증대시키기 위해 항체-금나노입자 중합체를 암 세포에 주입시켰다 (그림 1(a)). 그 결과 세포의 사멸율은 74%로서 플라즈마 또는 플라즈마-금나노입자만을 처리한 경우에 비하여 사멸율이 매우 높게 나타났다 (그림 1(b)). 이를 통해 암세포 선택성을 가진 항체-금나노입자 중합체와 플라즈마 처리 기술을 융합한 암 세포의 선택적 사멸 유발 기술의 개발 가능성이 열렸다. 또한 플라즈마 처리를 통해 일어나는 세포의 자멸사 기작이 Cytochrome C의 방출 이후 이어지는 Caspase-3의 활성화 경로와 관계가 있음이 밝혀졌다 (그림 1(c)). 치아 미백은 최근 부상하고 있는 저온 대기압 플라즈마의 새로운 응용 분야이다 [5-6]. 대기압에서 동작하는 헬륨 플라즈마 제트를 미백제(과산화수소)와 함께 발치된 치아에 적용하였을 때 (그림 2(a)) 미백제만을 사용하였을 경우에 비해 치아의 색상 변화가 2배 이상 크게 나타나는 것을 확인하였다 (그림 2(b)). 이처럼 최근 그 범위가 크게 넓어지고 있는 저온 대기압 플라즈마의 생의학 응용 기술의 최적화를 위해서는, 다양한 생의학 응용 분야에 따라 요구되는 플라즈마의 특성 및 응용별 기저 기 작에 대한 이해와 연구가 필요하다. -
Kim, Yun-Jung;Kim, Jeong-Hyeon;Han, Sang-Ho;Jeong, Jong-Yun;Kim, Hyeon-Cheol;Gang, Han-Rim;Jo, Gwang-Seop 268
열전소자를 사용하여 발광다이오드의 발열을 개선한다. 열전소자(Thermoelectric device: TED)의 펠티에효과(Peltier effect)를 이용하여 발광다이오드(Light Emitting Diodes: LED)의 접합온도 (Junction Temperature)를 제어한다. 열전소자의 구동 전력을 제어하여, 발광다이오드의 사용 전류에 대한 접합온도의 특성을 조사한다. 열전소자의 입력 전력 0.2W에 대하여, 일반 조명용 또는 표시 장치로 사용되는 1W급 고전력 LED를 정격전류(350 mA)로 구동할 때 접합온도를 최저$69^{\circ}C$ 로 유지할 수 있다. 열전소자의 구동 전력이 0.2W일 때, 발광다이오드의 접합온도$110^{\circ}C$ 에 대하여 최대 사용 가능 전류는 560 mA로 예측된다. -
핵융합로에서 챔버 벽면의 보호와 입자 문제를 해결하기 위해 최근 챔버 벽면의 보론 박막 코팅에 대한 연구가 활발히 연구 중이다. 핵융합로 운전 시 챔버 벽면 부근의 플라즈마는 저온 플라즈마와 상태가 비슷해 저온 플라즈마에서의 표면분석 기술을 적용할 수 있다. 이를 이용해 보론이 증착된 벽면의 상태를 실시간으로 관찰할 수 있다. 본 연구에서는 이에 앞서 저온 플라즈마에서 보론이 증착된 시편을 가지고 두께를 측정해 보았다. 증착 시편의 훼손된 부분은 보정법을 이용하여 보정하였다. 측정 결과 보정 전에는 시편마다 많은 차이를 보였지만 보정 후에는 거의 일정한 결과를 얻었으며 광학적 방법에 의해 측정한 두께와도 비슷한 결과를 얻었다. 저온 플라즈마 실험 결과 보론 박막 측정에 대한 신뢰성을 확인하였으며 이를 바탕으로 KSTAR의 벽면 진단에 적용함으로써 핵융합 플라즈마의 불안정성을 간접적으로 측정할 수 있는 가능성을 확인하였다.
-
Jeon, Yeong-Pyo;Gwon, Won-Ju;Chu, Dong-Cheol;Kim, Tae-Hwan;Park, Jeong-Hyeon;Seo, Ji-Hyeon;Kim, Yeong-Gwan 270
유기발광소자는 자발광소자의 강점들과 낮은 구동 전압으로 발광효율이 높아 디스플레이 소자와 백색 조명 광원으로 응용 가능성 때문에 발광효율 증진에 대한 연구가 활발히 진행되고 있다. 유기물 내에서의 정공의 이동도가 전자의 이동도보다 높아 발광층에서 정공과 전자의 수의 불균형이 나타나 재결합율이 떨어져 발광효율이 낮아지는 문제점이 있다. 본 연구에서는 전자의 이동도의 향상을 통한 발광층에서의 정공과 전자 재결합 효율을 향상하기 위해 전자수송층과 발광층으로 사용되는 tris(8-hydroxyquinolate)aluminum (Alq3)층에 Alq3보다 높은 전자이동도를 가지는 7-diphenyl-1,10-phenanthroline (BPhen)을 전자 수송층에 도핑하여 유기발광소자를 제작하였다. 2,9-dimethyl-4,7-diphenyl-1,10-phenanthroline을 정공저지층으로 사용하여 제작된 단일전자 소자를 이용하여 BPhen이 도핑된 전자 수송층을 사용한 소자가 Alq3만을 전자 수송층으로 사용한 소자보다 같은 전압에서 더 높은 전류밀도를 나타내었다. 전류밀도-전압특성 측정으로 전하 수송 메카니즘을 관찰하였다. 두 가지 전자 수송층을 사용하여 발광 소자를 제작하여 발광세기와 발광효율을 측정한 결과 도핑 된 전자 수송층을 사용하여 제작된 발광소자에서 발광세기와 발광효율이 향상되었다. 발광세기와 발광효율이 향상된 원인은 도핑된 전자수송층에서 높아진 전자의 이동도로 인하여 발광층에서 정공과 전자의 이동도가 균형을 이루어 전자-정공의 재결합 확률이 증가하기 때문이다. 도핑 된 전자 수송층을 사용하여 제작된 유기발광소자의 발광효율 향상에 대한 원인을 실험결과를 사용하여 설명 할 것이다. -
차세대 디스플레이로 각광 받고 있는 유기발광소자는 다른 디스플레이에 비해서 빠른 응답속도, 넓은 시야각 및 고휘도의 장점을 가지고 있으나 낮은 색순도, 전압에 따른 색 안정성의 변이 및 색조절의 문제점을 가지고 있다. 유기발광소자의 발광층과 낮은 이온화 에너지를 갖는 tris(3-methylphenylphenylamino)triphenylamine (m-MTDATA) 정공수송층 표면에서 엑시플렉스 발광에 의한 적색편이 현상으로 인해 발광색의 순도가 저하하거나 색 조절이 어려운 문제점이 생긴다. 엑시플렉스 발광현상을 조사하기 위해서 정공수송층으로 m-MTDATA를 사용하고 tris-(8-hydroxyquinoline) aluminum (Alq3)와 2,4-bis(dicyanomethylene)-6-(p-dimethylaminostyryl)-4H-pyran (DCM1)을 발광층으로 사용하여 계면에서 발생되는 엑시플렉스 발광특성에 대해서 관찰 하였다. 낮은 전압에서는 정공수송층과 발광층에서 엑시플렉스 발광에 의한 발광스펙트럼의 적색편이가 나타났으며, 전압이 증가할수록 엑시플렉스에 의한 발광 현상이 감소하면서 색순도가 증진되었다. 유기발광소자에서 색안정성 증진과 관련된 엑시플렉스 발광 메커니즘을 실험 결과를 사용하여 기술할 것이다.
-
유기발광소자는 차세대 디스플레이 소자로서 빠른 응답 속도, 높은 색 재현성 및 매우 얇은 두께로 제작이 가능한 장점을 가지고 있어서 차세대 디스플레이 소자로서 많은 응용 가능성을 가지고 있다. 청색 유기발광소자는 적색 및 녹색의 유기발광소자의 발광 효율 특성보다 상대적으로 효율이 떨어지고, 색 순도가 낮으며 수명이 짧은 단점을 가지고 있어 소자 특성을 개선해야 한다. 본 논문에서는 청색 유기발광소자의 색 순도와 색 안정성 증진을 위하여 발광층을 2개의 층으로 나누어 15 nm 두께의 4,4'-Bis(2,2-diphenyl-ethen-1-yl)diphenyl (DPVBi) 형광 청색 호스트 물질에 4,4 '-Bis[4-(diphenylamino)stylyl]biphenyl (BDAVBi) 형광 청색 게스트 물질을 첨가하여 제 1 형광 발광층을 형성하고 15 nm 두께의 4,4'-Bis(carbazol-9-yl)biphenyl (CBP) 인광 호스트 물질에 bis(3,5-difluoro-2-(2-pyridyl)phenyl-(2-carboxypyridyl)iridium III (FIrpic) 인광 게스트 물질을 첨가한 제 2 인광 발광층으로 구성된 30 nm 두께의 하이브리드 발광층을 사용하여 청색 유기 발광소자를 제작하고 전기적 특성과 광학적 성질을 조사하였다. 하이브리드 발광층을 사용하여 제작된 유기발광소자는 20 mA/cm2의 전류 밀도에서 6.2 cd/A의 발광 효율을 나타내었고, 최대 밝기는 약 16,200 cd/m2로 측정 되었다. 하이브리드 발광층을 사용한 청색 유기발광소자는 전류의 흐름이 단일 발광층 유기발광소자에 비교하여 상대적으로 안정적인 전류 흐름을 가지며 발광층 내부에 더 많은 정공과 전자를 포획하여 엑시톤 형성 확률이 증가하여 발광효율과 밝기가 향상되었다. 하이브리드 발광층을 적용한 유기발광소자는 469 nm파장에서 형광 발광층의 주 전계발광 피크가 나타났고 그와 함께 인광 발광층의 부 전계발광 피크가 491 nm의 파장에서 관측되었다. 또한 전계발광 스펙트럼의 반치폭이 10 nm 감소하여 청색의 색 순도 증가에도 기여하였다. 하이브리드 발광층을 가진 청색 유기발광소자의 색 좌표는 전압 변화에 관계없이 일정한 값을 나타내었다. 이러한 결과는 형광과 인광 발광층으로 구성된 하이브리드 발광층 유기발광소자가 전기적으로 안정성을 가지며 발광 특성을 개선하고 안정적인 청색 유기 발광 디스플레이 소자로 사용 가능함을 나타내고 있다.
-
백색 유기발광소자는 일반적으로 적색, 청색 및 녹색의 삼원색을 혼합하여 제작하거나 청색 유기발광소자의 빛을 일부 변환시켜 적색 혹은 녹색을 발생하여 백색을 발광하는 구조를 가진다. 백색을 구현하기 위한 삼원색 조합법은 소자의 구조가 복잡하고 제조단가가 상승하며 제작 된 백색 유기 발광 소자내의 발광 영역을 담당하는 물질의 빠른 열화 때문에 발광 스펙드럼에 변화가 생길 수 있다. 본 연구에서 제안하는 색변환 방법은 최적화된 청색 유기발광소자에서 발광된 빛을 색변환 무기물 형광체 층에 의해 재흡수하고 재발광하는 과정에 의해 빛이 발생되기 때문에 색변환 무기물 형광체 층을 사용한 유기발광소자는 구조가 단순하며 무기물 형광체가 외부노출에 안정하기 때문에 상대적으로 안정된 동작이 가능하다. 청색 유기 발광 소자의 효율이나 휘도를 개선하면 소자의 성능이 향상될 수 있는 구조적 장점이 있다. 그러나 기존에 일반적으로 제조하던 방법인 고상반응법에 의한 형광체입자의 크기는
${\mu}m$ 이상이며 형태도 불규칙한 단점이 있다. 본 연구에서는 졸겔방법으로 녹색 무기물 형광체$Zn_2SiO_4:Mn$ 를 제작하였고 청색 형광 유기 발광 소자에 적용하였다. X-선 회절측정 결과는 형성된 녹색 무기물 형광체내의 Zn 이온이 도핑된 Mn 이온에 대체되었음을 보여주었다. 제작된 진청색 형광 OLED의 전계발광 스펙트럼은 461nm에서 발광 스펙트럼을 나태내고 녹색 무기물 형광체는 470 nm에서 여기되어 Mn 이온의$^4T_1-^6A_1$ 전이에 의하여 526 nm에서 발광을 한다. 이 과정에서 색변환층의 두께가 0.3 mm 이상일 때 461 nm의 발광스펙트럼의 세기가 급격히 줄어들었다. 이 결과는 제작된 녹색 무기물 형광체를 진청색 유기발광소자와 결합하고 색변환층의 두께를 변화하여 제작된 유기발광소자의 발광색을 조절할 수 있음을 보여주었다. -
We have investigated the holographic grating formation on Ag-doped amorphous chalcogenide As2Se3 thin films with Ag layer. The basic optical parameter which is a refractive index and extinction coefficent was taken by n&k analyzer. The source of laser was selected based on these parameter. Holographic gratings have been formed using He-Ne laser (wavelength: 632.8 nm) Diode Pumped Solid State laser (DPSS, wavelength: 532.0 nm) under [P:P] polarized the intensity polarization holography. The diffraction efficiency was obtained by +1st order intensity.
-
Mo-Cu 합금은 고강도이고 우수한 열전도성 및 전기전도성를 가지는 특성이 있어 현재 방열소재, 반도체 부품, 자동차 부품 등 여러 응용분야에서 연구가 활발히 진행되고 있다. 본 연구에서는 서로 고용성이 없는 Mo-Cu 합금을 제조하기 위해서 Mo, Cu 분말을 PBM (Planetary Ball Milling) 방법을 이용하여 제조 하였으며, 제조된 분말은 SPS (Spark Plasma Sintering) 공정을 이용하여 소결체를 제조하였다. Mo-Cu의 조성 변화는 Cu의 함유량을 각각 5at%Cu, 10at%Cu, 20at%Cu로 조절하여 수행하였으며, PBM 의 공정 변수로 회전수(RPM), 볼과 분말의 비율, 분산제의 양, 볼밀 시간, 분위기 변화를 주어 최적조건을 얻기 위한 실험을 진행하였다. PBM 방법을 이용하여 제조한 분말은 PSA (Particle Size Analysis)에 의해 분말의 크기를 측정하고 EDS(Energy Disperse X-ray Spectrometer) 분석에 의해 조성을 확인하였으며, XRD (X-Ray Diffraction) 분석에 의해 Cu peak이 사라지는 조건을 PBM의 최적조건으로 잡고 실험을 진행하였다. 소결체를 고밀도화하기 위해 소결공정을 SPS 방식으로 하였으며 소결체의 경도, 내마모성, 마찰계수 일함수 등을 분석하기 위해 소결체의 크기를 직경 30 mm 및 두께 5 mm로 설계하였고, 소결 공정 변수로 소결온도를 각각
$900^{\circ}C$ ,$1000^{\circ}C$ ,$1100^{\circ}C$ , 소결압력을 50MPa, 60MPa, 70MPa, 유지시간을 0분, 10분, 20분으로 차이를 주어, 소결체의 밀도차이와 물성차이를 분석하였다. 그 결과 PBM의 최적조건으로는 5at%Cu 에서는 10h, 10at%Cu, 20at%Cu 에서는 20h의 최적의 밀링 시간을 확인하였고, 다른 공정 변수의 최적조건으로는 회전수 300RPM, 10:1의 볼과 분말 비, 분산제 4wt%, Ar 분위기라는 조건을 얻을 수 있었다. 각각의 공정변수 변화에 따른 소결체 최적밀도 달성조건, 소결체 물성 및 전기적 특성 등의 상관관계에 관하여 보고한다. -
Jang, Hyeon-U;Kim, Seong-Bong;Park, Jang-Sik;O, Ji-Yeong;Lee, Seung-Gil;Yu, Seok-Jae;Yu, Chang-Mo 278
LCD 생산에 적용할 수 있는 대형 마그네트론 스퍼터 장비에서 공간적으로 불균일한 타겟 침식은 타겟의 사용 효율을 떨어뜨린다. 특히 직사각형의 외부 자석과 직선형태의 내부 자석 구조를 가진 마그네트론 스퍼터에서는 cross-corner 효과로 인해 국부적으로 일정 부분에 대한 상대적으로 높은 침식률이 문제가 된다. 이러한 문제를 해결하기 위해 국부적으로 자기장 세기를 바꾸는 시행착오를 통하여 실험적으로 문제를 해결하려는 방법이 있지만 비용 및 시간이 매우 많이 들어 전산모사를 통한 문제 해결 방법이 훨씬 유리하다. 우리는 몬테 카를로 방법에 기반한 3차원 입자 시뮬레이션을 통하여 마그네트론 스퍼터 장비를 모델링을 하였다. 직사각형의 외부와 직선형의 내부 자석 구조가 만들어 내는 정적인 공간 자기장의 분포는 OPERA3D를 이용하여 계산하였고, 플라즈마 입자들이 만들어내는 자기장에 의해 섭동영향을 받지 않는다고 가정하였다. 플라즈마 전기장 및 전하의 운동은 상호작용의 일관성이 유지되도록 계산하였다. 이온밀도의 공간분포는 내부 자석과 외부 자석 사이의 직선 부분 보다 cross-corner 효과가 일어나는 부분에서 상대적으로 더 높은 밀도분포를 보였다. 플라즈마 시뮬레이션을 통하여 얻은 타겟에 입사한 이온의 개수 및 속도에 대한 정보를 이용하여 타겟의 침식률을 계산하였다. 이러한 침식률을 계산하기 위한 시뮬레이션 기술은 산업용 대형 스퍼터 장비 연구 및 개발에 매우 효율적인 방법이 될 것이다. -
나노 입자를 이용한 비휘발성 메모리 소자의 전기적 특성 향상을 위하여 일함수가 Si 보다 큰 금속, 금속산화물, 금속 실리사이드 나노입자를 이용한 다양한 형태의 메모리 구조가 제안되어져 왔다.[1] 특히 이와 같은 나노 부유 게이트 구조에서 터널 절연막의 구조를 소자의 동작 속도를 결정하는데 이는 터널링 되어 주입되는 전자의 확률에 의존하기 때문이다. 양자 우물에 국한된 전하가 누설되지 않으면서 주입되는 전자의 터널링 확률을 증가시키기 위하여, dielectric constant 와 barrier height를 고려한 다양한 구조의 터널 절연막의 형태가 제안 되었다.[2-3] 특히 낮은 전계에서도 높은 터널링 확률은 메모리 소자의 동작 속도를 향상시킬 수 있다. 본 연구에서는 n형 Si 기판위에 Si3N4 및 HfAlO를 각각 1.5 nm 및 3 nm 로 atomic layer deposition 방법으로 증착하였으며 3~5 nm 지름을 가지는
$TiSi_2$ 및$WSi_2$ 나노 입자를 형성한 후 컨트롤 절연막인$SiO_2$ 를 ultra-high vacuum sputtering을 사용하여 20 nm 두께로 형성 하였다. 마지막으로$200{\mu}m$ 지름을 가지는 Al 전극을 200 nm 두께로 형성하여 나노 부유 게이트 커패시터를 제작하였다. 제작된 소자는 Agilent E4980A precision LCR meter 및 HP 4156A precision semiconductor parameter analyzer 를 사용하여 전기용량-전압 및 전류-전압 특성분석을 하여 전하저장 특성 및 제작된 소자의 터널링 특성을 확인 하여 본 연구를 통하여 제작된 나노 부유 게이트 커패시터 구조가 메모리 소자응용이 가능함을 확인하였다. -
유도결합 플라즈마를 이용한 식각 장치에서 플라즈마 균일도 향상에 대한 수많은 연구가 이뤄지고 있다. 안테나의 디자인, 인가 전력과 주파수, 안테나와 기판간의 거리, 기판과 챔버 외벽간의 거리 등 다양한 변수들이 변화되어 왔다. 또한, 최근에는 식각 균일도뿐만 아니라 식각 속도 향상에도 많은 관심이 모아지면서 유동에 영향을 주는 GDP 구조가 다시 중요해지고 있다. 본 연구에서는 300 mm 식각장치를 형상화하고, GDP의 구조와 유량비에 따라 플라즈마의 균일도에 어떻게 영향을 끼지는지 사용 유체역학 전산모사 프로그램인 CFD-ACE+를 이용하여 예측해 보았다. 안테나는 2중 직렬방식으로 안쪽과 바깥쪽의 안테나에 각기 다른 전력을 인가 할 수 있는 구조를 사용했으며, 압력은 10에서 60 mTorr까지 변화시켰다. GDP의 구조는 안쪽 입구와 바깥쪽 입구가 있으며 역시 따로 유량을 조절할 수 있도록 설계하였다. 안쪽 입구는 수직방향을 향하고 있으며, 바깥쪽 입구는 90도 이내의 각을 갖도록 꺾여 있는 것과 수평방향으로 주입할 수 있는 구조, 두 가지를 사용하였다. 유량 비율은 안쪽 입구와 바깥쪽 입구를 2:8, 5:5, 8:2로 고정하였다. 우선 GDP의 구조가 90이내의 각을 갖도록 주입되는 구조에서는 어떤 유량비율에서도 약간의 vortex가 발생했다. 수직방향의 유량이 감소될수록 기판에서 멀리서 발생했으며 강도 또한 감소했다. 기판 표면에서의 압력분포 균일도도 8:2에서 2.8%, 2:8에서 0.6%로 향상되었다. 2:8의 유량 비율에서 압력을 10에서 60 mTorr까지 향상시키면 vortex 효과는 감소되나 기판에서의 압력 균일도가 0.8%까지 약간 나빠졌다. 여기서 발생되는 vortex는 GDP 구조를 수평방향으로 주입되기 함으로서 해결할 수 있었으며, 압력 균일도도 0.2%까지 향상시킬 수 있었다. 또한, 강한 수직방향의 유량은 중심에 발생하는 플라즈마의 중앙을 밀어내는 효과를 확인했으며, 실험적 증명이 추후 연구단계로 진행될 예정이다. 식각 균일도나 식각 속도를 예측하려면 CF계열의 복잡한 가스를 사용해야하기 때문에 유량이 플라즈마에 미치는 영향을 보기 위해서 본 연구에서는 단일종인 Ar 가스만을 사용하였다. 첫 단계로 이와 같이 최적화시킨 유동조건에서 복잡한 식각가스를 이용한 플라즈마 계산은 다음 단계로 준비 중에 있다.
-
산업 환경에서 친환경 및 에너지효율성을 중요한 조건이 되면서 고효율성 및 다기능을 가진 재료에 대한 연구가 활발히 진행 되고 있다. 특히 Al-Ti-N 코팅은 이미 경도 측면에서 우수 하여 고속 공구 부품에 널리 사용되고 있고 최근에 Al-TiN에서 Si 첨가는 40GPa이상의 고경도와 1000도 이상의 산화온도를 지닌 나노 혼합물 코팅을 형성 시키는 것으로 알려져 있다. 본 연구에서 Al-Ti에 Si, Cu, Cr 을 첨가하였을 때 코팅을 형성하였을 때 바뀌는 물성 변화을 확인하였다. 이러한 연구를 위해 Al-Ti 합금 조성 중 가장 우수한 것으로 알려진 60:40으로 타겟을 만들어 스퍼터 장비를 이용해 코팅을 형성하여 기초 실험을 진행하였다. 그 근거로 하여 3원계인 Si, Cu, Cr 을 첨가하여 각각의 단일 타겟으로 만들고 코팅을 형성하였다. 타겟과 코팅의 성분이 동일한지 확인하기 위해 EPMA분석을 하였고 그 결과 오차 범위 내에 동일한 것으로 확인하였다. 또 내산화성 테스트를 위해 400도에서 1000도로 가열된 대기 중에 코팅 층을 1시간씩 노출시키는 공정을 통해 확인하였고 내식성 테스트는 SUS 304계열 위에 코팅을 하여 Potentiodynamic polarization scan 장비로 비교해 보았다. 표면경도는 3원계 코팅인 경우 질소비율이 증가할수록 30GPa ~ 35GPa까지 증가하였고 XRD 분석 결과와 비교 시 (111), (200) peak가 명확할수록 경도 값이 높은 것으로 확인하였다. 마모테스트 결과 3원계인 코팅 층이 dry상태에서 감소하는 경향을 보였다. 특히 0,26까지 감소한 Si 을 첨가한 코팅 층은 H/E지수도 좋아 마모트랙의 길이도 짧아 우수한 것으로 알 수 있었다. 이런 결과에서 보듯 3원소 이상 첨가 시 특성변화가 차이가 있다는 것을 알 수 있었다.
-
일반 금속은 부식에 약하다는 단점을 보완하기 위해 개발된 스테인레스 스틸은 내식성이 필요로 하는 다양한 분야에서 이용되고 고크로뮴을 포함한 오스테나이트 스테인레스 스틸은 일반적으로 엔지니어링 재료로 사용되고 있다. 하지만 오스테나이트 스테인레스 스틸은 낮은 표면 경도와 지지용량으로 인해 내마모특성이 필요한 제품에는 사용이 미약한 수준이다. 현재 이러한 내마모특성을 높이기위해 오스테나이트 스테인레스 스틸은 이온질화와 이온주입등의 방법을 사용하여 표면 특성을 향상시키고자 연구되고 있다. 본 연구에서는 저진공 하에서 플라즈마를 이용하여 시편에 질화층과 Nitrogen Supersaturated Austenite층(S-phase)을 형성하여 경도와 인성을 향상시키고, 형성된 S-phase층의 두께에 따른 내식성, 내열성 특성을 확인하였다. 그리고 스테인레스 계열 시편의 질화시 나타나는 CrN층과 비교하였다. 특성 확인을 위한 시편은 약
$400{\sim}500^{\circ}C$ 사이의 공정온도로 질소와 수소가스를 혼합하여 플라즈마를 형성하고 약 4시간동안의 공정을 통해 제작하였다. 제작된 시편의 경도와 조직, S-phase층의 두께를 분석하고 CrN층의 형성여부를 확인하였다. 이와 더불어 공정압력과 가스비의 변화에 따른 실험을 진행하여 질화특성을 확인하고자 하였다. -
The n-doping effect by doping metal carbonate into an electron-injecting organic layer can improve the device performance by the balanced carrier injection because an electron ohmic contact between cathode and an electron-transporting layer, for example, a high current density, a high efficiency, a high luminance, and a low power consumption. In the study, first, we investigated an electron-ohmic property of electron-only device, which has a ITO/
$Rb_2CO_3$ -doped$C_{60}$ /Al structure. Second, we examined the I-V-L characteristics of all-ohmic OLEDs, which are glass/ITO/$MoO_x$ -doped NPB (25%, 5 nm)/NPB (63 nm)/$Alq_3$ (32 nm)/$Rb_2CO_3$ -doped$C_{60}$ (y%, 10 nm)/Al. The$MoO_x$ doped NPB and$Rb_2CO_3$ -doped fullerene layer were used as the hole-ohmic contact and electron-ohmic contact layer in all-ohmic OLEDs, respectively, Third, the electronic structure of the$Rb_2CO_3$ -doped$C_{60}$ -doped interfaces were investigated by analyzing photoemission properties, such as x-ray photoemission spectroscopy (XPS), Ultraviolet Photoemission spectroscopy (UPS), and Near-edge x-ray absorption fine structure (NEXAFS) spectroscopy, as a doping concentration at the interfaces of$Rb_2CO_3$ -doped fullerene are changed. Finally, the correlation between the device performance in all ohmic devices and the interfacial property of the$Rb_2CO_3$ -doped$C_{60}$ thin film was discussed with an energy band diagram. -
Recently, the increasing degree of device integration in the fabrication of Si semiconductor devices, etching processes of nano-scale materials and high aspect-ratio (HAR) structures become more important. Due to this reason, etch selectivity control during etching of HAR contact holes and trenches is very important. In this study, The etch selectivity and etch rate of TEOS oxide layer using ACL (amorphous carbon layer) mask are investigated various process parameters in CH2F2/C4F8/O2/Ar plasma during etching TEOS oxide layer using ArF/BARC/SiOx/ACL multilevel resist (MLR) structures. The deformation and etch characteristics of TEOS oxide layer using ACL hard mask was investigated in a dual-frequency superimposed capacitively coupled plasma (DFS-CCP) etcher by different fHF/ fLF combinations by varying the CH2F2/ C4F8 gas flow ratio plasmas. The etch characteristics were measured by on scanning electron microscopy (SEM) And X-ray photoelectron spectroscopy (XPS) analyses and Fourier transform infrared spectroscopy (FT-IR). A process window for very high selective etching of TEOS oxide using ACL mask could be determined by controlling the process parameters and in turn degree of polymerization. Mechanisms for high etch selectivity will discussed in detail.
-
EUVL (Extreme Ultra Violet Lithography) is one of competitive lithographic technologies for sub-30nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance since the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.
-
현재의 NEDO (New Energy and industrial technology Development Organization) style Si 정련은 두 단계로 구분되어 있다. 고출력 집속 전자빔을 이용한 금속 실리콘의 1차 용융과 대기압 근처의 플라즈마 아크 용해를 이용해서 B, P를 약간의 반응성 가스를 첨가 하여 제거하는 방법이다. 그러나 저가형 실리콘을 생산하려는 취지와 달리 두 가지의 고가 장비가 필요하다. E-beam melting 장치에서도 반응성이 높은 라디칼을 생성할 수 있다면 하나의 장비에서 두 가지의 정련 작업을 진행시킬 수 있다. 본 연구에서는 고진공에서(< 10-4 Torr) 동작하는 E-beam의 성능에 전혀 영향을 주지 않으면서 플라즈마를 용이하게 생성 시킬 수 있는 방법을 개발하고 이를 적용하여 실제 금속 순도 실리콘 내에 존재하는 B, P가 제거되는지 확인하는 것을 연구 내용으로 한다. 본 연구는 MG (Metal Grade) - Si 을 플라즈마 보조 전자빔 정련을 이용하여 정련한 Si 의 불순물 함량의 개선 효과를 조사하는 것이다. MG-Si 의 정련 방법 중에서 고출력 집속 전자빔을 이용하여 휘발성 오염물질을 제거 후, 플라즈마 아크 용해를 이용해서 B 를 제거하는 방법을 접목시켰다. MG-Si 에 DC power 와 전자빔을 집속시켜서 정련을 하면 챔버 내의 잔류 수증기가 플라즈마에 의해 분해되어 O를 생성하고, B와 반응을 하여 BO 형태로 제거가 된다. 방전 전압 700 V 와 전자빔 가속 전압이 4.5 kV, 방출 전류는 11 A, 진공 챔버 내의 압력은
$7.2{\times}10^{-4}$ Torr에서 정련을 진행하여 B를 제거했다. -
ITRS(international technology roadmap for semiconductors)에 따르면 MOS (metal-oxide-semiconductor)의 CD(critical dimension)가 45 nm node이하로 줄어들면서 poly-Si/SiO2를 대체할 수 있는 poly-Si/metal gate/high-k dielectric이 대두되고 있다. 일반적으로 metal gate를 식각시 정확한 CD를 형성시키기 위해서 plasma를 이용한 RIE(reactive ion etching)를 사용하고 있지만 PIDs(plasma induced damages)의 하나인 PICD(plasma induced charging damage)의 발생이 문제가 되고 있다. PICD의 원인으로 plasma의 non-uniform으로 locally imbalanced한 ion과 electron이 PICC(plasma induced charging current)를 gate oxide에 발생시켜 gate oxide의 interface에 trap을 형성시키므로 그 결과 소자 특성 저하가 보고되고 있다. 그러므로 본 연구에서는 이에 차세대 MOS의 metal gate의 식각공정에 HDP(high density plasma)의 ICP(inductively coupled plasma) source를 이용한 중성빔 시스템을 사용하여 PICD를 줄일 수 있는 새로운 식각 공정에 대한 연구를 하였다. 식각공정조건으로 gas는 HBr 12 sccm (80%)와 Cl2 3 sccm (20%)와 power는 300 w를 사용하였고 200 eV의 에너지로 식각공정시 TEM(transmission electron microscopy)으로 TiN의 anisotropic한 형상을 볼 수 있었고 100 eV 이하의 에너지로 식각공정시 하부층인 HfO2와 높은 etch selectivity로 etch stop을 시킬 수 있었다. 실제 공정을 MOS의 metal gate에 적용시켜 metal gate/high-k dielectric CMOSFETs의 NCSU(North Carolina State University) CVC model로 effective electric field electron mobility를 구한 결과 electorn mobility의 증가를 볼 수 있었고 또한 mos parameter인 transconductance (Gm)의 증가를 볼 수 있었다. 그 원인으로 CP(Charge pumping) 1MHz로 gate oxide의 inteface의 분석 결과 이러한 결과가 gate oxide의 interface trap양의 감소로 개선으로 기인함을 확인할 수 있었다.
-
Indium Tin Oxide (ITO) is a typical highly Transparent Conductive Oxide (TCO) currently used as a transparent electrode material. Most widely used deposition method is the sputtering process for ITO film deposition because it has a high deposition rate, allows accurate control of the film thickness and easy deposition process and high electrical/optical properties. However, to apply high quality ITO thin film in a flexible microelectronic device using a plastic substrate, conventional DC magnetron sputtering (DMS) processed ITO thin film is not suitable because it needs a high temperature thermal annealing process to obtain high optical transmittance and low resistivity, while the generally plastic substrates has low glass transition temperatures. In the room temperature sputtering process, the electrical property degradation of ITO thin film is caused by negative oxygen ions effect. This high energy negative oxygen ions(about over 100eV) can be critical physical bombardment damages against the formation of the ITO thin film, and this damage does not recover in the room temperature process that does not offer thermal annealing. Hence new ITO deposition process that can provide the high electrical/optical properties of the ITO film at room temperature is needed. To solve these limitations we develop the Magnetic Field Shielded Sputtering (MFSS) system. The MFSS is based on DMS and it has the plasma limiter, which compose the permanent magnet array (Fig.1). During the ITO thin film deposition in the MFSS process, the electrons in the plasma are trapped by the magnetic field at the plasma limiters. The plasma limiter, which has a negative potential in the MFSS process, prevents to the damage by negative oxygen ions bombardment, and increases the heat(-) up effect by the Ar ions in the bulk plasma. Fig. 2. shows the electrical properties of the MFSS ITO thin film and DMS ITO thin film at room temperature. With the increase of the sputtering pressure, the resistivity of DMS ITO increases. On the other hand, the resistivity of the MFSS ITO slightly increases and becomes lower than that of the DMS ITO at all sputtering pressures. The lowest resistivity of the DMS ITO is
$1.0{\times}10-3{\Omega}{\cdot}cm$ and that of the MFSS ITO is$4.5{\times}10-4{\Omega}{\cdot}cm$ . This resistivity difference is caused by the carrier mobility. The carrier mobility of the MFSS ITO is 40$cm^2/V{\cdot}s$ , which is significantly higher than that of the DMS ITO (10$cm^2/V{\cdot}s$ ). The low resistivity and high carrier mobility of the MFSS ITO are due to the magnetic field shielded effect. In addition, although not shown in this paper, the roughness of the MFSS ITO thin film is lower than that of the DMS ITO thin film, and TEM, XRD and XPS analysis of the MFSS ITO show the nano-crystalline structure. As a result, the MFSS process can effectively prevent to the high energy negative oxygen ions bombardment and supply activation energies by accelerating Ar ions in the plasma; therefore, high quality ITO can be deposited at room temperature. -
Jo, Tae-Hun;Yun, Myeong-Su;Son, Chan-Hui;Gang, Jeong-Uk;Kim, Dong-Jin;Choe, Jang-Hun;Nam, Chang-Gil;Jeon, Bu-Il;Jo, Gwang-Seop;Gwon, Gi-Cheong 290
유도결합플라즈마 장비의 공정에서 안테나의 역할은 매우 중요하다. 유도결합플라즈마의 식각 공정에서도 충분한 식각과 균등한 식각 결과를 얻기 위해 안테나의 역할은 중요한 요인 중 하나이다. 안테나와 공정 웨이퍼간의 거리에 따라 발생하는 플라즈마 밀도나 전자 온도 등이 변화하고 그에 따른 식각정도나 균등성도 달라진다. 본 연구에서는 플라즈마 특성 변화를 관찰하기 위해 기존 유도결합플라즈마 식각 장비의 안테나와 웨이퍼간 거리와 내부 안테나를 웨이퍼와 가깝게 하였을 때의 플라즈마 밀도, 안테나의 전류와 식각률 등을 측정하였다. -
플라즈마에 노출된 재료 표면의 온도 증가는 다음과 같은 요인에 의해서 결정된다. 이온의 충돌에 의한 역학적 에너지, 이온의 중성화, 라디칼의 안정화에 의한 에너지 방출(잠열, latent heat), 플라즈마에서 방출된 빛의 흡수. 이중 식각을 위한 기판 바이어스에 의해서 주로 결정되는 이온 충돌 에너지와 잠열의 방출이 300 mm wafer용 유도 결합 플라즈마 식각 장치에서 소스 전력과 바이어스 전력에 따라서 어떻게 변화하는지 전산 유체 역학 모사 프로그램인 CFD-ACE를 이용하여 상용 식각 장비인 AMAT사의 DPS II를 대상으로 온도 분포의 변화를 계산하였다. 실험 결과와 비교를 위하여 다섯 곳에(상, 하, 좌, 우, 중심) 열전대를 부착한 온도 측정 웨이퍼를 기판의 위치에 설치하고 여러 가지 실험 조건에 대해서 온도의 변화를 측정하였다. Ar 10 mTorr에서 2열 병렬 안테나의 전력을 300 W에서 시간에 따른 온도의 변화를 측정하였다. 이때 wafer의 평균 온도는
$28.9^{\circ}C$ 에서$150^{\circ}C$ 까지 12분 내에 상승하였으며 최고 온도에 도달한 다음에는 거의 일정하게 유지 되었다. Si의 식각에서 온도의 영향을 가장 크게 받는 반응은 F 라디칼에 의한 Si의 직접 식각이며 Arrhenius 식의 형태로 표현하면 0.116*exp (-1250/T)의 형태로 된다. 문헌에 보고된 계수를 이용해서$29^{\circ}C$ 의 식각 속도와 플라즈마에 의한 가열 최고 온도인$150^{\circ}C$ 때의 값을 비교해보면 3.3배의 차이가 난다. 따라서 4%내의 식각 균일도를 목표로 하는 폴리 실리콘 게이트 식각 장비의 설계에서는 플라즈마에 의한 가열 불균일을 상쇄 할 수 있는 히터와 냉각 구조의 최적 설계가 필요하다. -
Baek, Gyeong-Hyeon;Jang, Gyeong-Su;Yu, Gyeong-Yeol;Park, Hyeong-Sik;An, Si-Hyeon;Lee, Jun-Sin 292
플렉시블 디스플레이는 작고, 기존의 유리를 이용한 디스플레이에 비해 기계적인 면에서 뿐 아니라 디자인 면에서도 우수하다는 장점으로 인하여 지난 십 년간 디스플레이 산업에서 가장 중요한 연구 주제 중의 하나이다. 이러한 플렉시블 디스플레이의 연구 개발에 따라 적용 가능한 touch screen pannel 에 대한 연구 또한 필요하다. 이번 연구에서는 현재 touch screen에 적용되고 있는 touch-screen pannel 의 특성 분석을 진행하였다. 샘플은 SR-아이텍에서 제공한 샘플로 PET (Polyethylene Terephthalate) 기판 위에 ITO가 증착되어 있다. 실험진행은 샘플의 면저항 및 투과도, hall measurement, SEM 측정을 진행하였고, 추가적으로 샘플의 Bending test를 통해 bending 횟수와 radius에 따른 ITO의 특성을 분석하였다. -
현재 디스플레이의 연구의 최종목표는 저온 공정을 이용한 플렉서블 디스플레이의 적용이다. 이를 위해 채널 영역, 도핑, 기판 및 게이트 절연막 등에 대해 다양한 연구가 진행되고 있다. 이번 연구에서 게이트 절연막을 가장 널리 이용되는 질화막 (산화막)을 CVD법을 이용하였다. 온도 가변 이전에 파워, 가스비 등의 공정을 진행하였으며, 이 후 최적 조건을 이용하여 온도 가변을 진행하였다. 200도 미만의 극저온 공정에서의 절연막 특성을 고온에서의 절연막 특성과 비교 분석 하였다.
-
비정질 인듐-갈륨-아연 산화막은 저온 공정 및 높은 투과도의 가능성으로 인해 플라스틱 기판과 같은 플렉서블 디스플레이에 적합한 물질이다. 이번 연구에서 비정질 인듐-갈륨-아연 산화막을 비휘발성 메모리에 채널 영역으로 응용하였다. 비휘발성 메모리의 경우 전하 저장 영역으로 가장 널리 이용되는 실리콘 질화막이 아닌 실리콘 산화막을 이용하여 산화막/산화막/산화막의 구조를 이용하였다. +8V의 낮은 프로그래밍 전압에서 2V 이상의 메모리 윈도우를 얻을 수 있었다. 이를 통해 비정질 인듐-갈륨-아연 산화막을 비휘발성 메모리에 적용할 수 있는 가능성이 있다.
-
전하 저장 층으로 사용된 산화막-실리콘-산화막의 경우 낮은 전압에서 큰 메모리 윈도우를 가짐으로써 비휘발성 메모리에의 가능성을 확인시켜줬다. 하지만, 나쁜 전하지속시간 특성으로 인한 문제점이 있다. 따라서 이를 개선시키기 위하여 터널링 층의 두께를 증가시키고 산화막-실리콘-산화막-실리콘-산화막의 다층 구조를 이용하여 메모리 윈도우 특성의 향상 뿐만 아니라, 전하지속시간 역시 향상 시켰다. 이를 통해 산화막-실리콘-산화막-실리콘-산화막 구조의 비휘발성 메모리를 SOP 디스플레이에 적용할 수 있을 것으로 기대한다.
-
기존에 디스플레이 적용을 위한 TFT의 채널 영역에 널리 이용되고 있는 산화물 반도체의 밴드갭은 약 3.3eV이다. 현재 밴드갭을 증가시키기 위한 연구가 널리 진행 중이며, Mg등의 도핑을 통해 증가시키는 방법이 있다. 기본 sputtering 공정을 이용한 Eg 증가에 대한 연구가 없지만, 이번 연구에서는 가스비, 파워 등의 기본 공정 가변을 통하여 밴드갭 증가와 이를 이용한 광학적 및 전기적 특성을 비교 분석하였다.
-
Metal/poly (4,4'-aminotriphen-ylene hexafluoroisopropylidenediphthalimide) (TP6F PI)/metal structure exhibited an electrically volatile phase transition with high (OFF) or low (ON) resistive states when voltage between electrodes swept. Here, we demonstrate a noble set-up in which holes are injected by photoelectron emission process during the voltage sweep instead of direct charge carrier injection via metal electrode, which enables direct investigation into changed electronic structures of TP6F PI both in ON and OFF states using photoemission spectroscopy methods. In the I-V measurement, TP6F PI shows a non-volatile behavior. In spectroscopic results, this non-volatile behavior is leaded from the structural modification of the O=C double bond in phthalimide of TP6F PI by hole injection.
-
Seo, Soon-Joo;Peng, Guowen;Mavrikakis, Manos;Ruther, Rose;Hamers, Robert J.;Evans, Paul G.;Kang, Hee-Jae 299
A dipolar interlayer can cause dramatic changes in the device characteristics of organic field-effect transistors (OFETs) or photovoltaics. A shift in the threshold voltage, for example, has been observed in an OFET where the organic semiconductor active layer is deposited on SiO2 modified with a dipolar monolayer. Dipolar molecules can similarly be used to change the current-voltage characteristics of organic-inorganic heterojunctions. We have conducted a series of experiments in which different molecular linkages are placed between a pentacene thin film and a silicon substrate. Interface modifications with different linkages allow us to predict and examine the nature of tunneling through pentacene on modified Si surfaces with different dipole moment. The molecular-scale structure and the tunneling properties of pentacene thin films on modified Si (001) with nitrobenzene and styrene were examined using scanning tunneling spectroscopy. Electronic interfaces using organic surface dipoles can be used to control the band lineups of a semiconductor at organic/inorganic interfaces. Our results can provide insights into the charge transport characteristics of organic thin films at electronic interfaces. -
Yun, Gyeong-Han;Lee, Eung-Gwan;Choe, Hui-Chae;Hwang, Yu-Bin;Yun, Geun-Seop;Kim, Byeong-Hyeon;Jeong, Yong-Jae 300
Si oxidation is a key process in developing silicon devices, such as highly integrated metal-oxide-semiconductor (MOS) transistors and antireflection-coating (ARC) on solar cell substrate. Many experimental and theoritical studies have been carried out for elucidating oxidation processes and adsorption structure using ab initio total energy and electronic structure calcultaions. However, the initial oxidation processes at step edge on vicinal Si surface have not been studied using the ReaxFF reactive force field. In this work, strucutural change, charge distribution of oxidized Si throughout the depth from Si surface were observed during oxidation processes on vicinal Si(001) surface inclined by$10.5^{\circ}$ of miscut angle toward [100]. Adsorption energys of step edge and flat terrace were calculated to compare the oxidation reaction at step edge and flat terrace on Si surface. -
We present theoretical investigations of the self-assembled growth of one-dimensional (1D) molecular lines directed across the dimer rows on the H-terminated Si(001) surface [1]. Based on density-functional theory calculations, a new growth mechanism of the 1D acetylacetone line is proposed [2], which involves the radical chain reaction initiated at two dangling-bond sites on one side of two adjacent Si dimers. It is also enabled that, if an H-free Si dimer were employed as the initial reaction site, a 1D acetylacetone line can grow along the dimer row. Our findings represent the first insight into the growth of 1D molecular lines not only across but also along the dimer rows on the H-terminated Si(001) surface.
-
Fabrications of metal-organic hybrid networks attracted much attention due to possible applications in gas storages, heterogeneous catalyses, information storages, and opto-electronic devices. One way to construct three-dimensional hybrid structures is to make the arrays of planar or linear metal-organic hybrid structures which are linked through electrostatic interactions. As a model study, we fabricated the arrays of one-dimensional hybrid chains and investigated inter-chain interactions between adjacent hybrid chains using scanning tunneling microscopy (STM) and spectroscopy (STS) on Ag(111). Brominated anthracene molecules were used to grow the arrays of hybrid chains on Ag(111). We proposed atomic models for the observed structures. Linear chains are made of repetition of Ag-anthracene units. Br atoms are attached to anthracene molecules through Br-H structures which mediate inter-chain interactions. Two different apparent heights were observed in anthracene molecules. Molecules having a Br-H connection look brighter than those with two connections due to electronic effect. When a chain is laterally manipulated with STM tip, Br atoms move together with the chain implying that Br-H inter-chain interactions are quite strong.
-
Orderings and electronic structures of organic molecules on metal substrates have been studied due to possible applications in electronic devices. In molecular systems, delocalized pi-electrons play important roles in the adsorption behaviors and electronic structures. We studied the adsorption and electronic structures of Co-Porphyrin molecules on Au(111) using scanning tunneling microscopy (STM) and spectroscopy (STS) at low temperature. Molecules form closely packed two-dimensional islands on Au(111) surface with two different types, having different shape evolutions in our energy-dependent STM observations. The Kondo resonance state, occurred by spin exchange interaction between the Co center atom and conduction electrons in the metal substrate, was observed in one type, while it was absent in the other type in scanning tunneling spectroscopy measurements. Possible origins of two molecular shapes will be discussed.
-
Kim, Won-Dong;Park, Ju-Sang;Hwang, Chan-Yong;Wu, J.;Qiu, Z.Q.;Park, Myeong-Gyu;Kim, Jae-Yeong 304
We investigated the effect of different spin direction of anti-ferromagnetic layer on the magnetic properties of ferromagnetic layer in Fe-NiO and Fe-CoO bi-layer systems. For Fe-NiO system, we prepared the clean MgO(001) surface half-covered with 20 nm Ag films as a substrate for magnetic layers. Then we grew NiO wedge layers on the substrate, and added 8 monolayer(ML) Fe layers on the wedge layer. We examined magnetic properties of the bi-layer system using the surface magnetic optical Kerr effect(SMOKE) and X-ray magnetic linear dichroism(XMLD). From SMOKE measurement we observed the coercivity enhancement due to the set-up of anti-ferromagnetic order of NiO films in both of the Fe/NiO/MgO(001) and Fe/NiO/Ag/MgO(001) system. The most remarkable results in our observation is that the coercivity enhancement of Fe/NiO/Ag/MgO(001) is much larger than that of Fe/NiO/MgO(001). XMLD experiments confirmed the out-of-plane spin direction of NiO layers in Fe/NiO/MgO(001) and in-plane spin-direction of NiO layers in Fe/NiO/Ag/MgO(001), and we concluded that the origin of large enhancement of coercivity is due to the strong parallel coupling between Fe layers and NiO layers. We also confirmed that this strong parallel coupling maintained across the thin Ag layer inserted between Fe and NiO layers. For Fe-CoO system, we prepared Fe/CoO/Ag(001) and Fe/CoO/MnO(001) systems and observed much larger coercivity enhancement in Fe/CoO/Ag(001). -
표면 플라즈몬 공진(SPR) 현상을 이용한 광섬유 센서는 SPR 센서의 우수한 표면 민감도, 비표지 검출능 등의 특징은 유지하면서 측정시스템이 단순해지고 저렴하게 제작이 가능하며 원거리 검출에 유리하다는 장점으로 많은 연구가 진행되고 있다. 최근 스택 제어가 용이하고 민감도 또한 우수하다는 이유로 측면 연마형 센서 구조를 적용한 연구가 많이 이루어지고 있다. 본 연구에서는 기존 Kretchman형태의 SPR 센서의 분해능 향상을 위해 사용된 광도파로 구조를 측면 연마형 광파이버에 적용시켰다. 금속 층 / 유전체 층 / 금속 층으로 구성되어 있는 광도파로 구조와 Au 단일 층을 사용한 기존 구조에 대한 이론 전사모사를 진행하고 실물 소자를 제작하여 특성을 평가하였다. WCSPR 센서에서는 두 개의 반사율 dips이 나타난다. 하나는 단파장영역에서 나타나는 폭이 작은 형태이며 또 다른 하나는 장파장영역에서 나타나는 폭이 넓은 형태이다. 단파장에서의 dip은 입사각에 크게 영향을 받지 않기 때문에 Wavelength interrogation mode을 이용하는 광섬유 SPR센서에 적용할 경우 분해능이 향상될 것 이다. WCSPR 센서는 도파로의 유전체층에서 진행되는 모드를 이용하면 Self-referencing을 할 수 있다, 또한 유전체 층의 두께를 변화 시켜 중심파장의 위치를 조절할 수 있는 특징을 갖고 있다. 결과적으로 광도파로 구조를 광파이버에 적용시켜 기존 Au 단일 층 구조의 SPR 센서에 비해 좀 더 정확하고 광범위한 감지를 할 수 있다.
-
Three dimensional(3D) topological insulators(TIs) of Bi binary alloys are characterized by a bulk energy gap with strong spin-orbit coupling and metallic surface states protected by time-reversal symmetry. It was reported that film forms of such materials were advantageous over bulk forms due to less defect density and better crystallinity. So far, the films have been prepared on several substrates including semiconductors and graphene. But, there were no studies on metal substrates. For electronic transport experiments and device applications, it is necessary to know epitaxial relation between TIs and metal electrodes. In this study, Atomically flat films of Bi2Se3 were grown on a Au(111) metal substrate by in-situ molecular beam epitaxy. Using home-built scanning tunneling microscope, we observed hexagonal atomic structures which corresponded to the outmost selenium atomic layer of Bi2Se3. Triangular-shaped defects known as Selenium vacancy were also found.
-
Graphene is the hottest topic in condensed-matter physics due to its unusual electronic structures such as Dirac cones and massless linear dispersions. Graphene can be epitaxially grown on various metal surfaces with chemical vapor deposition processes. Such epitaxial graphene shows modified electronic structures caused by substrates. Here, local geometric and electronic structures of graphene grown on Ru(0001) will be presented. Scanning tunneling microscopy (STM) and spectroscopy (STS) was used to reveal energy dependent atomic level topography and position-dependent differential conductance spectra. Both topography and spectra show variations from three different locations in rippled structures caused by lattice mismatch between graphene and substrate. Based on the observed results, structural models for graphene on Ru(0001) system were considered.
-
최근 초발수성 표면은 자동차 표면을 비롯해 안경 렌즈 등 여러 분야에서 사용되고 점차 그 필요성이 대두되고 있다. 이러한 초발수성 표면 제작은 주로 자연 상태에서 초발수 특성을 보이는 연 잎을 모방하는 방법으로 이루어지고 있다. 연 잎의 표면을 살펴보면 표면에 마이크로-나노 구조의 돌기가 존재하고 그 위에 표면에너지가 낮은 물질이 코팅되어 있는 구조이다. 본 연구에서는 이를 응용하여 금속 표면에 마이크로-나노 구조물을 형성하고 그 위에 발수 특성을 지닌 물질을 코팅하는 방법을 이용하여 초발수성 금속 표면을 개발하였다. 이는 건축 외장재, 자동차 및 내연 기관 부품, 모바일 기기 등의 가전제품 외장재 등 발수 특성을 필요로 하는 분야에 적용 가능하고, 이에 대한 수요가 급증하고 있다. 마이크로-나노 구조 형성은 기계적 가공 및 이온 빔 식각 방법을 이용하였다. 그리고 그 위에 plasma enhanced chemical vapor deposition (PECVD) 방법을 이용하여 표면에너지가 낮은 fluorinated carbon 혹은 diamond-like-carbon (DLC)를 코팅하였다. 본 연구의 결과, 표면 처리 이전 물과의 접촉각이
$60^{\circ}$ 정도를 보이는 steel 기판이 표면 처리 이후에는$140^{\circ}$ 이상의 접촉각을 보임으로써 초발수 특성의 표면이 형성되었음을 확인할 수 있었다. -
There have been large research activities on the high quality oxide films for the realization oxide based electronics. However, the interface interdiffusion prohibits achieving high quality oxide films, when the oxide films are grown on non-oxide substrates. In the case of Si substrates, there exist lattice mismatch and interface interdiffusion when oxide films deposited on direct Si surface. In this presentation, we report the interface characteristics of yttria-stabilized zirconia films grown on silicon substrates. From x-ray reflectivity analysis we found that the film thickness and interface roughness decreased as the growth temperature increased, indicating that the growth mechanism varies and the chemical reaction is limited to the interface as the growth condition varies. Furthermore, the packing density of the film increased as the growth temperature increased and the film thickness decreased. X-ray photoelectron spectroscopy analysis of very thin films revealed that the amount of chemical shift increased as the growth temperature increased. Intriguingly, the direction of the chemical shift of Zr was opposite to that of Si due to the second nearest neighbor interaction.
-
Morphological evolution of amorphous carbon film is investigated by molecular dynamics simulation. Here, energetic carbon atoms (75 eV) are deposited on the diamond (001) substrate to find effect of incidence angles. At normal and near-normal incidences (
$0^{\circ}{\sim}30^{\circ}$ ) atomically smooth surfaces are observed during their growth. However, rough surfaces emerge and develop into a ripple structure at grazing incidences ($60^{\circ}{\sim}70^{\circ}$ ). The different growth modes according to the incidence angles can be described by impact-induced displacements of atoms. Downhill transport along any sloped surfaces is predominant for the case of normal incidence. As the incidence angles become grazing, uphill transport is allowed along the surfaces, which have smaller slopes than incidence angle, so the surface features can be amplified. Impact-induced transport and self-shadowing effect can be responsible to the initial growth of seeding structures at a grazing incidence, which would be grown up as tilted columnar structures in further depositions. -
$TiN{\times}$ 박막은 우수한 내마모성 및 내부식성, 높은 경도 그리고 열적 안정성 등으로 인하여, 절삭공구 및 기계적 부품의 하드코팅, 2차 연료 전지용 확산방지막의 코팅재료로서 광범위하게 사용되어지고 있다. 일반적으로$TiN{\times}$ 박막은 화학 기상 증착법(CVD)을 이용하였으나, 최근에는 대면적에 균일한 코팅이 가능하고 기판과 박막상의 부착력이 우수하며, 프로세스를 제어하기 쉬운 물리적 기상 증착법(PVD)의 스퍼터링법에 대한 관심이 고조되고 있다. 그러나 스퍼터링법으로 증착된$TiN{\times}$ 박막의 물성은 주상구조와 국부적 표면결함을 포함하는 박막의 미세구조에 의존하기 때문에 주상구조 사이에 존재하는 Void 와 Pinhole 그리고 crack들이 원인으로 작용하여, 내부식성 및 기계적 특성이 급속도로 저하되는 단점이 있다. 이러한 단점을 보완하기 위해서, 본 연구에서는 기판온도를(RT,$200^{\circ}C$ ,$400^{\circ}C$ )증가시켜 실험 하였다. 이는 온도증가에 따른 박막의 치밀화가 이루어지고 결함이 감소하여 내부식성 특성향상이 기대되어진다. 또한 플라즈마 밀도를 높이기 위해서, 기존 DC 마그네트론 스퍼터링법에 전자기장을 추가로 인가하였다. 이는 플라즈마 밀도증가에 따른 고반응성의 질소 래디컬의 생성율 증가에 기인하여 박막 형성시 질화반응을 촉진시킴으로써 박막의 치밀화 및 내부식성 특성향상이 기대되어진다. -
초고진공 장치 내에서 질량분석기와 티타늄 증착기를 이용하여 IRMOF-3에 증착된 티타늄과 수소 간의 흡착 특성을 TPD (Temperature Programmed Desorption)을 통하여 연구하였다. 티타늄을 흡착시키지 않은 순수한 IRMOF-3에 35K에서 주입한 중수소에 대한 TPD 데이터에서는 중수소가 저온 장치에 물리흡착된 약 50K에서의 작은 피크 이외에는 다른 흡착 특성을 보이지 않는다. 하지만 티타늄 2ML (Monolayer)를 흡착 시킨 IRMOF-3의 TPD 데이터에서는 약 60K와 95K에서의 두 피크가 보인다. 이는 분산된 티타늄과 중수소 사이에는 0.16eV와 0.25eV의 결합에너지를 가지는 두 가지의 다른 결합이 있다는 사실을 보여준다. 그리고 40K~110K에서 수소와 HD는 나오지 않고, 중수소만 나온 점은 주입한 중수소가 IRMOF-3에 분산된 티타늄에 쿠바스 상호작용에 의하여 분자상태로 화학 흡착되어 있을 것이라는 증거가 된다.
-
Jeong, Chan-Su;Jang, Ji-Won;Kim, Dong-Yeong;Bae, Gang;Hong, U-Pyo;Son, Seon-Yeong;Kim, Hwa-Min 313
일반적으로 초친수 박막들은 물의 퍼짐 현상이 발생하여 빠른 건조와 함께 표면에 붙은 이물질 제거와 공기 중의 미세먼지를 흡착을 하지 않음으로써 표면에 워터스폿(water spot, 물자국)이 생기지 않고 다시 깨끗해지는 자가세정 능력을 가져 유리 및 건축자재의 표면처리 용도로써 많이 사용하고 있다. 이러한 초친수 박막을 제조하는 방법으로는 sol-gel법, 진공 증착법, 화학 기상 증착법, 스퍼터링법 등을 이용한다. 이중 스퍼터링법은 높은 증착속도를 얻을 수 있으며, 비교적 낮은 진공도에서 박막제작을 할 수 있다는 장점을 가진다. 본 실험은 RF-magnetron sputtering법을 이용하여 화학적으로 매우 안정하고 높은 투과율을 가지며 기계적 표면 경도가 우수하여 코팅용 박막으로 널리 이용되고 있는$TiO_2$ 와$SiO_2$ 를 Single Layer와 Double Layer인$TiO_2/SiO_2$ /Glass박막을 제작하여 초친수 특성을 분석하였다. 광학적 특성을 알아보기 위해 UV-vis spectrophotometer장비를 사용하여 측정한 결과 가시광 영역에서 80% 이상의 높은 투과율을 나타내었다. 이는 모든 박막들의 광학적 특성이 우수하여 높은 광학적 특성을 요구하는 분야에 널리 사용 될 수 있다 것이라는 것을 확인 할 수 있었다. 또한 박막의 표면을 확인하기 위해 AFM을 측정한 결과 모든 박막들은 고른 거칠기를 나타내고 있다는 것을 볼 수 있었다. 이것으로 볼때 초친수 역시 초발수 박막의 표면과 마찬가지로 일정한 패턴을 가져야 하는 것을 확인 할 수 있었다. 박막이 초친수성을 띄기 위해 가장 중요시되는 조건인 접촉각이 5도 이하인 조건을 확인을 위해 Contact Angle을 이용하여 접촉각을 측정해 본 결과 박막들은 초친수성의 조건인 5도 보다 더욱 낮은 접촉각의 측정결과를 나타내었다. 위 실험결과를 볼 때$TiO_2$ 와$SiO_2$ 를 기반으로 단층 혹은 다층으로 박막을 제작하면 더욱 좋은 초친수 특성을 가져 사회적으로 여러 분야에 사용 가능 할 것이라 사료된다. -
Using first principles calculations, we investigated the interface structure effects on the magnetic properties of the Fe/BaTiO3 system. On the BaO-terminated surface, a Fe monolayer is formed as two Fe atoms are adsorbed on the top sites of Ba and O in the (
$1{\times}1$ ) surface unit and a Fe ML is formed on the TiO2-terminated surface as two Fe atoms are adsorbed on the two O top sites. The magnetic anisotropy energy of Fe was higher on the TiO2?-erminated surface (1.5 eV) than on the BaO-terminated surface (0.5 eV). The decomposed electron density of the states showed that the stronger hybridization of Fe with the TiO2 layer than with the BaO layer is the most important reason for the higher magnetic anisotropy energy. -
The ring formation and electronic properties of furan adsorbed on graphene layers grown on 6H-SiC(0001) has been investigated using atomic force microscopy (AFM), near edge X-ray absorption fine structure (NEXAFS) spectra for the C K-edge, and high resolution photoemission spectroscopy (HRPES). Moreover, we observed that furan molecules adsorbed on graphene could be used for chemical functionalization via the lone pair of electrons on the oxygen group, allowing chemical doping. We also found that furan spontaneously formed rings with one of three different bonding configurations and the electronic properties of the ring formed by furan on graphene can be described using by AFM, NEXAFS and HRPES, respectively.
-
제일원리 계산으로 Fe/Pt (001) 표면의 표면상태도를 계산하고 표면상태도로 부터 얻어진 평형 Fe/Pt (001) 표면구조의 자기이방성에너지를 계산하였음. 계산된 표면상태도로 부터 Fe-rich
$L1_2$ 구조와 수직$L1_0$ 구조가 가장 안정한 표면 Fe/Pt (001) 구조임이 밝혀졌음. 제일원리로 계산 된 두 구조의 자기이방성에너지를 관측하여 두 구조의 자기용이축이 모두 [001] 방향으로 정렬 됨을 확인하였다. 자기이방성에너지가 격자 변화와 표면 형성 중 어떤 원인에 의해 발생하는지 판단하기 위해서 표면구조, 벌크구조, 및 표면구조와 동일한 격자상수를 가진 벌크구조를 비교 하였다. 비교 결과에 의해 자기이방성에너지의 주 원인은 표면 형성임이 밝혀졌으며 이를 좀 더 명확히 하기위해 상태밀도함수를 계산하였다. 상태밀도함수 계산 결과 Fe 원자의$3d_{z2}$ 오비탈의 페르미 준위 아래에서의 상태가 표면이 형성되면서 증가하는 것을 관측하였으며 이는 [001] 방향으로의 자기이방성을 증가시키는 오비탈이므로 표면 형성에 따른 자기이방성에너지 증가는 Fe 원자의$3d_{z2}$ 오비탈에 의함이 판명되었다. -
Water dissociation on oxide surface has been researched in many fields because of its importance as fundamental phenomenas. MgO(001) is a good model system to understand heterogeneous catalysis, gas sensors, ground-water contaminants, and atmosphere chemistry. Over decades, ultrathin film of MgO on Ag(100) have attracted research activities thanks to its enhanced catalytic property. Correlation of the oxide and the metal, potential screening, charge fluctuation from interface reconstruction makes different energetics of hydroxylation of waters on film. We calculate the water-spliting energetics under the vacuum system.
-
Etching of an ultrathin aluminum oxide film on NiAl(110) substrate by methanol is studied by home-built scanning tunneling microscopy at room-temperature. We deposited liquid methanol on thin alumina film by using a high speed solenoid valve suitable for deposition of thermally unstable molecules. It is found that only the reflection domain boundary between two domains was preferentially etched by methanol. Since the reflection domain boundary has many oxygen vacancies and irregular structures, judging from the fact, we assume that oxygen vacancies cause the chemically reactive phenomena of methanol in reflection domain boundary on an alumina film. The reactivity of the reflection domain boundary is attributed to the oxygen vacancies due to irregular structures. Similar reactivity is found on the oxygen deficient alumina produced on top of the intact alumina.
-
Jaworski, Justyn Wayne;Kim, Dae-Hyun;Jung, Kyeong-Mun;Kim, So-Hue;Jeong, Jong-Ok;Jeon, Hyo-Sang;Min, Byoung-Koun;Kwon, Ki-Young 319
Hydrolysis of sodium borohydride provides a safe and clean approach to hydrogen generation. Having the proper catalytic support for controlling this reaction is therefore a valuable technology. Here we demonstrate the capability of hydroxyapatite as a novel catalytic support material for hydrogen generation. Aside from being inexpensive and durable, we reveal that Ru ion exchange on the HAP surface provides a highly active support for sodium borohydride hydrolysis, exemplifying a high total turnover number of nearly 24,000 mol$H_2$ / mol Ru. Moreover, we observe that the RuHAP support exhibits a high catalytic lifetime of approximately one month upon repeated exposure to$NaBH_4$ solutions. In addition to examining surface area effects, we also identified the role of complex surface morphology in enhancing hydrolysis by the catalytic transition metal covered surface. Particularly, we found that a polycrystalline RuHAP catalytic support exhibits shorter induction times for the initial bubble formation as well as increased hydrogen generation rates as compared to a single crystal supports. The independent factor of a complex surface morphology is believed to provide enhanced sites for gas release during the initial stages of the reaction. By demonstrating the ability to shorten induction time and enhance catalytic activity through changes in surface morphology and Ru content, we find it feasible to further explore this catalyst support in the construction of a practical hydrogen generator. -
We describe fabrication of superhydrophobic surface on non-woven fabric (NWF) having nano-hairy structures and a hydrophobic surface coating. Oxygen plasma was irradiated on NWF for nano-texuring and a precursor of HMDSO (Hexamethydisiloxane) was introduced as a surface chemical modification for obtaining superhydrophobicity using 13.56 MHz radio frequency-Plasma Enhanced Chemical Vapor Deposition (rf-PECVD). O2 plasma treatment time was varied from 1 min to 60 min at a bias voltage of 400V, which fabricated pillar-like structures with diameter of 30 nm and height of 150 nm on NWF. Subsequently, hydrophobic coating using hexamethyldisiloxane vapor was deposited with 10 nm thickness on NWF substrate at a bias voltage of 400 V. We evaluate superhydrophobicity of the modified NWF with sessile drop using goniometer and high speed camera, in which aspect ratio of nanohairy structures, contact angle and contact angle hysteresis of the surfaces were measured. With the increase of aspect ratio, the wetting angle increased from
$103^{\circ}$ to$163^{\circ}$ , and the contact angle hysteresis decreased dramatically below$5^{\circ}$ . In addition, we had conducted experiment for nucleation and condensation of water via E-SEM. During increasing vapor pressure inside E-SEM from 3.7 Torr to over 6 Torr which is beyond saturation point at$2^{\circ}C$ , we observed condensation of water droplet on the superhydropobic NWF. While the condensation of water on oxygen plasma treated NWF (superhydrophilic) occurred easily and rapidly, superhydrophobic NWF which was fabricated by oxygen and HMDSO was hardly wet even under supersaturation condition. From the result of wetting experiment and water condensation via E-SEM, it is confirmed that superhydrophobic NWF shows the grate water repellent abilities. -
We have investigated the electronic structure of graphite oxide by photoelectron spectroscopy at the Pohang Accelerator Laboratory, Korea. The typical sp2 hybridization states found in graphite were also seen in graphite oxide. However, the
${\pi}$ state disappeared near the Fermi level because of bonding between the${\pi}$ and oxygen-related states originating from graphite oxide, indicating electron transfer from graphite to oxygen and resulting in a downward shift of the highest occupied molecular orbital (HOMO) state to higher binding energies. The band gap opening increased to about 1.8 eV, and additional oxygen-related peaks were observed at 8.5 and 27 eV. -
White gold는 아름다운 광택과 손쉬운 가공성의 장점 때문에 장신구를 비롯한 다양한 분야에서 그 활용 가치가 매우 높다. 본 연구에서 우리는 열처리를 통한 white gold의 hardness강화 및 품질향상을 위하여 다양한 열처리 조건별 기계적 특성변화를 비교 관찰 하였다. 열처리 전 white gold alloy의 구성성분을 조사하기 위하여 EPMA와 ICP-MS를 사용하여 분석 후 그 값을 수치화 하였다. 14K, 18K White gold alloy 총 32개의 시료를 사용하여
$750^{\circ}C$ , 30 min의 조건에서 solid treatment 및 quenching 후$200^{\circ}C{\sim}350^{\circ}C$ 온도 범위에서$50^{\circ}C$ 간격으로 age-hardening을 실시하였다. 열처리 전과 후 각 조건별 hardness 변화는 Vicker's hardness tester를 사용하여 측정하였다. 또한 age-hardening 후 모든 시료는 optical microscope (OM)을 사용하여 각 열처리 조건 별 grain 들의 배열 및 size의 변화를 관찰하였다. 열처리 전 14K, 18K white gold alloy의 hardness의 평균값은 각각 162 Hv와 196 Hv를 나타내었다. solid treatment 후 그 수치가 146 Hv, 172 Hv로 감소하였고, age-hardening 후에는 hardness 값이 점차 증가하여 14K는$260^{\circ}C$ 에서 226 Hv, 18K는$270^{\circ}C$ 에서 268 Hv의 가장 높은 수치를 나타내었다. 또한 14K 및 18K는 각각$260^{\circ}C$ ,$270^{\circ}C$ 이상에서는 over-aging 현상을 나타내었다. OM 분석 결과 열처리 전 불균일했던 grain들의 배열이 solid treatment 및 quenching 후 다소 균일해짐을 확인할 수 있었고, grain size 또한 열처리 전에 비해 증가함을 알 수 있었다. Solid treatment 후 모든 시료의 hardness값이 전반적으로 감소하였다가 age-hardening을 통해 grain들의 배열이 점차 안정화 되면서 hardness가 증가 하였고, over-aging 구간에서는 급격히 감소하는 경향을 나타내었다. 이 결과들로부터 우리는 14K, 18K white gold alloy 에 대한 age-hardening 최적조건을 도출하였고, 각 열처리 조건별 grain 배열 상태의 변화를 관찰 할 수 있었다. -
현재 많은 blue LED소자의 제작 공정과 소자 표면에 texturing하는 과정이 보고되어 있다. 그 중n층이 위로 올라오는 수직형 LED 구조로 인해 표면 texturing 기술은 빛의 발광 효율을 증가 시킬 수 있는 중요한 기술 중 하나가 되었다. 1 이 연구에서, 우리는 InGaN을 바탕으로 한 LED 소자의 표면 roughening을 건식과 습식 공정을 모두 거치는 과정을 통하여 소자의 발광 효율을 높이는 시도를 하였다. 최근 전도성 물질 기판 위에 증착 되어 있는 수직형 LED 소자 2,3,4는 과거의 사파이어 기판 위에 증착 되어 있는 형태의 LED 소자에 비해 우수한 소자 특성을 보인다. 이는 과거 사파이어 기판을 사용함으로써 낮은 열적 특성과 더불어 전기 정도성에 몇 가지 제약을 초래하게 되었기 때문이다. 반면, 전도성 기판은 LED 구조의 back side ohmic contact을 가능하게 하였고, 더 나은 확산 특성을 보여 주었고 작동 전압 또한 감소 하였다. N층이 위에 있는 수직형 LED 소자는 KrF pulsed excimer laser로 인해 실현 되었다. 이 laser 빛이 투명한 사파이어 기판을 통해 얇은 GaN층에 입사되면, 기판과 GaN가 분리된다. 이 레이저 기술은 laser lift-off(LLO)로 성장된 기판으로부터 LED 구조를 분리하는데 성공하게 하였다. 우리는 건식 식각 공정을 이용하여 n 층이 위에 올라와 있는 구조인 수직형 LED 소자에 roughening을 주고 다시 이 표면에 습식 식각 공정을 적용하여 거친 부분의 거칠기를 또 한번 증가시켰다. 그리고 이 거칠어진 표면은 이 공정이 진행 되기 전의 소자에 비해 빛의 발광 효율이 증가 되었다. 이 두 공정을 포함한 식각 공정은 두 가지 장점이 생겼는데, 한가지는 GaN에서 외부로 방출할 수 있는 표면 지역이 증가되었고, 다른 한가지는 가파른 거칠기 특성으로 인해 critical angle을 증가시킨 것이다.
-
Very initial stage of oxidation process of Si (001) surface at room temperature (300 K) and high temperature (1200 K) was investigated using large scale molecular dynamics simulation. Reactive force field potential [1] was used for the simulation owing to its ability to handle charge variation as well as breaking and forming of bonds associated with the oxidation reaction. The results show that oxygen molecules adsorb dissociatively or otherwise leave the silicon surface. Initial position and orientation of oxygen molecule above the surface play important role in determining final state and time needed to dissociate. At 300 K, continuous transformation of ion
$Si^+$ (or suboxide Si2O) to$Si2^+$ (SiO),$Si3^+$ (Si2O3) and finally to$Si4^+$ (SiO2) clearly observed. High temperature silicon surface provide heat energy that enable oxygen atom to penetrate into deeper silicon surface. The heat energy also retards adsorption process. As a result, transformation of ion$Si^+$ is impeded at 1200 K. -
반도체 소자의 집적화/소형화에 따라, 낮은 비저항을 가진 구리(Cu)를 이용한 배선공정에 관한 연구가 활발하게 진행되고 있다. 구리배선 공정에 있어 전기 도금법이 다양하게 적용됨에 따라, 구리도금 박막 형성을 위해 사용되는 Cu seed 층의 상태는 배선으로 형성된 Cu박막 특성에 크게 영향을 미친다 [1-3]. 본 연구에서는 sputter 방식으로 증착된 Cu seed 층(Cu seed / Ti / Si) 위에 형성된 자연산화막을 제거하기 위하여 다양한 세정방법을 도입하여 비교 분석하였다. 계면활성제인 TS-40A를 비롯한 NH4OH 용액과 H2SO4 용액을 사용하여 Cu seed 층 위에 형성된 구리산화막을 제거함으로서 형성된 표면형상 및 표면상태를 조사분석 하였다. FE-SEM (Field Emission Scanning Electron Microscope)을 이용하여 표면 처리된 Cu seed층 표면의 형상 및 roughness 등을 측정하였고, XPS (X-ray Photoelectron Spectroscopy)를 이용하여 표면 처리된 Cu seed 표면의 화학구조 및 불순물 상태를 조사하였다.
-
DLC 필름은 바이오 적합성, 특히 생체 적합성이 뛰어나기 때문에 바이오 코팅분야에서 널리 이용된다. 많은 연구 결과에 의하면 세포와 장기 등이 바이오 재료 표면에 적절히 접합할 수 있도록, 재료 표면을 산소나 질소를 이용하여 플라즈마 처리로 초친수성 표면으로 개질하고 있다. 하지만, 시간이 지남에 따라서 친수성 표면은 점차 재료의 표면 처리 전의 성질인 소수성을 회복하게 된다. D실제 생체에 적용하기 위해서 이러한 시효 효과에 대한 정확한 평가가 이루어져야 한다. 따라서 산소와 질소 플라즈마 처리 후의 친수성 성질이 소수성 성질로 변해가는 거동을 조사하는게 중요하다. 13.56 MHz의 plasma assisted chemical vapor deposition (PACVD) 법을 이용하여 DLC와 Si-DLC를 500
${\mu}m$ 두께의 P-type 실리콘(100) 기판에 증착하였다. 박막 증착 과정에 사용한 기체는 벤젠과 희석된 silane이 사용되었다(SiH4/H2=10:90). 박막 증착은 -400 V의 바이어스 전압을 인가하였으며, 이때 증착 압력은 1.33Pa으로 일정하게 유지하여, 두께$0.55{\pm}0.01{\mu}m$ 로 증착하였다. X-ray Photoelectron Spectroscopy (XPS) 법을 이용하여 실리콘 함량을 측정하였으며, 증착 된 Si-DLC의 실리콘 함량은 0~4.88 at. %였다. 이후에 질소와 산소 플라즈마를 이용하여 챔버 압력을 1.33 Pa로 유지하여, -400 V의 바이어스 전압을 인가하여 10분간 표면 처리를 하였다. 표면 처리된 DLC와 Si-DLC 표면 위에서의 물방울(water droplet)의 젖음각을 20일간 측정하였다. 플라즈마 표면 처리 된 모든 시편에서 초기 젖음각은$10{\sim}20^{\circ}$ 의 친수성 성질을 보였지만, 점차 젖음각이 상승하여 산소 플라즈마 처리 된 Si-DLC를 제외하고는 5일이 지나면서 거의 소수성 표면으로 회복되었다. 산소 플라즈마 처리 된 Si-DLC의 경우, 젖음 각 측정 기간(20일) 동안$15^{\circ}$ 미만의 친수성 성질을 유지하였다. -
Wetting phenomena have been heavily studied for industrial and academic researches especially tuning the wettability between hydrophilicity and hydrophobicity. Wicking through the surface texture is shown on superhydrophilic surface while rolling (or dewetting) on the patterns of superhydrophobic surface. These wetting phenomena are known to be affected by surface wettability determined with physical surface patterns as well as chemical composition of surface layer. In this research, we introduce a method to control the wettability of a thin C-SiOx film from hydrophobic to hydrophilic using a mixture gas of HMDSO/
$O_2$ by plasma polymerization with rf-CVD (radio frequency-Chemical Vapor Deposition). Wettability was finely controlled by changing the ratio of HMDSO/$O_2$ . Hydrophilicity increased as the ratio decreased, while hydrophobicity was enhanced by the ratio. Moreover, fine control from superhydrophilicity to superhydrophobicity was achieved by C-SiOx coating on the Si wafer with prepatterns of submicron-sized pillar array formed by$CF_4$ plasma etching. -
We investigated the thin films of poly(3-hexylthiophene) (P3HT) and C61-butyric acid methylester (PCBM) prepared by ultrahigh vacuum (UHV) electrospray depositioin (ESD) by using in-situ XPS, UPS and ambient-pressure AFM. The morphology, chemical structures, and interface properties of these materials, most widely used for bulk heterojunction organic solar cells, were studied depending on the ESD solution compositions and concentrations. We found that the solution conductivity and flow rate as well as applied voltage are the important parameters for stable electrospray and film formation. These results suggest that UHV ESD is a viable method for the deposition of multilayers of polymers under UHV condition. We also discuss the energy level alignment for the various deposition conditions at the interface, which is one of the most important operating parameters of the bulk heterojunction organic solar cells.
-
공기 중 산소를 이용한 다양한 산화반응에 적합한 이종상 촉매 개발이 공업적으로나, 학문적으로 중요한 의미를 갖는다. 우리는 수산화 인회석(hydroxyapatite, HAP)에 Ru이 도입된 새로운 이종상 촉매를 합성하였으며, 이를 이용하여 알콜 산화 반응을 통해 반응성을 관찰하였다. 우리는 다양한 형태의 결정구조와 표면구조를 가지는 HAP를 합성하였으며, 이를 AFM, ICP, XRD, SEM를 통하여 결정구조를 분석하였다. 각각에 대해서 수용액상에서 Ion exchange 반응을 통하여 Ru를 HAP 표면에 치환하여 여러 종류의 RuHAP를 합성하였다. 특히, 알콜 산화반응을 통해 HAP의 결정 형태에 따라서 반응성의 차이를 가짐을 알 수 있었다. HAP는 Molten salt synthesis 방법을 이용하여 합성한 일정한 형태의 단결정과 무정형의 다결정 즉, 두 가지 다른 형태를 이용하여 각각에 대해 칼슘이 부족한 형태로써, Ca과 Ru과의 Ion exchange 반응을 통해 다양한 종류의 HAP를 합성하여 알콜 산화반응의 촉매로서 가지는 반응성을 연구하였다.
-
We investigated the surface roughness and surface morphology changes for the laser irradiated alumina plates by a Q-switched Nd:YAG laser. For the laser irradiation on the alumina plates with
${\lambda}$ = 1064 nm, the surface roughness decreases with the increasing energy density. The surface morphology shows that the edges of alumina grains become dull with the increasing energy density. For${\lambda}$ = 532 nm, increasing scan time at the same energy density causes a rough surface. We discuss the physical reason of the surface roughness and surface morphology changes. -
The adsorption and desoprtion properties on Pt(111) of chiral molecules, propylene oxide (PO) and 1,3-dimethyl butylamine (DMBA), have be characterized in utrahigh vacuum. Precision-doses of PO and DMBA onto a Pt(111) surface at 90 K have been achieved with a directed tubular molecular doser controlled by a micron-sized orifice and the reservoir gas pressure. Temperature-programmed desorption (TPD) mass spectra have been employed together with low-energy electron diffraction (LEED) analyses. In addition to the separate adsorption behaviors of PO and DMBA, the enantioselective adsorption of R- and S-PO on Pt(111) precovered with R- or S-DMBA have been investigated thoroughly, and the results will be presented.
-
We studied the H/D exchange kinetics of pure and acid dopped water-ice film by using the techniques of reactive ions scattering (RIS) and low energy sputtering (LES) with low kinetic energy cesium ion beam (<35 eV). From RIS, neutral water isotopomers were detected in the form of cesium-molecule ion clusters,
$CsX^+$ (X=$H_2O$ , HDO,$D_2O$ ). Ionic species, like$H_3O^+$ ,$DH_2O^+$ ,$D_2HO^+$ ,$D_3O^+$ , adsorbed on the surface were ejected via LES process. Those techniques allowed us to trace the isotopomeric populations of water-ice film. To show the catalytic effect of excess proton in the H/D exchange reaction, our study was conducted with two types of water-ice films. In film 1, about 0.5 BL of$H_2O$ was adsorbed on HCl (0.1 ML) dopped$D_2O$ (8 BL) film. In film 2, similar amount of$H_2O$ used in film 1 was adsorbed on pure$D_2O$ film. Kinetic data were obtained from each film type for 90-110 K (film 1) and 110-130 K (film 2) and fitted with numerically integrated lines. Through the Arrhenius plot of kinetic coefficient deduced from fitting of the H/D exchange reaction, the activation energy of film 1 and 2 were estimated to be$10{\pm}3kJmol^{-1}$ and$17{\pm}4kJmol^{-1}$ . This activation barrier difference could be understood from detailed pictures of H/D exchange. In film 2, both the formation of ion pair,$H_3O^+$ and OH. and proton transfer were needed for the H/D exchange. However, in film 1, only proton transfer was necessary but ion pair formation was not, so this might reduce the activation energy. -
We applied N-ion bombardment and heat treatment to the Cu thin films deposited on aluminum oxide layer for the enhancement of adhesion. With e-beam evaporation method.
$1,000{\AA}$ thick Cu pre-bombardment layer was deposited on the aluminum oxide surface and then N-ion beam was bombared in order to mix the atoms at the film/substrate interface. Additional$4,000{\AA}$ -thick Cu film was the coated. Subsequently, the ion mixide Cu on aluminum oxide was annealed at$200^{\circ}C$ and$300^{\circ}C$ in vacuum. -
Instability of a thin film attached to a compliant substrate often leads to emergence of exquisite wrinkle patterns with length scales that depend on the system geometry and applied stresses. However, the patterns that are created using the current techniques in polymer surface engineering, generally have low aspect ratio of undulation amplitude to wavelength, thus, limiting their application. Here, we present a novel and effective method that enables us to create wrinkles with a desired wavelength and high aspect ratio of amplitude over wavelength as large as to 2.5:1. First, we create buckle patterns with high aspect ratio of amplitude to wavelength by deposition of an amorphous carbon film on a surface of a soft polymer poly(dimethylsiloxane) (PDMS). Amorphous carbon films are used as a protective layer in structural systems and biomedical components, due to their low friction coefficient, strong wear resistance against, and high elastic modulus and hardness. The deposited carbon layer is generally under high residual compressive stresses (~1 GPa), making it susceptible to buckle delamination on a hard substrate (e.g. silicon or glass) and to wrinkle on a flexible or soft substrate. Then, we employ glancing angle deposition (GLAD) for deposition of a high aspect ratio patterns with amorphous carbon coating on a PDMS surface. Using this method, pattern amplitudes of several nm to submicron size can be achieved by varying the carbon deposition time, allowing us to harness patterned polymers substrates for variety of application. Specifically, we demonstrate a potential application of the high aspect wrinkles for changing the surface structures with low surface energy materials of amorphous carbon coatings, increasing the water wettability.
-
Over the past decades, organic semiconductors have been investigated intensely for their potential in a wide range of optoelectronic device applications since the organic materials have advantages for very light, flexible and low cost device fabrications. In this study, we fabricated small-molecule organic solar cells (OSCs) based on chloro[subphthalocyaninato]boron(III) (SubPc) as an electron donor and
$C_{60}$ as an electron acceptor material. Recently SubPc, a cone-shaped molecule with$14{\pi}$ -electrons in its aromatic system, has attracted growing attention in small-molecule OSC applications as an electron-donating material for its greater open-circuit voltage (VOC), extinction coefficient and dielectric constant compared to conventional planar metal phthalocyanines. In spite of the power conversion efficiency (PCE) enhancement of small-molecule OSC using SubPc and$C_{60}$ , however, the study on the interface between donor-acceptor heterojunction of this system is limited. In this work, SubPc thin films at various thicknesses were deposited by organic molecular beam deposition (OMBD) and the evolution of surface morphology was observed using atomic force microscopy (AFM) and field emission scanning electron microscopy (FE-SEM). We also investigated the influence of film thickness and surface morphology on the PCE of small-molecule OSC devices. -
The elecronic absorption and surface morphology evolution of two types of molecular double layer thin films, copper phthalocyanine(CuPc) layer deposited on top of chloro[subphtalocyaninato]boron(III) (SubPc) layer, denoted as SubPc/CuPc, and vice versa, at various thicknesses were invertigated using ultraviolet(UV)-visible spectroscopy and atomic force microscopy (AFM). Both types of double layer structures showed similar broadened absorption patterns in UV-visible region which were well consistent with fitted spectra by a simple linear combination of single layer absorption spectra of two materials. In contrast, the surface morphology of double layer structures was dependent on the order of deposition. For CuPc/SubPc structures, the surface morphology was characterized by elongated grains, characteristic of SubPc thin films, indicating the morphological influence of underlying CuPc layer on subsequent SubPc layer was not large. For SubPc/CuPc structures, however, the underlying SubPc layer acted as a morphological template for the subsequently deposited CuPc layer. It was also observed that the grain size of CuPc layer varied by the thickness of underlying SubPc layer.
-
The behavior of hydroxide ions on water-ice films was studied by using
$Cs^+$ reactive ion scattering (RIS), low energy sputtering (LES) and temperature-programmed desorption (TPD). A$Cs^+$ beam of a low kinetic energy (<100 eV) from$Cs^+$ ion gun was scattered at the film surface, and then$Cs^+$ projectiles pick up the neutral molecules on the surface as$Cs^+$ -molecule clusters form (RIS process). In LES process, the preexisting ions on the surface are desorbed by the$Cs^+$ beam impact. The water-ice films made of a thick (>50 BL)$H_2$ O layer and a thin$D_2O$ overlayer were controlled in temperatures 90~140K. We prepared hydroxide ions by using Na atoms which proceeded hydrolysis reaction either on the ice film surface or at the interface of the$H_2O$ and$D_2O$ layers.[1] The migration of hydroxide ions from the$H_2O/D_2O$ interface to the top of the film was examined as afunction of time. From this experiment, we show that hydroxide ions tend to reside at the water-ice surface. We also investigated the H/D exchange reactions of$H_2O$ and$D_2O$ molecules mediated by hydroxide ions to reveal the mechanism of migration of hydroxide to the ice surface. -
기존의 광학리소그래피방법으로는 나노크기의 패턴을 형성하는데에 있어서 많은 제약이 있으며, 사실상 수십나노크기의 패턴을 형성하는데에는 전자빔리소그래피등 새로운 패턴형성 방법이 요구되고 있다. 블록 공중합체를 이용한 나노 패턴은 서로 다른 화학적 구조를 가지는 고분자들이 공유결합으로 연결되어 있는 분자구조를 이용하여, 하나의 분자 내에 서로 다른 블록들이 상분리를 일으키려는 것과 동시에 이들의 공유결합으로 인해 그 정도가 제한되는 것을 이용하여 라멜라, 실린더, 구 등의 주기적으로 배열된 형태의 구조물을 형성하는 패터닝 기술이다. 블록 공중합체를 이용한 나노크기의 패턴 형성은 열역학적으로 안정적인 구조이며, 대면적으로 구현 할 수 있어서 차세대 소자제작을 위한 제작기술로 많은 관심을 가지고 있다. 하지만 블록공중합체를 이용한 나노패턴 기술은 선행적으로 나노구조체를 결함이 없고, 원하는 형태로 제작 할 수 있는 공정의 확립이 필요하다. 따라서 본 연구에서는, 이러한 블록 공중합체을 이용한 나노패턴을 제조하는 공정에서, 폴리스틸렌과 실리콘 산화물 박막과의 표면반응을 막기 위한 Self-Assembly Monolayers (SAMs) 처리 공정이 패턴 형성에 미치는 영향을 알아보기 위하여 MPTS의 농도 및 처리시간을 변화시켰다. 나노패턴을 분석, 확인하기 위하여 Atomic Force Microscopic (AFM)과 Field Emission Scanning Electron Microscope (FESEM)을 이용하였다.
-
TCO/p/i/n 구조의 비정질 실리콘 박막 태양전지의 제작에 있어서 TCO계면과 p층사이의 이종 접합에서의 큰 밴드갭 차이는 p층으로부터의 정공 재결합을 통하여 효율 저하의 원인이 된다. 이러한 재결합은 넓은 밴드갭을 가진 물질을 완충층으로 삽입함으로써 개선되어 질 수 있다. 본 논문에서는 비정질 실리콘 보다 넓은 광학적 밴드갭을 가지는 a-SiOx 박막을 완충층으로 사용하여 TCO/P 계면에서의 재결합 감소에 대한 시뮬레이션을 수행하였다. a-SiOX 박막 내에 포함된 산소의 양에 따라 밴드갭을 조절하여 1.8eV~2.0eV 사이의 완충층을 삽입하여 박막태양 전지의 개방전압, 단락전류, 효율 등에 끼치는 영향을 ASA 시뮬레이션을 통하여 알아보았다.
-
Jang, Ji-Won;Jeong, Chan-Su;Seo, Seong-Bo;Bae, Gang;Son, Seon-Yeong;Kim, Jong-Jae;Kim, Hwa-Min 341
초발수 표면은 자가세정, 부식방지, 방오특성의 특징을 가진다. 이러한 특성은 오염성이 높은 건물외장재 및 자동차유리, 태양전지 모듈유리, 디스플레이등 적용분야가 매우 다양하며, 코팅 방법으로 sol-gel, CVD, PVD등의 여러 가지 방법으로 많은 연구가 보고 되고 있다. 초발수 표면을 제작하는 대표적인 방법으로 PTFE와 같은 낮은 표면에너지를 가지는 물질을 증착하는 방법이 많이 사용되고 있으나, 초발수 표면에 가까운 접촉각을 구현하기에는 한계가 있다. 본 연구에서는 여러 가지 기판(Al, Cu, Sus, glass)에 추가적으로 표면 미세요철구조를 만들어 특성을 분석 하였다. 표면의 미세구조는 기판을 산에 Etching 하는 방법으로 Sample을 준비 하였다. 준비된 기판에 RF-Magnetron Sputtering 방법을 이용하여 PTFE를 증착하여 특성을 분석 하였다. 표면과 물방울이 이루는 각도를 알아보기 위해 Contact Angle을 측정한 결과 Glass와 Sus 기판을 제외한 Al과 Cu기판에서 약 150도에 이르는 초발수 특성을 보였으며, 이러한 표면형상을 관찰하기 위해서 SEM 측정을 해본 결과 표면의 미세요철구조가 확인 되었으며, AFM 측정결과 표면의 미세요철의 거칠기가 Etching공정을 통해 증가 된 것을 확인할 수 있었으며, Etching후 Al과 Cu는 수 nm ~ mm의 거칠기를 보였으며, 거칠기가 증가하여 접촉각의 향상에 기여 하였으리라 생각된다. XPS 측정결과 낮은 표면에너지를 가지는 CF2와 CF3 피크가 보이는 것으로 보아 표면에너지가 낮아져 접촉각이 높아졌으리라 사료 된다. -
방사광을 이용한 흡수스펙트럼으로부터 그래핀 표면 위 TCPP(Tetra(4-carboxyphenyl)porphine) 유기 분자의 흡착구조에 대하여 연구하였다. 순수한 그래핀 표면의 비활성도는 소자응용 분야에 있어 그 영역을 제한할 수 있는 하나의 걸림돌이 되고 있다. 이를 극복하기 위해 유기분자막의 초기 흡착을 이용한 다양한 방법이 시도되어왔다. 그 중 TCPP 분자막을 이용한 그래핀 표면의 기능성 및 그 우수성을 이미 보고한 바 있다. 그러나 그 분자의 흡착구조에 대해 밝혀진 바 없다. 그래핀 표면과 분자간의 흡착 메커니즘 및 분자 상호간의 역학관계는 그 흡착구조의 규명으로부터 얻어질 수 있는데, 본 연구에서는 C 1s K-edge에 대한 NEXAFS 스펙트럼을 이용하여 TCPP 분자가 그래핀과 평행한 방향으로 흡착됨을 알 수 있었다. 이는 또한 분자흡착량의 증가에 따른 AFM 이미지와 일관됨을 알 수 있었다.
-
ZnO has been introduced as one of the good candidates for next generation opto-electronics. Recently, ZnO is known to be suitable for the transparent electrode in organic solar cells and light emitting devices. The contact with n-type organic material has been studied due to the n-type properties of ZnO. However, the surface of ZnO has shown different electronic property with respect to its surface orientation. Therefore, it is presumed that there are differences in the interfacial electronic structures between organic materials and ZnO with different orientation. Therefore, it is required to classify the interfacial electronic structures according to the surface orientation of ZnO. In this study, we measured the interfacial electronic structures between the ZnO substrate having various orientations and a typical n-type organic material, tris-(8-hydroxyquinoline) aluminum (Alq3). In-situ x-ray and ultraviolet photoelectron spectroscopy measurements revealed the interfacial electronic structures. We found the changes in the electronic structures with respect to the orientation of ZnO substrate and it could be used to improve the contact between ZnO and Alq3.
-
W(110)면에 흡착원자인 Al원자의 coverage와 annealing과정에서의 온도를 변화시켜, 여러 조건에서의 Al/W(110)계의 흡착구조를 저에너지 전자회절(LEED)과 이온산란분광법(ISS-TOF)을 이용하여 연구하였다. 여러 결과 중, annealing 온도가 900K인 1.0ML Al/W(110)면은 double domain의 p(
$1{\times}1$ )의 흡착구조로 W(110)면의 center of hollow site에서$0.55{\AA}$ 벗어난 위치에 흡착되었으며, W(110) 표면원자로부터 Al 원자까지의 높이는$2.13{\pm}0.15{\AA}$ 이다. 또한 annealing 온도가 1100K인 0.5ML Al/W(110)면은 double domain의 p($2{\times}1$ )의 흡착구조로 W(110)면의 center of bridge site에 흡착되었으며, W(110) 표면원자로부터 Al 원자까지의 높이는$2.18{\pm}0.15{\AA}$ 이다. -
MgO는 암염구조를 가진 전형적인 이온 결합성 화합물로서 7.8eV의 띠틈을 갖고 흡습성이 강하다. 면 방전 구조 PDP에서 MgO 보호막은 면 방전으로 인한 유전층의 식각을 보호하고 2차 전자 방출을 통해 방전 전압을 낮추는 역할을 한다. 하지만 MgO 보호막은 증착시 흡수된 수분이 제거되어야 하고, 방전 특성 개선 및 방전 효율 향상을 위해 가공 처리에 관한 연구가 진행 되어야 한다. 본 연구는 MgO 보호막의 전자적 특성의 변화를 알아보기 위해
$O_2$ 분위기에서 전자빔 증착법을 이용해 MgO Powder를 사용하여 시료를 제작하였다. 표면에 흡착된 수분제거로 인한 특성 변화를 알아보기 위해 진공 챔버내에서 시료를$500^{\circ}C{\sim}550^{\circ}C$ 의 열처리를 실시한 후 XPS(X-ray Photoelectron Spectroscopy), REELS(Reflection Electron Energy Loss Spectroscopy), UPS(Ultraviolet photoelectron Spectroscopy)를 이용하여 전자적 특성을 연구하였다. XPS 측정결과 시료의 열처리를 통해 C1s spectrum의 O-C=O(289eV) binding energy가 없어져 박막에 흡착된 불순물이 제거 되었으며 O1s spectrum에서 Hydroxides가 감소하고 530.0eV의 MgO 결합에너지쪽으로 커짐으로써 박막의 구조를 확인할 수 있었다. 그리고$O^2$ 분위기에서 성장시킨 MgO 박막 기판을 열처리 후 REELS를 이용해 띠틈을 얻어보면 Ep=500eV에서 띠틈이 6.77eV, Ep=1500eV에서 띠틈이 7.33eV로 각각 측정되었다. Ep=500eV의 REELS 스펙트럼으로부터 산소 결함에 의한 표면 F Center는 4.22eV로 확인되었다. -
최근 들어 나노스케일에서의 자구체(magnetic domain)에 대한 연구가 매우 활발하게 진행되고 있다. 현재 국내에서 자성 나노구조에 대한 연구가 매우 활발하게 진행 되고 있는 반면에 나노자성이미지를 연구 할 수 있는 장비는 매우 미비한 상황이다. 이러한 자성 이미징을 연구하는데 있어 가장 핵심 적인 장비가 SEMPA(Scanning Electron Microscopy with Polarization Analysis)이다. 국내에서 자성나노구조의 자화와 형상을 동시에 측정 할 수 있는 장비는 한국표준과학연구원에서 개발된 W-filament source를 사용한 SEMPA가 유일하다. 일반적으로 SEM의 경우 고에너지 빔의 전자 빔을 주사 시키고 이때 발생되는 이차 전자의 수를 2차원상의 영역에 따라 달라지는 비로 형상을 측정 하게 된다. 이때 전자의 수 뿐만 아니라 이들의 spin polarization을 측정 할 수 있다면 형상뿐 만 아니라 표면에서의 스핀 상태를 동시에 측정할 수 있게 된다. 기개발된 W-filament source를 이용한 SEMPA는 field emission source에 비하여 전자빔의 세기가 약하며 이차 전자의 수도 적어 spin polarization 감도가 현저히 떨어진다. 또한 초고진공(1x10-10torr)에서 사용할 수 없어 측정시료의 contamination을 방지 할 수 없다. 이러한 문제점들을 보안하기 위하여 field emission source를 이용한 FE-SEMPA를 개발 중이다. 본 연구에서는 설계 및 전산시늉등의 연구결과와 진행사항을 발표하고자 한다. 아울러 W-filament를 사용한 SEMPA의 연구결과에 대한 논의를 할 예정이다.
-
Secondary ion mass spectrometry (SIMS) was fascinated by a quantitative analysis and a depth profiling and it was convinced of a in-depth analysis of multi-layer films. Precision determination of the interfaces of multi-layer films is important for conversion from the original SIMS depth profiling to the compositional depth profiling and the investigation of structure of multi-layer films. However, the determining of the interface between two kinds of species of the SIMS depth profile is distorted from original structure by the several effects due to sputtering with energetic ions. In this study, the feasibility of 50 atomic % definition for the determination of interface between two kinds of species in SIMS depth profiling of multilayer films was investigated by Si/Ge and Ti/Si multi-layer films. The original SIMS depth profiles were converted into compositional depth profiles by the relative sensitivity factors from Si-Ge and Si-Ti alloy reference films. The atomic compositions of Si-Ge and Si-Ti alloy films determined by Rutherford backscattering spectroscopy (RBS).
-
We present the interfacial electronic structures of electrodeposited Cu and Fe on bare and 1,4-phenylene diisocyanide (PDI)-functionalized Au nanoisland templates (NITs), and Au and Ag nanoparticles on transition metal oxide supports. Our discussion is based on the depth-profiling X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM).
-
인공관절은 노인성 질환이나 자가 면역질환, 신체적인 외상 등으로 인하여 발생하는 관절의 손상 부위를 대체하기 위해 고안된 관절의 인공 대용물이다. 인공 관절 중 인공 고관절의 경우 관절 운동을 하는 라이너(Liner)와 헤드(Head) 부분이 인공관절의 수명을 결정하게 되는데, 헤드 부분에 메탈소재와 라이너 부분에 고분자 소재를 사용하는 MOP(metal on polymer) 구조의 인공관절은 충격흡수의 장점이 있는 반면 wear debris에 의한 골용해로 인하여 관절이 느슨해지는 문제점이 발생하여 재 시술의 주요 원인이 되고 있다. 현재 인공관절의 수명을 늘리기 위해 DLC, ZrO, TiN 등의 높은 경도 값을 갖는 박막을 금속헤드 위에 증착하여 상대재인 인공관절용 고분자 소재의 마모량을 줄이고자 하는 연구가 활발하게 진행되고 있다. 따라서 본 연구에서는 PIII&D(Plasma Immersion Ion Implantation & Deposition)공정을 이용하여 Co-Cr-Mo 합금 소재에 질소 이온을 주입 한 후 NbN 박막을 증착하여 상대재인 초고분자량 폴리에틸렌(UHMWPE)의 마모량을 줄이고자 하였다. NbN 박막의 특성을 평가하기 위해 XRD, XPS, AFM 등의 분석을 수행하였으며, 상대재인 초고분자량 폴리에틸렌의 마모량을 측정하기 위해 Pin-on-disk tribometer를 이용하여 마모 실험을 진행하였다. 마모 실험 결과, NbN 박막을 단순 증착한 경우, 현재 인공관절용 헤드(Head) 소재로 가장 널리 사용되고 있는 Co-Cr-Mo 합금에 비하여, 상대재인 초고분자량 폴리에틸렌의 마모량을 약 20% 감소시키는 것을 알 수 있었다. 또한, Co-Cr-Mo 합금 소재에 질소 이온주입을 하여 표면을 개질한 후, NbN 박막을 증착한 경우, 마모량이 최대 50%까지 감소하는 것을 확인할 수 있었다.
-
The spin structure of Fe over layers on Cu (001), especially in region II is one of the unsolved problem for many years. We study the out - of - plane (OP) Fermi surfaces (FSs) of 7 monolayer Fe/Cu (001) films using angle resolved photo emission spectroscopy (ARPES). Ultrathin Fe was grown on Cu (001) substrate at room temperature and the experimental measurements were carried out at room temperature and low temperature. Fermi surfaces measured about
$\frac{1}{4}$ of the Brillouin Zone (BZ) using photon energies ranging from 170 eV to 280 eV. Our results confirmed that ferromagnetic signal at 7 ML Fe on Cu (001) is nearly zero. These results are consistent with our recent x-ray magnetic circular dichroism (XMCD) and surface magneto - optic Kerr effect (SMOKE) experiments. Based on our observations we have made a simple model of this system, which explains all the experimental results. -
Graphene has drawn great interests because of its distinctive band structure and physical properties[1]. A few of the practical applications envisioned for graphene include semiconductor applications, optoelectronics (sola cell, touch screens, liquid crystal displays), and graphene based batteries/super-capacitors [2-3]. Recent work has shown that excellent electronic properties are exhibited by large-scale ultrathin graphite films, grown by chemical vapor deposition on a polycrystalline metal and transferred to a device-compatible surface[4]. In this paper, we focussed our scope for the understanding the graphene growth at different conditions, which enables to control the growth towards the application aimed. The graphene was grown using chemical vapor deposition (CVD) with methane and hydrogen gas in vacuum furnace system. The grown graphene was characterized using a scanning electron microscope(SEM) and Raman spectroscopy. We changed the growth temperature from 900 to
$1050^{\circ}C$ with various gas flow rate and composition rate. The growth condition for larger domain will be discussed. -
본발표는 공정용 플라즈마 플라즈마 밀도측정에 있어 정확하기로 알려져 있는 컷오프 프로브의 작동원리 및 개발 현황에 대한 review를 함.
-
인공위성이 임무를 수행하는 우주환경은 지상 환경과 달리 고진공 및 극저온의 극한환경으로 지상에서는 제대로 작동하는 것으로 관찰되더라도 우주환경에서는 예상하지 못한 기능장애를 일으켜 위성의 성능에 치명적인 영향을 미치기도 한다. 이에 10e-5 torr 이하의 고진공과
$-180^{\circ}C$ 이하의 극저온 환경을지상에서 모사하여 위성체의 안정성 및 신뢰성을 시험한다. 시험에는 열진공챔버라고 불리는 장비들이 사용이되며, 기본적으로 챔버 내부 진공형성이 중요하다. 열진공챔버들 가운데는 직경 9m, 길이 10m의 대형 진공용기도 포함이 되며, 배기를 위한 저진공 및 고진공의 펌프들이 사용된다. 한국항공우주연구원에서 보유한 각종 우주환경모사용 챔버 및 진공펌프들은 설치 후 10년 이상 가동한 노후 장비들로 제 기능을 발휘하기 위해서는 적정 유지 보수 및 관리가 필요하다. 본 논문에서는 대형 진공시스템의 원활한 사용을 위한 유지보수 및 관리 방안에 대해 설명한다. -
최근 석유 자원의 고갈로 인하여 요구되는 대체 에너지 개발의 필요성이 대두되고 있다. 그 중 태양에너지는 지구의 생명체가 살아가는 에너지의 근원으로서 매초 800~1000 W에 달하는 에너지양으로 볼 때 태양은 인류가 가장 풍부하게 활용할 수 있는 에너지원이다. 태양에너지를 이용한 염료감응형 태양전지(Dye-Sensitized Solar Cells, DSSCs)는 제조원가를 낮출 수 있고, 유리 전극을 이용한 투명한 태양전지를 제조할 수 있어 건물의 유리창등으로 응용할 수 있는 장점이있다. 이러한 태양전지의 에너지 변환 효율을 증가시키기 위한 방법으로 흡착된 염료에서 발생되는 광전자가 전해질의 산화, 환원되는 요오드 이온(I-/I3-)과의 재결합(recombination)현상으로 인해 광전변환효율이 떨어지는 현상이 발생한다. 이에 본 연구는 TiO2 전극 위에 높은 밴드 갭(band-gap)을 가지는 Al2O3 박막을 TriMethylAluminium(TMA) 전구체를 이용한 Atomic Layer Deposition(ALD) 공정을 사용하여 증착, 재결합 방지 효과에 대한 연구를 진행하였다.
-
챔버 내부의 압력은 gauge가 달려 있는 몇몇 지점에만 국한되어 읽을 수 있었기 때문에 gauge를 이용한 압력 측정을 통해 보다 상세한 진공도를 구하기란 쉽지 않다. 챔버 내부의 압력은 빔 운전에 적합한 초고진공을 유지하여야 하고, 균일하여야 하므로 신뢰 할 수 있는 방법으로 진공도를 정확히 계산해 볼 필요가 있다. 그리하여 압력에 영향을 미치는 여러 가지 진공 시스템 내부의 요소인 Outgassing, 배기속도, 컨덕턴스, 압력 등을 mass balance equation을 이용해 수식화 하여 정리한다. 이 수식을 가지고 FEA(Finite element analysis)프로그래밍을 이용해 진공도를 계산한다. FEA 프로그래밍에 쓰이는 프로그램은 Matlab, excel을 이용하였다. 이 프로그래밍 결과에 따라 Pressure Profile을 작성 하고, 시간이 변함에 따라 진공도가 어떻게 변하는지 실제로 계산하여 time constant를 구한다. 이 값들을 챔버를 가지고 측정한 데이터와 비교하여본다.
-
Dichloro-(2,2)-paracyclophane을 출발 물질로 하여 화학기상증착법(CVD)을 이용해 우수한 투명성과 수분 투과성을 갖는 poly(p-xylylene) 코팅 막을 얻었다. 상기 코팅 막을 얻기 위한 최적의 공정 조건은 Deposition Pressure 0.02~0.04Torr, Vaporization temperature
$110{\sim}150^{\circ}C$ 으로 확인 되었다. 이러한 공정 조건으로 500 mm*500 mm size의 PET bare film을 코팅 기재로 사용하여$10{\mu}m$ ,$20{\mu}m$ 의 parylene 코팅 막을 얻었고 이를 특성 분석해 보았다. 상기 코팅 막은 전체적으로${\pm}1{\mu}m$ 의 thickness uniformity가 관찰 되었고 투과율은 90% 이상을 보였으며 수분 투과율은 기재 대비 상대적으로 40%의 향상이 기대 되었다. 또한 우수한 내식성, 내염기성, 내용제성을 갖고 있었으며 PET 기재에 대해 우수한 부착력을 가지고 있었다. surface morphology는 AFM을 통해 분석하였으며 Ra가 15.123, Rq가 22.859로 측정 되었다. -
Many research applications in basic sciences and biology such as protein crystallography require hard x-rays in the range of 3-20 keV with high brightness. A medium energy storage ring as PLS-II with a beam energy of 3 GeV can meet such high photon energies. In-vacuum undulators (IVU) with a period length of 20 mm and a peak field of 0.97 T are used in the PLS-II ring to produce such X-rays in the fundamental or higher harmonics. Due to the many poles and high fields, insertion devices like wigglers and undulators have a significant impact on the stability of the electron beam with potential degradation of beam quality and life time. Therefore, nonlinear fields must be determined by measurement and evaluated as to their impact on beam stability. Specifically, transverse field roll-off can be a serious detriment to injection in top-up mode and must be corrected. We use magnetic field measurement data to evaluated beam stability by tracking particles using an explicit symplectic integrator in both, transverse and longitudinal planes.
-
In this work, SUS310S used for valve plate assembly was electron beam (EB) welded to determine the influence of the parametric conditions on the characteristics of the weld and to minimize porosity and micro-fissures among others. The evolution in the weld geometry and microstructure was examined as a function of the process conditions such as beam current and focusing current under a constant welding speed and accelerating voltage. The integrity of the EB welds in SUS310S was examined for defects (e.g. cracking, porosity, etc.), adequate penetration depth, and tolerable weld width deviation for the various welding conditions. Optical microscopy (OM), x-ray photoelectron spectroscopy analysis (XPS), scanning electron microscopy (SEM) and 3D micro-computed tomography (Micro-CT) for the cross section analysis of the electron beam welded SUS310S were utilized. The tensile strength and hardness were analyzed for the mechanical properties of the EB weld. At the 6 kV accelerating voltage, it was determined that a satisfactory penetration depth and desirable weld width deviation requires a beam current of 30 mA and a focusing current of 0.687 A at the welding speed of 25 mm/sec.
-
Choe, Ho-Yeong;Kim, Min-Su;Son, U-Jeong;Lee, Mun;Han, Jae-Sam;Jo, Seong-Hwan;Heo, Sun-Ok;An, Guk-Hun 361
하나로 냉중성자원(CNS: Cold Neutron Source)은 원자로 수조내 반사체 탱크에 위치한 수직 조사공에 설치되어 하나로 노심에서 발생하는 열중성자를 감속재인 액체 수소층을 통과시켜 냉중성자를 생산한다. 생성된 냉중성자는 유도관을 통하여 냉중성자 산란장치에 공급되어 이용 연구에 활용된다. 감속재로 사용되는 수소는 헬륨냉동계통의 운전에 따라 수소가 수조내기기 집합체(IPA: In Pool Assembly) 내로 이동되어 액화되어지므로, 극저온의 헬륨가스의 흐름이 중요하다. 헬륨냉동기에 의해 만들어진 극저온인 헬륨은 IPA 내의 수소와 열교환을 하기 위해서 배관을 통해 이동되며, 열손실없이 전달하기 위하여 헬륨 배관은 진공층이 형성된 이중배관으로 설계되어 있다. 헬륨 이중배관은 공급 및 회수 배관으로 구성되어 있으며, 헬륨 배관의 외관에 진공층을 20개의 구간으로 나누어 제작 및 설치되었으며, 각각의 진공도를 유지하고 있다. 이 논문에서는 하나로 냉중성자원 헬륨 이중배관의 특성과 헬륨냉동계통의 운전 및 정지시 온도 변화에 따른 이중배관 진공도의 변화를 분석하였다. -
터보분자펌프(turbo-molecular pump: TMP)는 고진공펌프 중의 하나로, 반도체/디스플레이 등 첨단 공정에서 진공 환경을 조성하는 핵심장비이다. 터보분자펌프(TMP)의 특성평가는 세계 여러 나라의 표준제정기구에서 제정한 국제규격에 그 기반을 두어, 한국표준과학연구원 진공기술 센터에서는 터보분자펌프(TMP) 특성평가시스템을 자체 설계/제작하여 그 신뢰성을 확인하기 위해 개발품 및 상용품 평가에 주력하고 있다. 터보분자펌프(TMP)는 보조펌프(backing pump)의 지원을 받으므로 보조펌프(backing pump) 용량에 따른 터보분자펌프(TMP)의 배기속도를 측정하고자 한다. 국제규격에서 제시하는 보조펌프 (backing pump)의 용량이 일정이상 작을 경우, 터보분자펌프(TMP)의 배기속도 및 압축비에 대해 감소함을 제시한다. 이 영향은 전체 압력 범위에서 보조펌프(backing pump)의 배기속도가 일정 용량 이상이면 터보분자펌프(TMP)의 배기속도에 영향이 없음을 제시하며, 이에 본 연구에서는 국제규격에서 제시하는 보조펌프(backing pump) 용량에 대해 서로 다른 조건에 맞추어 터보분자 펌프(TMP)의 배기속도에 미치는 영향을 연구하고자 한다. 본 연구에서는 100m3/h, 10m3/h 의 서로 다른 배기속도를 가진 보조펌프(backing pump)를 선정하여 분자량이 다른 가스(N2, He, Ar 등)에 대한 압축비의 변화와 배기속도 측정에 관해 상관 관계를 제시하며, 100m3/h, 10m3/h 의 서로 다른 배기속도를 가진 보조펌프(backing pump)에 따른 터보분자펌프(TMP)의 배기속도 및 운전성능을 제시하고자 한다.
-
열분해 반응기 내에서 실리콘 필름을 성장시키는 것은 반도체/디스플레이, 태양전지, 신소재 등 다양한 분야에서 중요한 공정이다. 더욱이 반도체 소자 선폭이 줄어들면서 나노입자의 오염 제어가 더불어 중요해지고 있다. 생산 공정 기술의 집적화에 따라 패턴 사이 거리가 작아지고, 이에 불과 수 십 나노미터크기의 오염입자에 의해서 패턴불량이 발생하고 생산수율을 감소시킨다. 일반적으로 반도체 공정 중 발생한 오염입자는 반응기 내의 가스가 물리/화학적 공정에 의해 핵생성(nucleation)이 일어나 핵(nuclei)이 생성되고, 이 때 표면반응 및 응집(coagulation)에 의해 성장하게 된다. 이에 본 연구에서는 열분해 반응기 내에서 사일렌(SiH4) 가스를 열분해하여 발생되는 실리콘 오염입자의 핵생성과 성장 모델을 정립하고, 생성된 오염입자의 거동과 전달 현상을 이론적으로 고찰하였다. 열분해 반응기와 같은 기상공정(Gas to particle conversion)에서 오염입자가 생성될 때, 그 성질과 크기 등에 물리/화학적 영향을 주는 요소는 전구체/이송기체의 농도 및 유량, 작동 압력, 작동 온도와 반응기 고유 특성 등이 있다. 수치해석의 정당성과 빠른 계산을 위해 단순화시킨 0D 모델인 Batch 반응기와 1D모델인 plug flow 반응기 등에서 SiH4 가스의 열분해 과정시 생성되는 Si cluster를 상용코드인 CHEMKIN 4.1.1을 이용하여 계산하였으며, 2D모델인 Shear flow 반응기로 확장시켜 Si 오염입자가 생성특성을 연구하였다.
-
국내에서 크라이오펌프 개발이 한창 진행되고 있다. 냉동기는 GM 타입이 아니고, 맥동관 형식을 채택하여 기존 상용제품과 차별화 하고 있다. 목표성능은 질소기준 배기속도가 3,600 L/s이고 다른 성능들은 기존의 상용 펌프와 유사하며 내부 구조에 상관없이 외형적으로 비슷한 제원을 가지도록 개발되고 있다. 시제품의 성능을 국제규격에 맞추어서 평가하기 위해 성능평가 장치를 구성하고 시운전 중에 있다. 평가장치는 double dome과 single dome을 모두 갖추었으며 주 배기펌프는 측정 대상 크라이오펌프가 맡고, 보조 배기펌프는 양 시스템 모두 소형 TMP를 사용하고 있다. 크라이오 펌프를 10-10 mbar 대에서부터 성능을 측정하기 위해 평가장치는 10-11 mbar 대에서 운전하도록 목표가 살정되었다. 거의 크라이오 펌프만으로 이런 목표를 달성하기 위해 어던 과정을 거쳤는지 소개하고 이 장치를 사용하여 1,500 L/s 급, 8인치 상용 크라이오 펌프를 달아 배기속도를 측정한 결과와 제시된 값을 비교했다.
-
현재 지식경제부의 전략기술개발사업의 일환으로 진행 중인 "초고진공펌프 개발" 과제 중 제 3 세부 과제인 "고진공펌프종합특성평가시스템 설계, 진단기술 개발" 과제에서 추진된 연구결과를 소개한다. 국내 초고진공펌프 개발 수준의 선진화를 위한 기본적인 초석은 현존하는 모든 진공 발생 장치의 국제적 신뢰성이 있는 완벽한 성능평가의 구현에 있다고 할 수 있다. 현재 한국표준과학연구원에서 구축되고 있는 저진공/고진공펌프의 성능평가장치의 개요를 소개하면서 향후 크라이오펌프 및 터보분자펌프의 개발 및 상용화 단계에서 필요한 국제적 규격 및 내부적 가이드라인을 기 수행되고 있는 측정 데이터베이스에 근거하여 제시하고자 한다.
-
Son, U-Jeong;Lee, Mun;Kim, Min-Su;Choe, Ho-Yeong;Han, Jae-Sam;Jo, Seong-Hwan;Heo, Sun-Ok;An, Guk-Hun 366
냉중성자원은 하나로 반사체탱크에 위치한 수직공에 설치되어 노심에서 발생하는 열중성자를 감속재인 액체수소층을 통과시켜 냉중성자를 생산하는 설비로 수소가를 충전하고 있는 수소계통이 있으며, 21K의 극저온 액체수소/기체수소 2상(ttwo-phase)을 유지하기 위해 외부에서 유입되는 열침입을 최소화하기 위해 진공계통이 설치되어 있다. 진공계통은 수조내기기 집합체(In-Pool Assembly : IPA)의 액체수소 열사이펀, 감속재 용기 등의 냉중성자원 극저온 부풀들의 단열을 위하여 진공용기 내부진공도를 공정진공도 이하로 유지하기 위한 계통으로 고진공펌프, 진공배기탱크 및 저진공펌프의 조합으로 두 개의 진공펌프시스템과 진공박스, 배기수집탱크 및 밸브박스를 포함한 연결배관으로 설계되었다. 저진공펌프를 이용하여 대기압에서 고진공펌프 작동압력까지 도달한 후 고진공펌프를 가동하여 공정진공도 이하의 진공도를 확보하고, 고진공펌프로부터 배기되는 배출가스는 고진공펌프 후단에 설치된 진공배기탱크에 포집되며, 필요 시 저진공펌프레 의하여 배기수집탱크로 배출된다. 진공펌프시스템은 진공용기 내부의 압력이 공정진동고 이하로 유지되도록 연속적으로 가동되어 진공단열이 가능하다. 본 논문은 감속재인 수소를 액화상태로 유지하며, 공정진공도 이하로 충분히 유지되어 운전되는 진공계통의 특성을 원자로 운전 주기별로 소개하고자 한다. -
CIGS solar cell with copper, indium, gallium and selenium is a second generation solar cells for the lowering of the manufacturing cost. The relative ratio of the four elements is one of the most important measurement issues because the photovoltaic property of CIGS solar cell depends on the crystalline structure of the CIGS layer. However, there is no useful analysis method for the composition of the CIGS layer. Recently, AES depth profiling analysis of CIGS films has been studied with a reference material certified by inductively coupled plasma optical emission spectroscopy. However, there are some problems in AES depth profiling analysis of CIGS films. In this study, the in-depth profiling analysis was investigated by secondary ion mass spectrometry (SIMS) depth profiling analysis. We will present the compositional depth profiling of CIGS films by SIMS and its applications for the development of CIGS solar cells with high efficiency.
-
Yun, Ju-Heon;Kim, Jong-Geun;Yun, Gwan-Hui;Park, Jong-Geuk;Kim, Won-Mok;Baek, Yeong-Jun;Seong, Tae-Yeon;Jeong, Jeung-Hyeon 368
최근에 보고된 양질의 고효율Cu(In,Ga)Se2 (CIGS) 태양전지는 CIGS광흡수층이 강한 (220:204) 우선배향성을 갖는 것으로 알려져 있다 [1]. 이러한 CIGS우선배향성은 Se 증착압력, Na농도, 기판온도 및 Mo후면전극의 표면상태에 영향을 받는 것으로 알려져 있지만 정확한 상호관계는 아직 명확히 알려져 있지 않으며, 특히 Mo후면전극의 영향에 대해서는 체계적인 연구결과조차 극히 드문 상황이다 [2]. 본 연구에서는 CIGS 박막의 우선배향성에 대해 Mo후면전극의 미세구조가 미치는 영향 및 이에 따른 cell특성의 변화에 대해서 연구하였다. Mo후면전극의 미세구조는 2 mTorr~16 mTorr까지 증착압력을 변화시켜 제어되었고, CIGS광흡수층은 이렇게 준비된 Mo후면전극상에 3단계 동시증밥법(3-stage process)을 사용하여 형성하였다. XRD를 통한 박막의 우선배향성 평가에서, Mo 증착압력에 대한 IGS I(300)/I(006) 및 CIGS I(220:204)/I(112)의 거동은 Mo 미세구조와 밀접한 관련이 있는 잔류응력(residual stress)의 변화 거동과 상당히 일치함을 보였다. 이에 반해, 높은 압력의 Mo위에 형성된 강한 (220:204) 우선배향성의 CIGS와 bare-glass위에서 형성된 강한 (112) 우선배향성의 CIGS내 Na농도는 서로 유사하였다. 상기의 결과는 Mo미세구조 그 자체가 CIGS 박막 우선배향성의 원인이 됨을 나타낸다. Selenized Mo시편의 XRD분석 및 IGS/Mo 시편의 TEM분석결과을 통해 MoSe2의 반응성이 잔류응력과 비례하는 Mo in-gain 밀도에 의존하는 함을 알 수 있었고, 이러한 MoSe2반응성(reactivity)과 IGS우선배향성 사이에 상당히 밀접한 관련이 있으며 이에 CIGS의 우선배향성이 결정됨을 확인하였다. 마지막으로, Mo변수에 의해 제작된 cell의 특성분석으로부터 cell의 효율이 주로 VOC의 증가에 기인하여 CIGS (220:204) 우선배향성의 정도에 비례하였다. -
In the current work, we demonstrate the gas phase plasma synthesis of ultrafine boron nanoparticles by decomposing boron trichloride (BCl3) gas in an argon-hydrogen thermal plasma and quenching the hot plasma by expansion through a ceramic nozzle, driving the homogeneous nucleation of nanoparticles. It is shown that ultrafine nanoparticles can be produced from the experiments. We also show the characterization results regarding the oxidation of boron nanoparticles at room temperature using X-ray Photoelectron Spectroscopy (XPS) and the combined Scanning Transmission Electron Microscope (STEM) and Electron Energy Loss Spectroscopy (EELS).
-
Kim, Jong-Geun;Lee, In-Gyu;Yun, Ju-Heon;Yun, Gwan-Hui;Park, Jong-Geuk;Kim, Won-Mok;Baek, Yeong-Jun;Jeong, Jeung-Hyeon 370
I-III-IV2족의 Cu(In,Ga)Se2 (CIGS) 박막 태양전지는 3단계(three-stage) 동시증발공정을 통하여 약 19.9%의 최고의 효율을 보유하고 있다. 3단계 공정에 있어 IV2족 Se의 증발 속도 또는 증착압력은 우선 배향성 제어 및 표면 미세구조 영향 등에 큰 영향을 미치는 것으로 알려져 있다. 본 연구에서는 CIGS 박막 합성을 위한 3단계 공정에서 각 단계별 Se 분압의 변화를 주어, 각 공정 단계에서 Se 분압의 변화가 CIGS 박막의 미세구조 및 셀 효율에 미치는 영향을 분석하였다. 3단계 공정에서 Cu, In, Ga 분압은 고정시키고, Se 분압의 크기 순서대로 1, 2, 3으로 변화시켜 CIGS 박막을 제조하였다. 이 박막의 미세구조, 특히, 우선 배향성, 표면의 기공, 결정성을 제어 하기 위하여 3단계 공정에서 1st stage 이후 Se 분압을 증가시키는 방법($3{\rightarrow}1$ ,$2{\rightarrow}1$ )과 1st stage 이 후 Se 분압을 감소시키는 방법($1{\rightarrow}3$ ,$1{\rightarrow}2$ )을 적용하여 비교하였다. 그 결과 3단계에서 1st stage 이후 Se 분압을 증가시킴으로써 (220)/(204)의 우선 배향성을 촉진시키며, 결정성을 개선하였고, 1st stage 이후 Se 분압을 감소시킴으로써 CIGS 박막 표면의 기공을 제거하고, 결정성을 향상시켰다. 이렇게 1st stage이 후 Se 분압을 증가시킴으로써 (220)/(204)의 우선 배향성의 촉진과 결정성 개선은 단락 전류(Jsc)를 증가시켰으며, 1st stage 이후 Se 분압을 감소시킴으로써 CIGS 박막 표면의 기공을 제거와 결정성 개선은 개방전압(Voc)의 증가효과를 가져왔다. -
Gang, Yong-Jin;Jeong, Seong-Hun;Yu, Dae-Seong;Kim, Do-Geun;Kim, Jong-Guk;Kim, Su-Hyeong;Gang, Jae-Uk 371
태양전지에 대한 관심과 수요가 증가함에 따라 태양전지의 대면적화 및 저가 생산을 위한 유기태양전지에 대한 연구가 활발히 진행되고 있다. 유기태양전지의 대면적화는 현재의 태양전지 시장을 대체하기 위한 중요한 요소 중 하나이다. 기존의 유기태양전지는 주로 스핀코팅법에 의해 제작 되었으나 대면적화 및 유연성 박막 제조 시 공정상 어려움이 있기 때문에 스핀코팅을 대체할 새로운 제조 방법이 개발되고 있다. 그 중, 스프레이 공법을 적용한 유기태양전지 제조방법이 각광을 받고 있으며, 이에 대한 연구가 활발히 진행되고 있다. 본 연구는 유기태양전지 제작을 위하여 금속 전극을 제외한 전 공정 (N형 ZnO 층 -P3HT:PCBM 광흡수층-P형 PEDOT:PSS층)을 용액기반의 스프레이 코팅 공정을 적용하여 제작하였다. 스프레이 공정을 통해 코팅한 ZnO, 광활성 및 PEDOT:PSS 박막의 경우, 각각의 표면거칠기는 스핀코팅에 의해 형성된 박막과 유사한 거칠기 값을 가졌다. 최적의 스프레이 공정을 통하여 ITO/ZnO/P3HT:PCBM/PEDOT:PSS/Ag의 구조를 가지는 invert형 유기태양전지를 제작한 결과, AM 1.5G의 광원조건에서 2.95 %의 광변환 효율을 얻을 수 있었다. 이는 기존의 스핀코팅법으로 제작된 소자와 거의 비슷한 성능으로써 저가형□대면적 유기태양전지의 제작 가능성을 보여준 결과이다. -
염료 감응형 태양전지는 상,하판 투명전극(TCO), 나노입자의 다공질 TiO2, 염료 고분자 층으로 구성된 광전극과 투명전극 및 백금(Pt) 박막으로 구성된 상대전극 그리고 두 전극 사이를 산화 환원용 전해질 용액으로 채우고 있는 구조이다. 이 구조에서 투명전극(TCO)은 재료비의 많은 부분을 차지하므로 제작비용 절감을 위한 TCO-less에 관한 연구가 활발히 진행 중이다. 본 연구에서는 TCO-less 염료 감응형 태양전지 제작을 위해 이중층 Ti 전극 구조를 제안하였다. 제작과정은 광조사 부분을 확보한 유리기판에 e-beam 증착법을 이용해 Ti 전극을 증착시킨 후 TiO2를 Ti전극과 일부 중첩하여 인쇄하고 그 위에 두 번째 Ti전극을 제작한다. 이중층 Ti전극 구조는 SEM, EIS 등의 분석장비를 사용하였고 기존 FTO 구조에 비해 단락전류밀도, 에너지 변환효율은 감소하였으나 직렬 내부저항이 약 27% 감소하여 fill factor가 28% 향상된 결과를 얻을 수 있었다.
-
Jo, Jun-Hwan;Gong, Dae-Yeong;Seo, Chang-Taek;Yun, Seong-Ho;Jo, Chan-Seop;Kim, Bong-Hwan;Lee, Jong-Hyeon 373
최근 태양전지 연구에서 저가격화를 실현하는 방법 중 하나로 폐 실리콘 웨이퍼를 재생하는 방법에 관하여 많은 연구가 진행되고 있다. 그러나 기존 웨이퍼 재생공정은 높은 재처리 비용과 복잡한 공정등의 많은 단점을 가지고 있다. 결정형 태양전지에서 저가격화 및 고효율은 태양전지를 제작하는데 있어 필수 요소 이다. 그 중 결정질 태양전지 고효율을 위한 여러 연구 방법 중 표면 텍스쳐링(texturing)에 관한 연구가 활발하다. 텍스쳐링은 표면반사에 의한 광 손실을 최소화 하여 효율을 증가시키기 위한 방법으로 습식 식각과 건식 식각을 사용하여 태양전지 표면 위에 요철 및 피라미드구조를 형성하여 반사율을 최소화 시킨다. 건식식각은 습식식각과 다른 환경적 오염이 적은 것과 소량의 가스만으로 표면 텍스쳐링이 가능하여 많은 연구가 진행중이다. 건식 식각 중 하나인 RIE(reactive ion etching)는 고주파를 이용하여 플라즈마의 이온과 silicon을 반응 시킨다. 실험은 RIE를 이용하여 SF6/02가스를 혼합하여 비등방성 에칭 및 피라미드 구조를 구현하였다. RIE 공정 중 SF6/02가스는 높은 식각 율을 갖으며 self-masking mechanism을 통해 표면이 검게 변화되고 반사율이 감소하게 된다. 이 과정을 통해 블랙 실리콘을 형성하게 된다. 블랙 실리콘은 반사율 10% 이하로 self-masking mechanism으로 바늘모양의 구조를 형성되는 게 특징이며 표면이 검은색으로 반사율이 낮아 효율증가로 예상되지만 실제 바늘 모양의 블랙 실리콘은 태양전지 제작에 있어 후속 공정 인 전극 형성 시 Ag Paste의 사이즈와 표면 구조를 감안할 때 태양 전지 제작 시 Series resistance를 증가로 효율 저하를 가져온다. 본 연구는 SF6/02가스를 혼합하여 기존 RIE로 형성된 바늘모양의 구조의 블랙 실리콘이 아닌 RIE 내부에 metal-mesh를 장착하여 단결정(100)실리콘 웨이퍼 표면을 텍스쳐링 하였고 SF6/02 가스 1:1 비율로 공정을 진행 하였다. metal-mesh 홀의 크기는 100um로 RIE 내부에 장착하여 공정 시간 및 Pressure를 변경하여 실험을 진행하였다. 공정 시간이 변경됨에 따라 단결정(100) 실리콘 웨이퍼 표면에 피라미드 구조의 균일한 1um 크기의 블랙 실리콘을 구현하였다. 바늘모양의 블랙 실리콘을 피라미드 구조로 구현함으로써 바늘 모양의 단점을 보완하여 태양전지 전기적 특성을 분석하여 태양전지 제작시 변환 효율을 증가시킬 것으로 예상된다. -
Jeong, Dong-Geon;Gong, Dae-Yeong;Jo, Jun-Hwan;Jeon, Seong-Chan;Seo, Chang-Taek;Lee, Yun-Ho;Jo, Chan-Seop;Bae, Yeong-Ho;Lee, Jong-Hyeon 376
결정질 실리콘 태양전지 연구에 있어서 가장 중요한 부분은 재료의 저가화와 공정의 단순화에 의한 저가의 태양전지 셀 제작 부분과 고효율의 태양전지 셀 제작 부분이다. 본 논문에서는 마이크로 블라스터를 이용하여 폐 실리콘 웨이퍼를 태양전지용 재생웨이퍼를 제작함으로써 고효율을 가지는 단결정 실리콘 웨이퍼를 저 가격에 생산하기 위한 것이다. 특히 마이크로 블라스터를 이용하여 폐 실리콘 웨이퍼를 가공 할 때 표면에 생성되는 요철은 기존 태양전지 셀 제작에서 텍스쳐링 공정과 같은 표면 구조를 가지게 됨으로써 태양전지 셀에 제작 공정을 줄일 수 있는 효과도 가지게 된다. 마이크로 블라스터는 챔버 내에 압축된 공기나 가스에 의해 가속 된 미세 파우더들이 재료와 충돌하면서 재료에 충격을 주고 그 충격에 의해 물질이 식각되는 기계적 건식 식각 공정 기술이다. 이러한 물리적 충격을 이용하는 마이크로 블라스터 공정은 기존 재생웨이퍼 제작 공정 보다 낮은 재처리 비용으로 간단하게 태양전지용 재생웨이퍼를 제작 할 수 있다. 하지만 마이크로 블라스터를 이용하면 표면에 식각된 미세 파티클의 재흡착이 일어나게 되므로 이를 제거하기 위하여 DRE(damage remove etching) 공정이 필요하게 된다. 본 연구에서는 이방성, 등방성 식각 공정으로 태양전지용 재생웨이퍼를 제작하기 위해 가장 적합한 DRE 공정을 찾기 위해 등방성 식각은 RIE 식각으로, 그리고 이방성 식각은 TMAH 식각을 이용하였다. 마이크로 블라스터 공정 후 표면 반사율과 SEM 사진을 이용한 표면 요철 구조를 확인 하였고, DRE 공정 후 표면 반사율과 SEM 사진을 이용하여 표면 요철 구조를 확인 하였다. 각각의 lifetime을 측정하여 표면 식각으로 생성된 결함들을 분석하여 태양전지용 재생웨이퍼 제작에 가장 적합한 공정을 확인 하였다. -
본 연구에서는 ZnO 나노선 기판을 제작하여 그 위에 밴드갭이 낮은 물질인 CdS, CdSe를 증착시킨 후 p-type 반도체 물질인 CuSCN을 증착시켜 안정성이 향상된 양자점 감응형 태양전지를 제작하였다. ZnO 나노선 기판은 투명한 FTO 기판 위에 ZnO를 진공증착시켜 seed layer를 제작하고 그 위에
$10{\mu}m$ 정도의 길이의 나노와이어를 성장시킨 후, 밴드갭이 낮은 CdS, CdSe 물질과의 다중접합을 이용하여 제작하고, 이러한 나노선 구조위에 chemical solution deposition을 이용하여${\beta}$ -CuSCN을 형성시켰다. 양자점 감응형 태양전지는 ZnO 나노선을 photoanode로 이용하고 ZnO 나노선은 암모니아수와 아연염을 이용한, 비교적 저온의 수열합성법을 통해 합성하였고, sensitizer로 쓰인 CdS, CdSe 물질은 CBD방식을 통하여 합성된 나노선 위에 in-situ로 접합시켰다. 또한, 기존의 액체전해질을 이용한 양자점 감응형 태양전지의 안정성을 향상시키기 위해 p-type의 반도체 물질인 CuSCN물질을 propyl sulfide를 이용,${\sim}80^{\circ}C$ 의 열을 가하여 in-situ 방식으로 다공성 구조에 효율적으로 접합이 가능하도록 deposition하였다. 일반적으로, CuSCN film은 홀 전도체로서의 장점을 지닌 반면, 전도성이 낮은 단점이 있기 때문에 이를 향상시키기 위해서 첨가제를 이용, 농도에 따라서 전도도가 향상되고 셀의 성능이 향상되는 것을 확인하였다. 이와 같이 합성된 구조는 주사전자현미경(SEM), X-선 회절(XRD), 솔라시뮬레이터 등의 분석장비를 이용하여 태양전지로서의 특성을 분석하였다. 또한 안정성 평가를 위하여 시간에 따른 셀의 특성변화도 비교하였다. -
본 연구에서는 황화납(PbS)을 감응 물질로 하는 양자점 감응형 태양전지를 제작하고 효율을 측정해보았다. 기판에 진공증착을 통해 seed layer를 형성하고 수열합성법으로 산화아연(ZnO) 나노선 어레이를 기른 후 SILAR(Successive ionic layer adsorption and reaction)법으로 PbS 양자점을 합성하였고, 농도와 cycle에 따른 특성의 변화를 주사전자현미경(SEM), X-선 회절, UV-visible spectrometer를 통해 확인하였다. SILAR법을 통해 PbS가 ZnO 나노선 위에 film 형태로 균일하게 성장한 것을 확인할 수 있었고, 이렇게 합성한 물질을 직접 태양전지로 제작하여 그 효율을 측정하였다. 또한 co-sensitizer 물질로 CdS를 합성하여 두 물질의 감응 물질로서의 성능을 확인하였다. PbS는 비교적 작은 밴드갭을 가지며 양자 제한 효과가 커 밴드갭 조절이 용이하며 여러 종류의 태양전지에서 이용되고 있다. 이러한 PbS를 감응 물질로 하는 양자점 감응형 태양전지 제작을 통해 태양전지에의 적용 가능성을 살펴보고 그러기 위해 필요한 부분들을 모색해보았다.
-
ZnO 나노라드 위에 양자점을 증착한 후 그 위에
$TiO_2$ 를 ALD방법으로 증착하여 그 passivation 효과가 solar cell 효율에 미친 영향에 대한 실험을 진행하였다. Hydrothermal 방법으로 수직한 1차원 형태의 ZnO 나노라드를 성장시킨다. 여기에 SILAR 방법을 거쳐서 CdS 양자점을 증착시키고, 후에 CBD를 이용하여 CdSe 양자점을 증착시킨다. 여기에 마지막으로 amorphous$TiO_2$ 로 표면을 덮는 과정을 거치는데,$TiO_2$ 가 ZnO 라드 위에 균일하고 정밀하게 증착되도록 하기 위해서 Atomic Layer Deposition을 이용하였다. 다양한 분석 방법을 통해$TiO_2$ /CdSe/CdS/ZnO 구조를 조사하였으며, ZnO 나노라드 위에$TiO_2$ 가 정교하게 올라간 것을 확인한 후에 solar cell에 적용하여 그 효율을 확인하였다. -
In this study, a TiO2 colloidal sol was synthesized by sol-gel process, which was used as a "glue" agent to enhance interconnection of TiO2 particles in low temperature process for plastic dye sensitized solar cell. The crystalline phase of this TiO2 glue is pure anatase with average particles size of 5 nm, which was characterized by powder X-ray diffraction and high revolution-TEM. The viscous alcoholic paste without any organic binder was prepared from the mixture of commercial P25 powder and glue. Paste composition and sintering process parameters were optimized for high photovoltaic performance based on low temperature process. The electrochemical impedance spectroscopy and photocurrent-photovoltage transient spectroscopy were also employed to investigate the mechanism of electron transport in this binder free TiO2 film system.
-
보다 저렴한 다결정 실리콘 웨이퍼를 사용한 다결정 실리콘 태양전지의 발전효율개선을 위해서는 태양광스펙트럼의 표면 흡수기구를 최적화하고, 전자-정공쌍의 생성극대화 및 재결합 기구 제어를 통한 전하운바자들의 안정적인 분리와 전극으로의 효율적인 수집이 필수적인다. 현재 양질의 다결정 실리콘 웨이퍼에 기반한 다결정 실리콘 태양전지 양산공정에서 16~17% 발전효율이 이루어지고 있으며 18% 이상의 발전효율을 얻기 위해서는 보다 더 우수한 품질의 다결정 실리콘 웨이퍼가 요구된다. 본 연구에서는 15.5~16.5% 대역의 평균 발전효율을 갖는 15.6 cm
${\times}$ 15.6 cm 크기 고효율 다결정 실리콘 태양전지 전면의 전자발광(EL : electroluminescence)데이터로부터 효율기여도가 높은 위치와 상대적으로 기여도가 낮은 위치들을 선정하여 380~1050nm 파장대역의 광선속에 대해 국부적인 외부양자효율(EQE : external quantum efficiency)을 측정하고 투과전자현미경(TEM : tunneling electron microscope) 등을 활용하여 결정방향 등에 기인하는 양자효율 악화기구를 분석하였다. 결론적으로 15%대의 상대적으로 낮은 발전효율을 보이는 태양전지들은 300~600 nm 단파장 영역에서 양자효율이 상대적으로 낮은 저급한 결정성의 웨이퍼에 기인하고 16.5%이상의 높은 발전효율을 갖는 태양전지들은 단파장영역에서 높은 양자효율을 갖는 영역이 수광면적의 80~90%를 차지하는 것으로 밝혀졌다. 이와 더불어 15%대의 발전효율을 갖는 태양전지에서는 600~1100 nm 파장대역에서 상대적으로 악화된 양자효율을 갖는 저급한 결정성 영역이 30~40%를 차지하였으나 16.5%대역의 고효율 태양전지에서는 저급한 결정성 영역이 5~10%를 차지하여 대조를 보였다. 따라서 18%이상의 높은 발전효율을 갖는 다결정 실리콘 태양전지의 양산을 위해서는 양자효율이 우수한 양품의 웨이퍼를 기반으로 표면 texturing을 통해 평균 태양광 흡수율을 90%이상으로 개선하고, 보다 미세한 프론트 전극패턴을 통해 수광면적을 개선하고 선택적인 에미티공정 기술 등을 적용할 필요가 있음을 제안하고자 한다. -
We fabricated thermal annealing-free polymer solar cells (PSC) by processing with additive and applied to flexible substrates. The 1, 8-Diiodooctane of 3 vol% blended with active solution resulted in enhancement of
$J_{SC}$ due to increase of light absorption and hole mobility as improving the crystallinity of P3HT. In addition, the$V_{OC}$ of PSCs with additive was improved by inserting$TiO_2$ layer without any treatment. The$TiO_2$ layer prevented the direct contact between active layer and Al electrode and reduced the charge recombination near Active/Al interface. It was confirmed by calculation of J0 and photo-voltage transient measurement. The power conversion efficiencies of annealing-free PSCs using additive for ITO glass and flexible (ITO PEN) substrate were obtained 3.03% and 2.45%, respectively. -
양자점 감응형 태양전지는 염료감응형 태양전지와 비슷한 구조를 가지지만, 유기물 염료를 대신하여 무기물 양자점을 사용함으로서 기존 유기물 염료가 가지는 한계점을 극복할 수 있다. 양자점을 광감응 염료로 사용하는 경우 양자제한효과(quantum confinement effect)에 의해 양자점의 사이즈조절만으로 밴드갭을 조절할 수 있어 광학적 특성 조절이 용이하며, 유기물 염료보다 광흡수 능력도 뛰어나다. 더불어, 하나의 광자를 흡수하여 두개 이상의 전자-정공쌍을 만들 수 있는(multiple exciton generation) 가능성이 있어 기존 태양전지가 가지는 이론적 한계효율(Shockley-Queisser limit)을 뛰어넘을 수 있다. 본 연구에서는 고효율의 양자점 감응형 태양전지 개발을 위해, ZnO 나노선 구조에 CdS, CdSe 양자점을 증착한 CdSe/CdS/ZnO 나노선 헤테로구조를 수열합성법으로 합성하였다. 증착한 CdSe/CdS 양자점이 태양광의 가시광 전 영역을 흡수하여 전자-정공을 생성하며, 세 물질 간의 밴드구조를 통해 양자점에서 생성된 전자가 ZnO 나노선으로 포집되고, 바닥전극으로 직접연결이 되어있는 1차원의 나노선 구조를 통해 전자를 효율적으로 운반할 수 있다.
-
Kim, Dong-Yeong;Seo, Seong-Bo;Lee, Hye-Ji;Bae, Gang;Son, Seon-Yeong;Park, Seung-Hwan;Kim, Hwa-Min 385
Si 또는 반도체 화합물을 기반으로 한 태양전지의 높은 원재료 가격과 복잡한 공정 등의 문제점들을 해결하기 위한 방안으로 반도체성 고분자인 Poly(3-hexylthiophene)(P3HT)과 C60 유도체인 PCBM을 광활성 층으로 이용하여 유기 태양전지(Organic Solar Cell, OSC)를 제작하였다. 하지만 상대적으로 낮은 효율을 갖는 OSC의 단점을 해결하기 위해서 유기물 자체가 갖고 있는 광 안정성, 낮은 전하 이동도 및 광 에너지 흡수대 등의 문제점들의 해결 방안들이 제시되고 있다. 본 연구에서는 광활성 층을 사용한 유기 태양전지의 특성에서 후열처리에 따른 유기 태양전지의 전기적 및 구조적, 광학적인 특성들이 소자의 효율에 끼치는 영향에 대해 분석하였다. 후열 처리 온도에 따른 광활성 층의 구조적인 특성을 분석하기 위해 EFM 이미지와 XRD패턴을 측정하였는데 열처리 후 박막의 전기적인 포텐셜과 결정성 향상의 유기 태양전지의 효율향상에 기여함을 알 수 있었다. 또한 임피던스 분석 장치를 이용해 후열 처리에 따른 소자의 Resistance, Capacitance, I-V 곡선들을 분석한 결과 최적의 조건에서 열처리된 광활성 층은 전하들의 이동을 조절하여 소자 내에서 Capacitance를 증가시키는 것 뿐만 아니라 전극과 유기물 층 사이의 계면 특성을 향상시킴으로써, 소자의 효율을 증가시키는 원인으로 작용함을 확인 하였다. -
sol-gel 및 hydrothermal growth method를 이용한 zinc oxide nanorod는 제작 시 고가의 장비가 필요치 않기에 저비용 대면적 박막을 제작하는데 적합하지만 rod들의 array 및 density 조절에서 어려움을 가지고 있다. 본 연구에서는 이러한 nanorod array 형상 조절을 위하여 zinc oxide seed layer 형성 과정 중 precursor solution에 이종 나노 입자를 첨가하였다. 첨가한 seed precursor solution을 spin coating한 이후에 후처리 하여 hydrothermal method를 이용해 성장시켰다. 합성한 rod들을 optic과 FE-SEM으로 측정해 rod들의 density 변화를 확인할 수 있었다.
-
ITO (Indium-Tin Oxide)는 전기전도도, 가시광선투과도가 높으며, 패터닝하기 쉬운 장점을 가지나 Indium의 자원 고갈에 의한 재료비 상승의 문제점을 가지고 있어, 이를 대체하기 위한 연구가 진행되고 있다. 본 연구에서는 ITO 대신 AZO (ZnO:Al)를 기판온도에 따라 마그네트론 DC 스퍼터를 이용하여 소다라임 글래스에 증착하여 투명전극의 전기, 광학적 특성을 분석하였다. 조건에 따라 증착된 AZO 투명전극위에 유기 태양전지 흡수층으로 Poly (3-hexylthiophene) (P3HT)와 (phenyl-C61-butyric acid methyl ester (PCBM)를 사용하였으며, Glass/AZO/P3HT:PCBM/PEDOT:PSS/Ag의 구조를 갖는 Inverted 유기 태양전지를 제작하여 ITO 투명전극에 제작된 유기태양전지와의 특성을 비교, 분석 하였다.
-
본 연구에서는 CuO 나노 입자를 poly(3,4,-ethylene dioxythiophene):polystyrene sulfonic acid (PEDOT:PSS) 버퍼층에 첨가하여 정공의 이동도를 높임으로서 poly(3-hexylthiophene) (P3HT) as the electron donor and (6.6) phenyl-C61-butyric acid methyl ester (PCBM) 기반의 유기 태양전지를 제작하였다. 일반적으로 PEDOT:PSS 박막은 높은 광 투과율과 상대적으로 우수한 전기전도도를 지닌 p-type의 유기 반도체 물질로써 유기 태양전지의 홀 전도막으로 널리 사용되어지고 있다. 하지만 낮은 홀이동도로 인하여 전달된 정공이 전극까지 전달되는데에 한계점이 있어 본 연구에서 이를 극복하기 위한 방안으로 p-type의 무기 반도체 물질인 CuO 나노 입자를 PEDOT:PSS 박막내에 첨가하여 홀 이동도를 높이고자 하였다. CuO 나노 입자를 PEDOT:PSS 용액에 각각 5, 10, 15, 20mg/ml 의 농도로 첨가하여 유기 태양 전지의 버퍼층으로 사용을 하였다. 이렇게 제작되어진 각각의 PEDOT:PSS 박막과 CuO 나노 입자가 첨가된 PEDOT:PSS 박막의 전기적, 광학적 및 표면 분석을 통하여 CuO 나노 입자가 PEODT:PSS 박막에 미치는 영향을 조사하였고, 이를 통하여 P3HT:PCBM 기반의 유기 태양전지를 제작하여 전기적 특성 분석을 수행하였다.
-
Transparent conductive oxide (TCO) 박막은 디스플레이 및 태양전지 등 광범위한 분야에서 적용되고 있으며, 특히 indium tin oxide (ITO)는 낮은 전기적 저항과 우수한 광투과도를 가지고 있어서 이미 많은 분야에 적용되고 있다. 본 연구는 RF와 DC를 혼용한 마그네트론 스퍼터링 공정을 활용하여 ITO 박막 특성 및 이를 활용한 유기태양전지 적용에 관한 것이다. UV-O3 처리된 glass 기판위에 thermal evaporation 방식으로 밀착력을 높이기 위하여 Cr을 5 nm 두께로 증착한 후 Al을 95 nm 증착하였다. 그 위에 스퍼터링 공정으로 ITO 박막을 In2O3:SnO2 target (10wt% SnO2)을 사용하여 1.0 mTorr의 공정압력(Ar:O2=30:1), 50W의 RF power 및 0.11kW의 DC power에서 50~250 nm의 두께로 증착하였다. ITO 박막의 결정구조 및 표면 형상은 x-ray diffraction (XRD) 및 scanning electron microscope (SEM)을 사용하여 분석하였으며, 전기적 특성은 four-point probe법으로 비저항값을 측정하였다. 또한 높은 광변환효율을 가지는 태양전지 제작을 위하여, 다양한 두께의 ITO 박막을 사용하여 ITO/ZnO/P3HT:PCBM/PEDOT/Ag 구조의 유기태양전지를 제작하여 소자 특성을 최적화 하였다.
-
급속한 산업의 발달은 심각한 환경오염 및 에너지 문제를 가져왔다. 이를 해결하기 위하여 무한한 에너지원인 태양에너지를 원천으로 하는 친환경 정화소재로서의 광촉매(photocatalyst)를 통하여 인류의 에너지를 확보하는 것에 대한 관심이 급격하게 증가하고 있는 추세이다. 현재 광촉매로 가장 많이 사용되는
$TiO_2$ 의 경우 뛰어난 광활성에도 불구하고, 상대적으로 넓은 밴드갭(band gap) 으로 인한 가시광 응답성의 부재로 이를 해결하기 위한 많은 연구가 진행되고 있다. 따라서 본 연구에서는 PIII&D (plasma immersion ion implantation & deposition) 장비를 통하여 -60 kV의 펄스 고전압을 인가해$TiO_2$ 에 좁은 band gap을 갖는 반도체를 이온주입하여 가시광 응답성을 갖는 양자점 감응(Quantum dot sensitized)형 광촉매를 제작하였다. 이온주입 후 시료의 chemical state와 crystallinity를 확인하기 위하여 X-ray photoelectron spectroscopy와 X-ray diffraction measurement를 이용하여 분석을 수행하였으며, 이러한 공정을 통해 제작된 양자점 감응형 광촉매의 가시광 응답성을 확인하기 위하여 UV/Vis 스펙트럼을 측정하였다. 또한 광촉매의 효율을 확인하기 위해 물 분해 장치(water splitting device) 를 제작하여 수소와 산소를 생성하였다. -
Crystalline silicon solar cell을 양산에 적용하기 위해 전면 전극의 패턴을 형성하는 방법으로 Ag paste를 이용한 screen printing이 가장 일반적으로 사용된다. 전면 전극의 패턴 형성 시, Finger의 width와 spacing은 Fill factor, JSC, VOC 등 태양전지의 중요 parameter들과 관련되어, 효율에 영향을 미치기 때문에, printing 시 Finger width와 spacing을 최적화하여 최대한의 효율을 내는 조건을 찾는 것이 바람직하다. 본 연구에서는 Finger width를
$30{\mu}m{\sim}100{\mu}m$ , spacing을$1.8{\mu}m{\sim}2.8{\mu}m$ 까지 가변하여 c-Si solar cell을 제작하였으며, 제작된 cell의 LIV를 측정을 통하여, 최적의 효율을 내는 조건을 찾고자 하였다. 그 결과 Finger width$30{\mu}m$ , Finger spacing$1.8{\mu}m$ 의 조건에서 17.12%로 최고의 효율을 나타내었다. -
현재의 태양전지에 사용되는 wafer는 원가저감을 위해 점점 얇아지고 있는 추세이다. 하지만 wafer가 얇아질수록 장파장 영역의 광자는 충분히 활용할 수 없게 된다. 대부분의 광자는 50um 지점에 도달하였을 때 그 역할을 다하고 소멸하게 되며, 특히 800nm 이상의 장파장에 대한 generation rate는 wafer 두께에 따라 급격한 차이를 보이게 된다. 따라서 장파장 영역의 광자를 효율적으로 사용할 뿐만 아니라 원가 저감을 위해 더욱 얇아지고 있는 추세의 wafer의 장파장 이용을 보상하기 위해서 TCO를 이용한 back-reflector의 역할은 가장 좋은 해결책이 될 것이다. 본 연구에서는 Macleod를 이용하여 ZnO, Al-doped ZnO, TiN, TiO2와 같은 다양한 TCO 물질에 대한 다양한 simulation을 실시 하여 reflectance 특성을 알아보았다. 상기 simulation결과로써 Al-doped ZnO가 가장 reflectance 특성이 좋게 나타났었으며 이를 이종접합 태양전지에 적용하여 광학적 및 전기적 특성 변화에 대해서 분석하였다.
-
오늘 날 태양전지 산업에서 가장 많은 생산을 하고 있는 분야는 결정질 태양전지분야이다. 현재는 이러한 시대적 요구에 따라 많은 연구가 진행되고 있는데 특히 junction을 이루는 n layer의 doping profile을 선택적으로 형성하여 개방전압 및 단락전류를 향상시키는 연구가 활발히 진행되고 있다. 본 연구는 이러한 n type layer의 doping profile을 선택적으로 형성하는 selective emitter solar cell에 관한 연구로써 SILVACO simulation을 이용하여 low Rs 영역은 고정하고 high Rs 영역의 doping depth를 가변 함으로써 high Rs 영역을 달리 형성하는 방법으로 selective emitter solar cell의 high Rs영역의 최적화에 관한 전산모사를 실시하였다. 각각의 가변조건에 따라 quantum efficiency를 통한 광학적 분석과 I-V를 통한 전기적 분석을 하여 high Rs영역을 최적화 하였다.
-
최근 태양전지의 후면에서 통상적으로 사용되는 Al을 이용한 후면의 BSF형성과 그에 관한 연구보다 계면의 recombination을 줄이기 위하여 passivation 특성이 좋은 층을 후면에 형성하고 국부적으로 BSF를 형성하는 back contact을 형성하여 특성을 향상시키는 연구가 많이 이루어지고 있다. 본 연구는 이러한 local back contact을 boron-BSF를 이용하여 형성하고 passivation layer는 oxide를 이용한 구조를 SILVACO 2-dimension simulation을 이용하여 그 특성을 분석하였다. Boron-local back contact 구조에서 boron-BSF의 doping concentration, depth, lateral width, boron-BSF spacing 가변을 통해 태양전지의 특성변화에 대해서 spectrum response를 통한 QE 분석 및 I-V를 분석하여 최적화하였다.
-
일반적으로 태양전지에서 anti-reflection layer는 조사되는 태양 광을 좀 더 많이 사용하기 위하여 nitride나 oxide와 같은 막을 표면에 형성한다. 본 연구는 이 anti-reflection으로 사용되는 nitride와 oxide의 각각의 두께와 굴절률 변화에 따른 특성변화를 SILVACO를 이용하여 전산모사하고 그 특성변화를 분석하였다. Anti-reflection layer가 없을 경우에는 조사된 빛에 따른 available photo current 활용이 낮았으며, 특히 그 경향은 단파장영역에서 두드러지게 나타났다. 따라서 anti-reflection layer의 최적화를 위해서 두께를 가변하여 available photo current를 분석하였으며, 각 물질의 굴절률 변화 및 이중층 구조의 anti-reflection layer를 형성하고 특성변화를 분석함으로써 최적화하였다.
-
Yun, Gwan-Hui;Yun, Ju-Heon;Kim, Jong-Geun;Kim, Won-Mok;Park, Jong-Geuk;Baek, Yeong-Jun;Kim, Dong-Hwan;Jeong, Jeung-Hyeon 396
유연기판(Flexible) CIGS 박막태양전지는 금속 및 폴리머 기판을 이용하고 roll-to-roll 공정에 적합하기 때문에 유리기판을 이용한 태양전지에 비해 가볍고 공정단가를 절감할 수 있다. 그러나 기존의 soda-lime 유리 기판에 비해 금속 및 폴리머 기판을 사용시 Na 공급의 부재로 CIGS 박막 태양전지는 Voc, FF의 감소로 효율이 감소한다. 본 연구에서는 기존 유리기판과 유사한 Na의 공급을 위해 soda lime 유리 박막(SLGTF)을 Mo 후면전극 증착 전에 도입하였으며, CIGS 박막 및 태양전지를 제조함으로써 SLGTF의 영향을 분석하였다. SLGTF는 rf magnetron 스퍼터링법으로 두께를 조절하여 철강기판과 Mo 사이에 증착하였다. 본 연구에서는 SLGTF의 두께에 따른 CIGS 박막의 미세구조 및 Na 함량의 변화를 기존의 유리기판 및 SLGTF이 없는 철강기판과 비교하여 분석하고자 하였다. CIGS 박막은 3-stage 진공증발법으로 증착하였으며, CIGS 박막의 우선배향성(texture) 변화를 관찰하기 위해 XRD (X-ray Diffraction)를 이용하였고 Na 양을 확인하기 위해 SIMS(Secondary Ion Mass Spectroscopy)를 사용하였다. SLGTF의 도입 및 두께의 변화에 따라 CIGS 박막의 우선배향성(texture) 및 Na의 양에 큰 변화가 있었으며, 이러한 변화가 CIGS 태양전지 셀 효율에 미치는 영향을 분석하였다. -
In a low aspect ratio (LAR) tokamak reactor with a superconducting toroidal field (TF) coil, the radial build of TF coil and the shield play a key role in determining the size of a reactor. For self-consistent determination of the reactor components and physics parameters, a system analysis code is coupled with one-dimensional radiation transport code. Conceptual design study of a compact superconducting LAR tokamak reactor with aspect ratio less than 2.5 was conducted and the optimum radial build was identified. It is shown that the use of an improved shielding material and high temperature superconducting magnets with high critical current density opens up the possibility of a fusion power plant with compact size and small re-circulating power simultaneously at low aspect ratio, and that by using an inboard neutron reflector instead of breeding blanket, tritium self-sufficiency is possible with outboard blanket only and thus compact sized reactor is viable.
-
Seo, Han-Gyu;Yun, Ju-Heon;Kim, Jong-Geun;Yun, Gwan-Hui;Ok, Eun-A;Kim, Won-Mok;Park, Jong-Geuk;Baek, Yeong-Jun;Seong, Tae-Yeon;Jeong, Jeung-Hyeon 398
CIGS/CdS/i-ZnO의 hetero junction으로 구성된 CIGS 태양전지는 적색광 광전류-전압 곡선특성이 백색광 곡선에 비해 크게 왜곡된다. 이는 CdS층의 광흡수에 따른 광전도도의 변화가 pn junction의 에너지밴드구조를 변화시키기 때문으로 알려져 있고, 그 정도는 CdS의 deep level acceptor 트랩의 존재와 같은 CdS 박막의 특성과 밀접한 관련이 있는 것으로 판단된다. 따라서, 백색광과 적색광에 의한 광전류-전압 특성의 차이로부터 CdS 및 CdS/CIGS 계면의 전기, 전자적특성을 평가할 수 있을 것으로 기대된다. 특히, 백색광에 비해 적색광에서는 온도가 내려갈수록 광전류-전압의 왜곡이 훨씬 심해지는 것을 확인하였다. 이러한 왜곡현상은 광세기에 의한 영향은 거의 없고, 백색광과 적색광의 광스펙트럼의 변화에 의해 나타났으며, CdS의 blue photon 흡수 여부와 관련이 있는 것으로 판단된다. CIGS 태양전지는 CdS 증착을 전후로 한 열처리가 광전압을 향상시키는 것으로 알려져 있으므로, 본 연구에서는 그러한 열처리에 의한 CdS/CIGS 계면의 특성 변화를 백색광, 적색광에 의한 저온 광전류-전압 특성 측정을 통하여 분석하였다. 열처리는 CdS를 증착한 후$100^{\circ}C$ 부터$250^{\circ}C$ 까지$50^{\circ}C$ 간격으로 진행하였고, 전류-전압 특성은 100K 부터 300K 까지 10K 간격으로 측정하였다. 백색광, 적색광 저온 광전류-전압 특성의 변화를 열처리에 다른 태양전지 셀효율과 비교 분석하였다. -
Jo, Dae-Hyeong;Jeong, Yong-Deok;Lee, Gyu-Seok;Park, Rae-Man;Kim, Gyeong-Hyeon;Choe, Hae-Won;Kim, Je-Ha 399
본 논문에서는 Indium tin oxide (ITO) 투명전극의 성장온도($T_G$ )가 Cu(In,Ga)$Se_2$ (CIGS) 박막태양전지에 미치는 영향을 살펴 보았다. ITO 박막은 radio-frequency magnetron sputtering을 이용하여 상온에서$350^{\circ}C$ 까지의 다양한$T_G$ 조건에서 i-ZnO/ glass와 i-ZnO/CdS/CIGS/Mo/glass 기판에 증착되었다. ITO의 비저항과 CdS/CIGS 계면 특성은$T_G$ 에 크게 영향을 받았다.$T_G{\leq}200^{\circ}C$ 에서는$T_G$ 가 증가할수록 ITO 저항이 감소하였고 이에 따른 series 저항 감소가 태양전지 성능 향상에 기여하였다. 하지만$T_G$ >$200^{\circ}C$ 에서는 CdS 버퍼층의 Cd이 CIGS 층으로 확산되어 소자의 p-n 계면이 파괴되는 것을 발견하였다.$T_G=200^{\circ}C$ 에서 ITO를 증착한 CIGS 태양전지의 경우 가장 높은 광전변환효율을 보였다. -
조성에 따른 밴드갭 조절이 용이하고 광흡수율이 결정질, 비결정질 실리콘보다 높으며 황동광 구조를 갖는 CuIn1-xGaxSe2 계 물질은 박막형 태양전지의 광흡수층으로 널리 쓰이고 있다. 기존 동시증발법, 스퍼터링법 등 진공 공정 기반 기술이 갖는 고비용 문제와 대면적화 필요성에 대한 대안으로 비진공 박막 증착법이 활발히 연구되고 있는 가운데, 본 연구에서는 닥터블 레이드 코팅법을 이용하여 상온 및 상압 환경에서 쉽게 전구체 박막을 코팅한 후 열처리함으로써 CuInSe2 박막을 얻을 수 있었다. 고분자로 이루어진 바인더(binder) 물질과 금속 아세테이트 (metal acetate)계 전구체를 용매에 용해시킨 후 이를 도포하고, 추가적인 산화 열처리 과정 (oxidation)을 통해 최근 문제가 되고 있는 잔류탄소층 문제를 해결할 수 있었다. XRD 분석 결과, 금속 전구체들은 산화 과정 통해 금속산화물로 변환되고, 이후 셀렌화(Selenization)과정에서 산소(Oxygen)가 셀레늄(Selenium)으로 치환되는 반응이 일어나는 것으로 관찰되었다. 또한 SEM 분석을 통해 잔류 탄소층이 존재하지 않으며 결정립 크기가 최대 수백nm 정도임을 확인하였다.
-
Park, Hyeong-Sik;Jang, Gyeong-Su;Jo, Jae-Hyeon;An, Si-Hyeon;Jang, Ju-Yeon;Song, Gyu-Wan;Lee, Jun-Sin 401
In this work, we deposited Al2O3doped ZnO (AZO) thin films by direct current (DC) magnetron sputtering method with a$40^{\circ}$ tilted target, for application in the front layer of thin film solar cell. Wet chemical etching behavior of AZO films was also investigated. In order to optimize textured AZO films, oxalic acid ($C_2H_2O_4$ )has been used as wet etchant of AZO film. In this experiment we used 0.001% concentration of oxalic acid various etching time, that showed an anisotropy in etching texture of AZO films. Electrical resistivity, Hall mobility and carrier concentration measurements are performed by using the Hall measurement, that are$6{\times}10^{-4}{\Omega}cm$ ,$20{\sim}25cm^2/V-s$ and$4{\sim}6{\times}10^{20}$ , respectively. -
Park, Hyeong-Sik;Jang, Gyeong-Su;An, Si-Hyeon;Jo, Jae-Hyeon;Jang, Ju-Yeon;Song, Gyu-Hwan;Lee, Jun-Sin 402
이번 연구에서는 DC magnetron sputtering을 이용하여 타겟의 각도 변화를 주어 각도 변화에 따른 원자의 거동분석에 대해 수행하였다. 타겟의 각도가 증가할수록 비저항이 증가하고 있으며 40도의 각도의 약 600 nm의 두께에서$5.5{\times}10^{-4}{\Omega}cm$ 로 나타났으며, 가시광선 영역에서 88%의 투과도를 보이는데 이는 타겟 각도에 따라 Ar 이온으로부터 나온 입자들에 의해서 플라즈마가 형성되면서 플라즈마 산란이 발생한 것으로 보며, 특히 비저항 감소와 달리 이동도 및 농도가 감소하는 형태를 보이는데 이는 Hall 측정을 통해 알 수 있다. 타겟 각도 변화는 결정 성장 방해에 영향을 주어 결정 크기는 감소하면서 스트레스로 인한 FWHM 증가가 이를 입증해 주고 있다. -
TCO/p/i/n 구조의 비정질 실리콘 박막 태양전지의 제작에 있어서 a-Si 혹은 넓은 밴드갭 물질인 SiOx, SiC 등은 window layer로 주로 사용 되어왔다. 그러나
${\mu}c$ -Si는 우수한 광학적, 전기적 특성에 불구하고 낮은 activation energy에 의한 p/i interface 에서의 band-off set에 의한 정공재결합에 의해 사용되어 지지 못했다. 이러한 재결합은 p/i interface상에 buffer layer를 삽입함으로써 개선되어 질 수 있다. 본 논문에서는 비정질 실리콘 보다 넓은 광학적 밴드갭을 가지는 a-SiOx 박막을 완충층으로 사용하여 p/i 계면에서의 재결합 감소에 대한 시뮬레이션을 수행하였다. a-SiOX 박막 내에 포함 된 산소의 양에 따라 밴드갭을 조절하여 1.8eV~2.0eV 사이의 완충층을 삽입하여 박막태양전지의 개방전압, 단락전류, 효율 등에 끼치는 영향을 ASA 시뮬레이션을 통하여 알아보았다. -
Cu(InGa)
$Se_2$ (CIGS) 박막 태양전지의 저가 및 대면적화를 위한 양산화 공정인 two-step process (sputter/selenization) 공정에서는 sputtering으로 형성한 metal precursor stack을$H_2$ Se gas를 이용하여 selenization하는 공정을 주로 이용한다. 하지만 이러한 selenization 공정은 유독한$H_2$ Se gas를 이용해야 한다는 점과 긴 시간 동안 열처리를 해야 하는 단점을 가지고 있다. 이에 metal precursor stack 위에 Se 막을 우선 증착하고, Rapid Thermal Process (RTP)를 이용하여 selenization하는 방법이 현재 많은 관심을 끌고 있다. 본 논문에서는 sputtering 이후 RTP를 이용한 CIGS 흡수층 제작에 대한 선행연구의 일환으로 co-evaporator 장비를 이용하여 다양한 구조의 precursor를 제작하고 RTP 조건에 따른 selenization 효과를 연구하였다. Co-evaporator를 이용하여 CIGS, CIG/Se, CuGa/In/Se, In/CuGa/Se 4가지 구조의 precursor stack을 Mo coated soda lime glass 위에 제작하였다. 이때 amorphous 상태의 precursor stack을 만들기 위하여 기판에 열은 가하여 주지 않았으며, 각각의 stack 구조에서 가지고 있는 Cu, In, Ga, Se의 총량을 동일하게 유지하기 위하여 각 stack의 증착 시간을 동일하게 유지하였다. Selenization을 위한 RTP 조건은 550,$600^{\circ}C$ 각각에 대하여 1, 5, 10분으로 split을 진행하였다. Precursor stack의 증착 후 관찰한 XRD 결과는 비정질 상태를 잘 나타내었으며, SEM 결과 CIGS precursor stack을 제외한 나머지 구조의 stack에서는 In 박막의 surface roughness로 인하여 박막의 평탄화가 좋지 않음을 확인하였다. CIGS precursor stack의 경우, RTP 온도와 시간 split와 상관없이 결정화가 잘 이루어졌으나 grain의 성장이 부족하였다. 이에 비하여 CIG/Se, CuGa/In/Se, In/CuGa/Se 구조의 precursor stack의 경우,$550^{\circ}C$ 열처리에서는 InSe의 결정상이 관찰 되었으며$600^{\circ}C$ , 5분 이상 열처리에서 CIGS 결정상이 관찰되었다. 이러한 결과는 Se이 metal 원소들과 함께 있는 CIGS 구조에 비하여 metal precursor stack 위에 Se을 증착한 stack 구조들의 경우는 CIGS 결정을 형성하기 위해 Se이 metal 층들로 확산되어 반응을 하여야 하므로 상대적으로 많은 열에너지가 필요한 것으로 이해할 수 있으며, RTP를 이용한 selenization 공정으로 CIGS 박막 태양전지의 흡수층 형성이 가능함을 확인하였다. -
최근 결정질 실리콘 태양전지 분야에서는 태양전지의 Voc와 Isc의 증가를 통한 효율 향상을 목적으로 후면 passivation 박막에 대한 연구가 활발하게 진행되고 있다. Local-Back Contact Cell은 최적화된 후면 passivation 박막을 이용한 태양전지 제조방법이다. 본 연구에서는 고효율의 LBC 태양전지 개발을 위해 Rapid Thermal Oxide(RTO)를 이용한 후면 passivation 박막에 screen printing을 이용한 point contact 구조의 LBC 태양전지를 제작하고 그 특성을 분석하였다. 본 연구에 사용된 RTO 박막은 O2와 N2, 2L/min의 조건에서
$850^{\circ}C$ 에서 3분 동안 열처리하여 성장시켰다. 이렇게 성장된 박막은 3nm의 두께로 형성되어 passivation 효과를 나타내었으며, carrier lifetime 측정 결과 37.8us의 값을 나타냈다. 전면 ARC형성을 위해 RTO 박막 위에 PECVD를 이용하여 SiNx passivation 처리를 하였고, 그 결과 carrier lifetime은 49.1us까지 향상하였다. 후면의 전극 형성을 위해 screen printing 방법으로 Al point contact을 형성하여 local 한 BSF를 형성 시켰으며, 이후 후면 전극 연결을 위한 방법으로 300nm의 두께로 full Al evaporation 공정을 진행 하였다. 결과적으로 RTO 후면 passivation 박막에 Al point contact 형성을 통해 제작된 태양전지는, Suns-Voc 579mV, FF 82.3%, 16.7%의 효율을 달성하였다. -
Natural boron consists of two stable isotopes 10B and 11B with natural abundance of 18.8 atom percent of 10B and 81.2 atom percent of 11B. The thermal neutron absorption cross-section for 10B and 11B are 3837 barn and 0.005 barn respectively. 10B enriched specific compounds are used for control rods and as a reactor coolant additives. In this work 2 methods for boron enrichment were analysed: 1) Gas irradiation in static conditions. Dissociation occurs due to multiphoton absorption by specific isotopes in appropriately tuned laser field. IR shifted laser pulses are usually used in combination with increasing the laser intensity also improves selectivity up to some degree. In order to prevent recombination of dissociated molecules BCl3 is mixed with H2S 2) SILARC method. Advantages of this method: a) Gas cooling is helpful to split and shrink boron isotopes absorption bands. In order to achieve better selectivity BCl3 gas has to be substantially rarefied (~0.01%-5%) in mixture with carrier gas. b) Laser intensity is lower than in the first method. Some preliminary calculations of dissociation and recombination with carrier gas molecules energetics for both methods will be demonstrated Boron separation in SILARC method can be represented as multistage process: 1) Mixture of BCl3 with carrier gas is putted in reservoir 2) Gas overcooling due to expansion through Laval nozzle 3) IR multiphoton absorption by gas irradiated by specifically tuned laser field with subsequent gradual gas condensation in outlet chamber It is planned to develop software which includes these stages. This software will rely on the following available software based on quantum molecular dynamics in external quantized field: 1) WavePacket: Each particle is treated semiclassicaly based on Wigner transform method 2) Turbomole: It is based on local density methods like density of functional methods (DFT) and its improvement- coupled clusters approach (CC) to take into account quantum correlation. These models will be used to extract information concerning kinetic coefficients, and their dependence on applied external field. Information on radiative corrections to equation of state induced by laser field which take into account possible phase transition (or crossover?) can be also revealed. This mixed phase equation of state with quantum corrections will be further used in hydrodynamical simulations. Moreover results of these hydrodynamical simulations can be compared with results of CFD calculations. The first reasonable question to ask before starting the CFD simulations is whether turbulent effects are significant or not, and how to model turbulence? The questions of laser beam parameters and outlet chamber geometry which are most optimal to make all gas volume irradiated is also discussed. Relationship between enrichment factor and stagnation pressure and temperature based on experimental data is also reported.
-
All solid-state thin film lithium batteries have many applications in miniaturized devices because of lightweight, long-life, low self-discharge and high energy density. The research of cathode materials for thin film lithium batteries that provide high energy density at fast discharge rates is important to meet the demands for high-power applications. Among cathode materials, lithium manganese oxide materials as spinel-based compounds have been reported to possess specific advantages of high electrochemical potential, high abundant, low cost, and low toxicity. However, the lithium manganese oxide has problem of capacity fade which caused by dissolution of Mn ions during intercalation reaction and phase instability. For this problem, many studies on effect of various transition metals have been reported. In the preliminary study, the Sn-substituted LiMn2O4 thin films prepared by pulsed laser deposition have shown the improvement in discharge capacity and cycleability. In this study, the thin films of LiMn2O4 and LiSn0.0125Mn1.975O4 prepared by RF magnetron sputtering were studied with effect of deposition parameters on the phase, surface morphology and electrochemical property. And, all solid-state thin film batteries comprised of a lithium anode, lithium phosphorus oxy-nitride (LiPON) solid electrolyte and LiMn2O4-based cathode were fabricated, and the electrochemical property was investigated.
-
Chalcopyrite계 화합물
$CuInGaSe_2$ ($CIGSe_2$ )는 높은 광흡수율과 전기적 특성 및 안정성, 그리고 1.02~1.67 eV 범위의 최적의 에너지 밴드갭을 가져 박막태양전지용 광흡수층 재료로 많은 관심을 받고 있다. 일반적으로$CIGSe_2$ 박막태양전지의 광흡수층을 형성하는 공정은 고효율 태양전지 제작이 가능한 진공공정을 이용한다.$CIGSe_2$ 광흡수층을 형성하는데 있어 진공 공정을 용액기반 공정으로 대처한다면 저비용으로 보다 간단하면서 효율적인 태양전지의 제조가 가능 할 것으로 기대된다. 본 연구에서는$CIGSe_2$ 광흡수층을 2 단계에 걸쳐 제작하였다. 먼저 Cu, In, Ga 성분을 포함하는 용액을 이용하여 CIG 전구체막을 형성한 후, 다음 단계로 selenization 공정을 진행함으로써$CIGSe_2$ 박막을 제작하였다.$CIGSe_2$ 의 결정 성장을 위하여 selenization 공정의 열처리 온도와 시간을 조절하여 CIG 전구체막과 Se 원소의 결합반응을 최적화할 수 있는 공정 조건을 확보하였으며 이를 통해 우수한 결정 및 전기적 특성을 갖는$CIGSe_2$ 박막을 제조하였다. 제작된$CIGSe_2$ 박막의 광전변환 효율을 측정하여 단위셀로서의 구현이 가능함을 확인하였으며 XRD, SEM, EDS, UV-visible을 통하여$CIGSe_2$ 박막의 특성을 분석하였다. -
KSTAR 토카막 플라즈마의 전자온도 측정을 위한 전자 사이클로트론 방출(ECE) 진단계가 완성되어 KSTAR 3차 운전기간 동안 전자온도를 측정하였다. ECE 진단계는 2단의 헤테로다인 수신기 2개와 75채널의 RF 검출기 그리고 비디오 증폭기로 이루어져있다. 2개의 헤테로다인 수신기의 주파수 범위는 각각 110 GHz~162 GHz, 164 GHz~196 GHz 이며 163 GHz multiplexer에 의해 ECE power를 나눠갖는다. 각 채널 사이의 주파수 간격은 1 GHz이며 토로이달 자장을 2.5T로 운전한다면 플라즈마 반경방향의 모든 위치에서 측정이 가능하다. 또한 시간분해능도 100 kHz로 우수하여 반경방향의 전자온도분포의 시간 변화를 측정할 수 있다. 이 포스터에서는 2010년 KSTAR 실험동안 반경위치에 대한 전자온도를 측정과 sawtooth, ELM 등 MHD 현상 관측 결과에 대해 발표하였다. 그리고 중성빔(NB) 가열을 하는 동안 나타난 H-mode 때 전자온도의 변화도 살펴보았다.
-
CI(G)S계 태양전지는 화합물 반도체로서, 우수한 광 전류 변환 효율을 보이며, 광조사 등에 의한 열화가 없어 유망한 태양전지로 인정받고 있다. CI(G)S계 태양전지를 구성하는 흡수층을 제조하는 방법은 진공 기반의 공증착법 및 스퍼터-셀렌화법이 대표적이며, 액상의 전구체 물질을 도포하고 이를 고온 열처리하는 용액공정법도 최근 많은 연구가 이루어지고 있다. 진공 증착법은 고효율의 흡수층을 제조할 수 있고 상용화에 적합한 방법이다. 그러나 고가의 진공 장비를 이용하는 진공증착법은 원가 절감 관점에서 한계를 지니고 있어, 미래의 저가 공정 실현을 위해 용액 기반 흡수층 제조법도 다양한 접근법으로 연구되고 있으며 현재까지는 진공공정에 비해 상대적으로 낮은 변환효율이 큰 문제점으로 인식되고 있다. 용액 공정에서 전구체 물질의 코팅법으로는 spray법, spin coating법, drop-casting법, doctor-blade법 등이 있으며, 이들 중 양산 공정에 실용화되기 가장 적합한 것으로 보이는 방법으로는, 화합물 나노입자 페이스트를 기재 상에 doctor blade 법으로 코팅한 후에 이를 열처리하여 흡수층을 제조하는 방법을 들 수 있다. 이러한 방법은 균일한 흡수층을 저비용으로 제조할 수 있는 장점은 있지만, 전구체로 사용하는 화합물 나노입자들이 화학적 및 열적으로 매우 안정한 물질이므로, 최종 흡수층에서 큰 결정을 얻기 어렵고, 그 결과 효율이 낮아지는 단점이 있다. 따라서, 치밀하고 조대한 grain 형성을 위하여 CISe 균일한 나노입자를 합성하고 셀레늄을 포함하는 용액을 추가로 도포하여 열처리 공정에서 Se의 손실을 막아 입자를 성장시키는 방법과 In-Se 균일한 나노입자를 합성한 후 Cu, Se이 포함된 용액을 도포하여 코어-쉘 (InSe/CuSe)을 제작하고 이를 Se 분위기하 열처리 하여 흡수층의 결정성을 증진시키고자 하였다. 또한 다양한 방법으로 제작한 CuInSe2 나노입자로 잉크를 제작하여 닥터블레이드 공정을 적용하여 박막을 제작하고 소자 적용성을 평가하였다.
-
최근 전기, 전자, 반도체 산업의 발전으로 전 고상 박막리튬전지는 초소형, 초경량의 마이크로 소자의 구현을 위한 고밀도 에너지원으로 각광받고 있다. 현재 양극박막은 대부분LCO(LiCoO2)계열이 이용되고 있으나, 코발트는 높은 가격과 인체 유해성 뿐만 아니라 상대적으로 낮은 용량(~140 mAh/g)등의 단점을 갖고 있어 향후 보다 고용량의 양극박막이 요구된다. 3원계 양극활물질 LiMO2(M=Co,Ni,Mn,etc.)은 우수한 충방전 효율 과 열적 안정성 뿐 아니라 277mAh/g의 높은 이론용량을 갖고 있어 고용량 양극박막으로의 적용시 고용량 박막이차전지 제작이 가능하다. 본 연구에서는 전 고상 박막 전지의 구현을 위하여 RF 스퍼터링법을 사용하여 Li[Li0.2Mn0.54Co0.13Ni0.13]O2 박막을 증착하였다. Li/MnCoNi의 몰 비율을 변화시켜 높은 전기화학적 특성을 갖는 분말을 합성하여 제조한 타겟으로 Pt/TiO2/SiO2/Si 기판위에 RF 스퍼터법을 이용하여 박막을 성장시켰다. 박막 증착 시 가스의 비율은 Ar:O2=3:1로 하고 증착 압력의 조절(0.005~0.02 torr)을 통하여 박막의 두께와 표면 특성을 조절하며 성장시켰다. 또한 박막을 다양한 온도에서(
$400{\sim}550^{\circ}C$ ) 열처리하여 결정화도와 전기화학적 특성을 측정하였다. 증착 된 박막의 구조적 특성은 X-ray diffraction(XRD) 과 scanning electron microscopy(SEM)로 관찰되었다. 박막의 전기화학적 특성 평가를 위하여 Cyclic voltammatry를 측정하여 가역성의 정도를 확인하고 WBC3000 battery cycler를 이용한 half-cell 테스트를 통하여 박막의 용량을 평가하였다. -
핵융합로는 고온의 고밀도 플라즈마에 노출되므로 고 열부하 및 플라즈마 이온에 의한 물성수치를 충분히 고려한 재질로 구축하여야 한다. 특히 핵융합의 연료인 중수소, 삼중수소와 관련하여 플라즈마 대면 부품, 블랑켓, 열 교환기 등의 구축재질에 대한 수소동위원소의 누설거동 데이터 확보는 삼중수소의 취급 및 안전성과 경제성 측면에서 매우 중요하다. 현재 국내의 삼중수소 누설거동과 관련된 실험장치 개발 및 데이터는 관련 연구가 진행되는 선진국에 비해 초보적인 수준이며, 핵융합 기술의 자력개발을 위해서도 수소동위원소 관련 누설거동 데이터의 확보는 매우 중요하다. 본 연구는 삼중수소 누설거동 해석을 위한 예비 실험으로, 수소를 사용하는 누설거동 실험장치를 설계 제작하여 기초실험을 수행하였다. 시편은 스테인레스 스틸(SS-316L)을 사용하였으며, 시편의 두께를 변화시켜가며 수소에 대한 activation energy, permeability, diffusivity, solubility를 구한 후 타 연구그룹의 실험결과와 비교 하였다.
-
Yu, In-Geun;Jo, Seung-Yeon;An, Mu-Yeong;Gu, Deok-Yeong;Park, Lee-Hyeon;Kim, Tae-Gyu;Yun, Han-Gi 415
국제핵융합실험로(ITER)에 장착되는 한국형 헬륨 냉각 고체형 증식(Helium Cooled Solid Breeder : HCSB) 시험 블랑켓(Test Blanket Module : TBM)은 ITER 참여국 중 유일하게 중성자 반사 재료를 채택한 것이 특징이다. 중성자 반사재료로는 지름 1 mm 내외의 흑연 페블을 사용 할 예정이다. 흑연은 중성자 반사특성은 우수하지만 기계적 특성이 비교적 좋지 않다는 단점이있다. 뿐만 아니라, 산화나 화재 등에 대해서도 취약하기 때문에 흑연이 노출된 상태로 사용하는 것은 위험부담이 클 수밖에 없다. 따라서 흑연을 코팅해서 사용하기 위한 연구개발이 진행 중이며, 코팅 후보물질로는 저방사화 및 고경도의 특성을 갖는 SiC가 유력시 되고 있다. 흑연위에 SiC를 코팅하는 방법은 여러 가지가 있으며, 그 중에서 비교적 간단한 RF Sputtering, PECVD를 이용해서 SiC를 코팅하고 그 특성을 평가했다. RF Sputtering에서 흑연의 온도를 상온으로 두었을 때는 SiC가 결정으로 성장되지 않는 것을 확인할 수 있었으며,$900^{\circ}C$ 이상의 온도에서 열처리과정을 거친 후 결정이 형성되는 것을 확인할 수 있었다. 그리고 열처리 온도가$1200^{\circ}C$ 부근에서는 SiC nano-wire가 형성되는 것을 확인할 수 있었다. PECVD의 경우 전구체 물질로 사용된$SiH_4$ 와$CH_4$ 의 비율에 따라서 SiC의 형성비율이 다른 것을 알 수 있었으며, 결정 상태는 성장시 기판온도에 크게 의존하는 것을 확인할 수 있었다. 최근에는 보다 효율적으로 SiC를 코팅하기 위하여 흑연페블을 spouting시키면서 코팅할 수 있는 CVD 장치를 설계-제작했으며, 전구체 물질로는$SiH_4$ ,$Si(CH_3)_4$ ,$CH_3$ $SiCl_3$ 등이 사용될 예정이다. -
태양전지의 고효율화를 위해, 생성된 전자와 전공을 전극에서 효과적으로 수집하는 방법에 대한 연구가 많이 진행되고 있다. 태양전지 전면전극은 빛의 조사에 의해 생성된 전자를 수집하는 매체로써 finger width가 넓어질수록, 전자를 수집하기 쉬워진다. 하지만 finger width가 넓어짐에 따라, shadowing loss 증가에 의해 단락전류 밀도가 감소하여 태양전지 효율이 저하 될 수 있다. 본 연구에서는 스크린 프린팅에 의해 형성된 전면전극의 finger width를 기존의
$80{\mu}m$ 에서$50{\mu}m$ 로 변경하고, double printing에 의해 finger height를 높이는 방법으로 태양전지의 효율을 향상 시키고자 하였다. 그 결과, 전극패턴 50에서는 전극패턴 80보다 0.47 단락전류밀도가 증가하였고, 효율(efficiency)은 0.16%가 증가하는 것을 확인할 수 있었다. -
Gong, Dae-Yeong;Kim, Dong-Hyeon;Jo, Jun-Hwan;Jeong, Dong-Geon;O, Jeong-Hwa;Kim, Bong-Hwan;Jo, Chan-Seop;Bae, Yeong-Ho;Lee, Jong-Hyeon 417
태양전지 모듈은 back sheet, 후면 충진재, 태양전지 cell, 전면 충진재, 전면 보호유리의 구성으로 되어 있다. back sheet는 유리 또는 금속을 사용하는데 사용 재료에 따라 각각 유리봉입방식, 슈퍼스트레이트방식으로 구분된다[1]. 태양전지를 보호하기 위한 충진재는 빛의 투과율 저하가 적은 PVB(Poly Vinyl Butylo)나 내습성이 뛰어난 EVA(Ethylene Vinyl Acetate) 등이 주로 이용된다. 유리봉입방식과 슈퍼스트레이트 방식의 공통점은 모듈 전면에 투과율과 내?충격 강도가 좋은 강화 유리를 사용하는 것이다. 하지만 현재 모듈의 전면 유리는 평탄한 표면 때문에 태양고도가 낮을 때 상대적으로 반사율이 높은 단점을 가지고 있다[2]. 이러한 문제점을 해결하기 위한 방안으로 표면 유리에 요철(anti-glare) 구조를 형성하면 평면 구조의 표면에서 반사되는 태양광이 일부 태양전지 내부로 재입사가 일어나게 되어 표면 반사율이 낮아지게 되고, 이로 인하여 태양전지의 효율이 증가하게 된다. 특히 이러한 효과는 태양고도가 낮아졌을 때 요철(anti-glare) 구조에 의한 반사율의 감소가 증가하기 때문에 평면 구조보다 요철(anti-glare) 구조의 태양전지 모듈 효율이 향상될 것이다. 본 논문에서는 요철(anti-glare) 구조를 만들기 위해서 유리와 평면 구조의 유리에서의 반사율과 투과율을 측정하여 비교 분석하였고, 특히 태양고도의 고도가 변할 때를 비교하기 위하여 반사율 및 투과율을 측정 할 때 입사광의 각도를 변화시켰다. 그리고 태양전지 cell 위에 요철(anti-glare) 구조의 유리와 평명 구조의 유리를 각각 위치시킨 후 태양전지 cell의 효율변화를 확인하였다. 이때 태양전지 cell의 표면은 이방성 식각 용액을 이용하여 역피라미드 구조의 텍스쳐링 태양전지 cell과 평면 구조의 태양전지 cell을 각각 사용하여 비교하였다. -
결정질 태양전지에서 고효율 달성을 위한 LBC(Local Back Contact) 구조의 중요성이 강조되고 있다. LBC 구조에서 후면 passivation 형성을 위한 SiNX layer를 PECVD로 형성 시, 실리콘 bulk 내로 H+ 원자가 침투하여 Boron과 결합하게 되면 Boron이 bulk 내에서 dopant로 작용을 하지 못하게 되어, 후면에서 p-층을 형성하고, 이는 VOC의 저하를 야기 시킨다. 본 연구에서는 LBC 구조에서 후면 passivation 시 bluk 내 B-H결합으로 인한 태양전지 특성 저하 문제를 해결하기 위해, SiNX를 증착하기 전에 얇은 산화막 barrier를 성장시켜 Bulk 내에 H+ 침투를 최소화 하였다. PECVD를 이용한 N2O 플라즈마 처리, HNO3 Wet Chemical Oxidation의 방법을 통해 substrate와 SiNX 사이에 얇은 oxide 층을 형성하였으며, 각각의 조건에 대해 lifetime 측정을 실시하였다. 그 결과 SiON/SiNx를 이용한 막의 lifetime이
$94.5{\mu}s$ 로 가장 우수하였고, Reference에 비해 25.4% 증가함을 확인할 수 있었다. 그러나 HNO3/SiNx에서는 30.6%, SiON에서는 84.3% 감소함을 확인하였다. Voc 측정 결과 또한 SiON/SiNx를 이용한 막이 670mV로 가장 우수함을 확인할 수 있었다. 본 연구를 통해 LBC구조에서 후면에 얇게 SiON/SiNx막을 형성함으로서 H+이온의 침투를 저지하여 후면 B-H결합을 막아 태양전지 특성 저하를 감소시키는 것을 확인할 수 있었다. -
Ahn, B.N.;Lee, Y.M.;Dang, J.J.;Hwang, Y.S.;Seon, C.R.;Lee, H.G.;Biel, W.;Barnsley, R.;Kim, D.E.;Kim, J.G. 421
The first part is about development of a liquid target for a neutron source, which is designed to overcome many of the limitations of traditional beam-target neutron generators by utilizing a liquid target neutron source. One of the most critical aspects of the beam-target neutron generator is the target integrity under the beam exposure. A liquid target can be a good solution to overcome damage to the target such as target erosion and depletion of hydrogen isotopes in the active layer, especially for the one operating at high neutron fluxes with no need for water cooling. There is no inherent target lifetime for the liquid target neutron generator when used with continuous refreshment of the target surface exposed to the energetic beam. In this work, liquid target containing hydrogen has been developed and tested in vacuum environment. Potentially, liquid targets could allow a point neutron source whose spatial extension is on the order of 1 to$10{\mu}m$ . And the second is about the vacuum ultraviolet (VUV) spectrometer which is designed as a five-channel spectral system for ITER main plasma measurement. To develop and verify the design, a two-channel prototype system was fabricated with No. 3 (14.4 nm~31.8 nm) and No. 4 (29.0 nm~60.0 nm) among the five channels. For test of the prototype system, a hollow cathode lamp is used as a light source. The system is composed of a collimating mirror to collect the light from source to slit, and two holographic diffraction gratings with toroidal geometry to diffract and also to collimate the light from the common slit to detectors. The two gratings are positioned at different optical distances and heights as designed. To study the appropriate detector for ITER VUV system, two different electronic detectors of the back-illuminated charge coupled device and the micro-channel plate electron multiplier were installed and the performance has been investigated and compared in the same experimental conditions. The overall system performance was verified by measuring the spectrums. -
Zinc oxide is metal oxide semiconductor with the 3.37 eV bandgap energy. Zinc oxide is very attractive materials for many application fields. Zinc Oxide has many advantages such as high conductivity and good transmittance in visible region. Also it is cheaper than other semiconductor materials such as indium tin oxide (ITO). Therefore, ZnO is alternative material for ITO. ZnO is attracting attention for its application to transparent conductive oxide (TCO) films, surface acoustic wave (SAW), films bulk acoustic resonator (FBAR), piezoelectric materials, gas-sensing, solar cells and photocatalyst. In this study, we synthesized ZnO nanoparticles and defined their physical and chemical properties. Also we studied about the application of ZnO nanoparticles as a photocatalyst and try to find a enhancement photocatalytic activity of ZnO nanorticles.. We synthesized ZnO nanoparticles using spray-pyrolysis method and defined the physical and optical properties of ZnO nanoparticles in experiment I. When the ZnO are exposed to UV light, reduction and oxidation (REDOX) reaction will occur on the ZnO surface and generate O2- and OH radicals. These powerful oxidizing agents are proven to be effective in decomposition of the harmful organic materials and convert them into CO2 and H2O. Therefore, we investigated that the photocatalytic activity was increased through the surface modification of synthesized ZnO nanoparticles. In experiment II, we studied on the stability of ZnO nanoparticles in water. It is well known that ZnO is unstable in water in comparison with TiO2. Zn(OH)2 was formed at the ZnO surface and ZnO become inactive as a photocatalyst when ZnO is present in the solution. Therefore, we prepared synthesized ZnO nanoparticles that were immersed in the water and dried in the oven. After that, we measured photocatalytic activities of prepared samples and find the cause of their photocatalytic activity changes.
-
금속 나노 입자는 국소 표면 플라즈몬(Localized Surface Plasmon, LSP)이 여기 되며 이의 국부 환경 변화에 대한 민감한 의존성으로 인하여 생화학적 센서로의 응용이 크게 주목 받고 있다. LSP는 금속 나노 입자의 재료, 모양, 크기 그리고 주변 환경 변화에 민감하게 의존한다는 것이 알려져 있다. 금속 나노 입자를 소자로 응용하기 위해서는 일반적으로 기판을 사용하게 되며 이때 기판의 재료적 특성이 LSP에 서로 다른 영향을 준다. 기판은 재료의 광학적인 특성에 따라 유전체, 반도체 그리고 금속으로 분류할 수 있다. 유전체와 반도체 기판과는 다르게, 금속 기판은 표면의 자유전자가 금속 나노 입자에 구속된 자유전자와 반응하여 추가적인 플라즈몬모드를 형성한다. 이번 연구에서는 금속 기판 위에 지름이 100 nm인 콜로이드 금을 분산시킨 후 광산란 신호를 검출하고 금속 기판이 LSP에 미치는 영향을 하부금속 금속층 물질 및 두께의 함수로 하여 분석하였다. 또한, 콜로이드 금 주변의 굴절률 변화에 대한 반응도를 분석하여 센서로서 특성을 평가하였다.
-
Kim, Kwang-Dae;Dey, Nilay Kumar;Seo, Hyun-Ook;Kim, Dong-Wun;Nam, Jong-Won;Sim, Chae-Won;Jeong, Myung-Geun;Kim, Young-Dok 425
The photocatalytic decomposition of toluene gas was investigated with$TiO_2$ on nano-diamond powder (NDP) under UV irradiation. Atomic layer deposition (ALD) was used for the growth of$TiO_2$ on the NDP. The structure and surface properties of catalysts were characterized by X-ray Diffraction (XRD), X-ray Photoelectron Spectroscopy (XPS) and Transmission Electron Microscopy (TEM). The photocatalytic activity for the toluene decomposition was evaluated by measuring the concentration change of toluene and$CO_2$ gas with gas chromatography (GC)-flame ionization detector (FID) system. The photocatalytic activities of$TiO_2$ /NDP catalysts were compared with that of P-25. The rate of initial photocatalytic decomposition of toluene for the$TiO_2$ /NDP catalysts was relatively lower when compared to P-25. The photocatalytic activity of P-25 was rapidly decreased with time, whereas, the deactivation of$TiO_2$ /NDP catalysts was less pronounced. Therefore, as the reaction time increased, the photocatalytic activity of$TiO_2$ /NDP catalysts became higher than that of P-25. The intermediates such as benzaldehyde or benzoic acid, etc were more easily adhered to the active site on the P-25 surface during reaction, resulting in easier deactivation of P-25. These results could be confirmed using FT-IR spectroscopy. We suggest that the NDP used as substrate can reduce the deactivation of$TiO_2$ on the surface. -
최근 들어 금속물질을 나노미터 단위로 구성할 수 있는 기술이 진보하면서, 금속 나노입자에 의해 발생되는 표면 플라즈몬에 대해서도 다양한 분야의 관심이 집중되고 있다. 유전체 물질을 기지상으로 하는 금속:유전체 나노복합체에서 금속 나노입자는 자유전자들의 집단 진동인 국소표면 플라즈몬 공진(Localized Surface Plasmon Resonance, LSPR)현상에 의해 국부전기장을 증대 시키고, 가시광 및 적외선 영역에서 특성 광흡수 거동을 보인다. 이와 같은 광학적 특성은 금속 나노입자들의 크기, 형태, 그리고 나노입자들의 주변을 구성하는 기지상 물질의 종류에 의해 조절된다. 금속:유전체 나노복합체에 나타나는 이러한 특성은 단순장식코팅 뿐만 아니라 광의 효율적 운용과 광을 매개로 한 기능발현을 필요로 하는 디스플레이, 광학 스위칭 소재 및 태양전지의 효율 향상을 위한 광흡수층 등 매우 다양한 응용이 가능하다. 본 연구에서는 다양한 굴절률을 갖는 재료들 중, 저굴절률을 갖는 SiO2와 고굴절률을 갖는 ZnS-SiO2를 기지상 재료로 선택하여 교번증착 스퍼터링법으로 Ag와 Au입자를 형성시켰다. Ag를 금속나노입자로 갖고, SiO2와 ZnS-SiO2를 기지상으로 하는 금속:유전체 나노복합체에서는 금속나노입자 형성에 따른 뚜렷한 표면 플라즈몬 공진 광흡수 피크가 관찰된 반면 Au나노입자는 기지상에 따라 각기 다른 광흡수 특성을 나타냈는데, SiO2기지상에서 명확한 광흡수 피크를 형성했던 경우와는 달리 ZnS-SiO2기지상에서는 특정파장에서의 흡수피크로 규정되기 어려운 넓은 파장범위에 걸친 완만한 광흡수 피크를 나타냈다. TEM 분석을 통해, ZnS-SiO2 기지상 내의 Au입자는 각각 독립되어 있는 Island형태가 아닌 유전체 기지상과 대칭적으로 혼합된 네트워크 형태의 Bruggeman 기하구조를 구성하고 있음을 확인하였고, 이는 Au입자가 형성되고 성장할 때 Au와 S의 높은 결합에너지로 인해 상당한 젖음 특성을 갖고 성장하였기 때문으로 판단됐다. 따라서 나노복합체를 구성하는 물질간의 광학적 특성뿐만 아니라 기지상 내에서의 금속입자의 성장거동에 대한 연구가 수반되었을 때, 금속:유전체 나노복합체의 표면 플라즈몬 공진 광흡수 특성을 보다 정확하게 제어할 수 있다.
-
그래핀은 탄소원자가 육각형의 벌집형태로 배열되어 있는 원자단위 두께의 가장 얇은 재료중의 하나이다. 이는 우수한 기계적, 전기적, 광학적 특성을 지니고 있어 다양한 분야로의 응용이 가능할 것으로 예측되고 있다. 그래핀의 산업적 응용을 위해서는 대면적으로 두께 균일도가 높은 그래핀을 저렴한 방법으로 합성하는 것이 무엇보다도 우선적으로 요구된다. 그래핀을 얻는 방법으로는 물리 화학적 박리, 탄화규소의 흑연화, 열화학기상증착법(thermal chemical vapor deposition; TCVD) 등의 다양한 방법이 있으며, 현재로선 그 중 TCVD법이 대면적으로 두께균일도가 높은 그래핀을 합성할 수 있는 가장 적합한 방법으로 인식되고 있다. 그러나 이 방법은 탄소가 포함된 원료가스를 분해하기 위하여 고온의 공정이 요구되는 단점이 있다. 이러한 이유로 최근 그래핀은 저온에서 합성하기 위한 많은 연구들이 진행 중에 있으며 그 결과가 속속 보고 되고 있다. 본 연구에서는 고주파 플라즈마가 결합된 TCVD장치를 이용하여 원료가스를 효율적으로 분해함으로서 그래핀의 저온합성을 도모하였다. 기판은 300 nm 두께의 니켈박막이 증착된 산화막 실리콘 기판을 사용하였으며, 원료가스로는 메탄을 사용하였다. 실험결과, 350 W의 파워로 플라즈마를 방전하여 30분간 합성을 수행하였을 때 약
$450^{\circ}C$ 근처의 저온에서 수 겹의 그래핀이 합성 가능한 것을 확인하였다. 합성된 그래핀은 분석의 용이함 및 향후 다양한 응용을 위하여 산화막 실리콘 기판 및 투명 고분자 기판 등으로 전사하였다. 그래핀의 특성분석을 위해서는 광학현미경, 라만 분광기, 투과전자현미경, 자외 및 가시선 분광광도계, 4탐침측정기 등을 이용하였다. -
Lee, Gun-Do;Yoon, Eui-Joon;Hwang, Nong-Moon;Kim, Young-Kuk;Ihm, Ji-Soon;Wang, Cai-Zhuang;Ho, Kai-Ming 428
Recently, we performed ab initio total energy calculation and tight-binding molecular dynamics (TBMD) simulation to study structures and the reconstruction of native defects in graphene. In the previous study, we predicted by TBMD simulation that a double vacancy in graphene is reconstructed into a 555-777 composed of triple pentagons and triple heptagons [1]. The structural change from pentagon-octagon-pentagon (5-8-5) to 555-777 has been confirmed by recent experiments [2,3] and the detail of the reconstruction process is carefully studied by ab initio calculation. Pentagon-heptagon (5-7) pairs are also found to play an important role in the reconstruction of vacancy in graphene and single wall carbon nanotube [4]. In the TBMD simulation of graphene nanoribbon (GNR), we found the evaporation of carbon atoms from both the zigzag and armchair edges is preceded by the formation of heptagon rings, which serve as a gateway for carbon atoms to escape. In the simulation for a GNR armchair-zigzag-armchair junction, carbon atoms are evaporated row-by-row from the outermost row of the zigzag edge [5], which is in excellent agreement with recent experiments [2, 6]. We also present the recent results on the formation and development of dislocation in graphene. It is found that the coalescence of 5-7 pairs with vacancy defects develops dislocation in graphene and induces the separation of two 5-7 pairs. Our TBMD simulations also show that adatoms are ejected and evaporated from graphene surface due to large strain around 5-7 pairs. It is observed that an adatom wanders on the graphene surface and helps non-hexagonal rings change into stable hexagonal rings before its evaporation. -
Graphene, one single atomic layer of graphite, has attracted extensive attention in various research fields since its first isolation from graphite. Application in the future electronics requires better understanding and manipulation of electronic properties of graphene supported on various solid substrates. Here, we present a study on charge doping and morphology of graphene prepared on atomically flat and highly polar mica substrates. Ultra-flat single-layer graphene was prepared by micro-exfoliation of graphite followed by deposition on cleaved mica substrates. Atomic force microscopy (AFM) revealed presence of ultra-thin water films formed in a layer-by-layer manner between graphene and mica substrates. Raman spectroscopy showed that a few angstrom-thick water films efficiently block electron transfer from graphene to mica. Hole doping in graphene caused by underlying mica substrates was also visualized by scanning Kelvin probe microscopy (SKPM).
-
Graphene, two dimensional sheet of sp2-hybridized carbon, has attracted an enormous amount of interest due to excellent electrical, chemical and mechanical properties for the application of transparent conducting films, clean energy devices, field-effect transistors, optoelectronic devices and chemical sensors. Especially, graphene is promising candidate to detect the gas molecules and biomolecules due to the large specific surface area and signal-to-noise ratios. Despite of importance to the disease diagnosis, there are a few reports to demonstrate the graphene- and rGO-FET for biological sensors and the sensing mechanism are not fully understood. Here we describe scalable and facile fabrication of rGO-FET with the capability of label-free, ultrasensitive electrical detection of a cancer biomarker, prostate specific antigen/
${\alpha}1$ -antichymotrypsin (PSA-ACT) complex, in which the ultrathin rGO sensing channel was simply formed by a uniform self-assembly of two-dimensional rGO nanosheets on aminated pattern generated by inkjet printing. Sensing characteristics of rGO-FET immunosensor showed the highly precise, reliable, and linear shift in the Dirac point with the analyte concentration of PSA-ACT complex and extremely low detection limit as low as 1 fg/ml. We further analyzed the charge doping mechanism, which is the change in the charge carrier in the rGO channel varying by the concentration of biomolecules. Amenability of solution-based scalable fabrication and extremely high performance may enable rGO-FET device as a versatile multiplexed diagnostic biosensor for disease biomarkers. -
Park, Seung-Ho;Yoon, Young-Seok;Lee, Dong-Woo;Lee, Dong-Ik;You, Kyoung-Hwan;Pang, Chang-Hyun;Suh, Kahp-Yang 432
Dry adhesion caused by Nanoscale contact comes up to important scientific issue. Herein, we introduce bendable nanohairy locking fastener system with high shear strength and mechanically flexible backing. The polymeric patches like velcro are composed of an array of straight nanohairs with 100 nm diameter and$1{\mu}m$ height. To fabricate high aspect vertical nanohairs, we used UV molding method with appropriately flexible and rigid polyurethane acrylate material on PET substrate. Two identical nanohairy patches are easily merged and locked each other induced by van der Waals force. Because nanohairs can be arrayed with high density${\sim}4{\times}10^8/cm^2$ , we can obtain high shear adhesion force on flat surface (~22 N/$cm^2$ ). Furthermore, we can obtian nanohairy locking system with maximum shear adhesion ~48 N/$cm^2$ of curved surface due to flexibility of PET substrate. We confirm the tendency that shear adhesion force increases, as radius of curvature increases. -
Since the concept of graphene was established, it has been intensively investigated by researchers. The unique characteristics of graphene have been reported, the graphene attracted a lot of attention for material overcomes the limitations of existing semiconductor materials. Because of these trends, economical fabrication technique is becoming more and more important topic. Especially, the epitaxial growth method by sublimating the silicon atoms on Silicon carbide (SiC) substrate have been reported on the mass production of high quality graphene sheets. Although SiC exists in a variety of polytypes, the 3C-SiC polytypes is the only polytype that grows directly on Si substrate. To practical use of graphene for electronic devices, the technique, forming the graphene on 3C-SiC(111)/Si structure, is much helpful technique. In this paper, we report on the growth of graphene on 3C-SiC(111) surface. To investigate the morphology of formed graphene on the 3C-SiC(111) surface, the radial distribution function (RDF) was calculated using molecular dynamics (MD) simulation. Through the comparison between the kinetic energies and the diffusion energy barrier of surface carbon atoms, we successfully determined that the graphitization strongly depends on temperature. This graphitization occurs above the annealing temperature of 1500K, and is also closely related to the behavior of carbon atoms on SiC surface. By analyzing the results, we found that the diffusion energy barrier is the key parameter of graphene growth on SiC surface.
-
Zeng, Aiping;Jin, Chunyan;Cho, Sang-Jin;Seo, Hyun-Ook;Lim, Dong-Chan;Kim, Doo-Hwan;Hong, Byung-You;Boo, Jin-Hyo 434
Electrochemical method have been employed in this work to modify the chemical vapour deposited nitrogen doped hydrogen amorphous diamond-like carbon (N-DLC) film to fabricate nickel and copper nano particle modified N-DLC electrodes. The electrochemical behaviour of the metal nano particle modified N-DLC electrodes have been characterized at the presence of glucose in electrolyte. Meanwhile, the N-DLC film structure and the morphology of metal nano particles on the N-DLC surface have been investigated using micro-Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy. The nickel nano particle modified N-DLC electrode exhibits a high catalytic activity and low background current, while the advantage of copper modified N-DLC electrode is drawn back by copper oxidizations at anodic potentials. The results show that metal nano particle modification of N-DLC surface could be a promising method for controlling the electrochemical properties of N-DLC electrodes. -
The electronic structure and various physical properties of CeO2, Ce2O3, PrO2, and Pr2O3 have been studied from the framework of Ab-initio by the all-electron projector-augmented-wave (PAW) method, as implemented VASP (Vienna Ab-initio Simulation Package). The generalized gradient approximation (GGA) with effective U (Ueff) has been used to explain the strong on-site Coulomb repulsion among the localized Ce 4f electrons. The dependence of selected observables of these materials on the Ueff parameter has been scrutinized. The studied properties contain lattice constants, density of states, and reaction energies of CeO2, Ce2O3, PrO2, and Pr2O3. For CeO2 and PrO2, the GGA(PBE)+U results are in good agreement with experimental data whereas for the computational calculationally more demanding Ce2O3 and Pr2O3 both approaches give comparable accuracy. This results represent that by choosing an appropriate Ueff it is possible to reliably describe structural and electronic properties of CeO2, Ce2O3, PrO2, and Pr2O3, which enables modeling of oxygen reduction reaction processes involving ceria-based materials.
-
Recently, spintronic devices with submicron structures are widely investigated to take advantage of their unique micromagnetic properties. In this work, we study the temperature dependence of exchange bias in bilayer anti-dot arrays made by depositing Co (40 nm)/Ni (5 nm) ferromagnetic bilayer on Si substrate to form anti-dot arrays with a diameter
$1{\mu}m$ . The anti-dot patterning was done only for the upper Co layer, while the Ni underlayer was kept unperforated. The temperature dependences of magnetoresistance (MR) and exchange bias were studied along magnetic easy and hard axes. The in-plane MR measurements were performed using a physical-property measurement system (PPMS ; Quantum Design Inc.) at various temperatures. The standard in-line four-point probe configuration was used for the electrical contacts. As temperature was varied, the MR data were obtained in which in-plane field (H=3 kOe) was applied in the directions along the hard and the easy axes with respect to the lattice plane. The temperature dependences of magnetic anisotropy and exchange bias were also studied along the magnetic easy and hard axes. As temperature decreases, the single peak splits into two peaks. While no exchange bias was observed along the magnetic easy axis, the exchange bias field steadily increased with decreasing temperature along the magnetic hard axis. These results were interpreted in connection with the magnetic anisotropy and the effect of the anti-dots in pinning domain wall motion along the respective direction. -
수열합성법에 의해 나노와이어를 합성하였다. 수직하고 장경비가 큰 나노와이어는 기존 Zinc nitrate와 HMTA를 각각 같은 몰 농도(0.015 mol/L)로 하고 이때 나노와이어의 밀도 조절 및 수직성장을 돕고 더 길게 자랄 수 있도록 polyethylenimine (PEI)를 포함하였으며, 이때 화학적으로 불안정한 seed layer 보호하기 위하여 증가 된 Ph 농도를 완화하기 위해 nitrate acid 를 포함한 반응 용액 내에서 생성되었다. 합성된 나노와이어는 지그재그 전극과 결합하여 리니어 모터를 통해 일정한 시간 주기로 일정한 압력을 가하여 얻은 압전특성을 관찰하고 분석하였다.
-
White light-emitting diodes (LEDs), the so-called next-generation solid-state lighting, offer benefits in terms of reliability, energy-saving, maintenance, safety, lead-free, and eco-friendly. Recently, rare-earth-doped oxynitride or nitride compounds have attracted a great deal of interest as a photoluminescent material because of their unique luminescent property, especially for white LEDs applications. Ce doped
${\beta}$ -SiAlON has been studied as a wavelength conversion phosphor in white LEDs thanks to its high absorption rates, high quantum efficiency, and excellent thermal stability. Previously researches were not enough to understand the detail mechanism and characteristics of${\beta}$ -SiALON. The bandgap structures and electronic structures were not exact due to limitation of calculation methods. In this study, to elucidate the Ce doping effect on the SiAlON system, accurate band structures and electronic structure of the Ce doped${\beta}$ -SiAlON was intensively investigated using density functional theory calculations. In order to get a better description of the band gaps, MBJLDA method were used. We have found a single Ce atom site in${\beta}$ -SiAlON super cell. Furthermore, the density of state, band structure and lattice constant were intensively investigated. -
기존의 고온에서 제작되는 TiO2 나노 입자를 이용한 염료감응형 태양전지를 저온에서 제작하기 위해 전자 이동층으로 ZnO 나노 입자를 사용하여, 저온(
$200^{\circ}C$ )에서 염료감응태양전지(DSSC)를 제작하였다[1,2]. 상대전극(counter electrode)으로는 RF magnetron sputtering을 사용하여 ITO/glass위에 Pt를 증착하여 태양전지의 특성을 측정하였다.$180^{\circ}C$ 이상에서 hydropolymer가 증발되는 것을 이용하여, ZnO 나노입자와 hydropolymer 혼합한 paste 제작하여 소결 후 ZnO 나노입자 사이에 다공성을 생성시켜 Dye가 잘 침투하여 ZnO 나노입자 표면에 잘 흡착 되도록 하였다[3]. 20 nm 및 60 nm 크기의 ZnO 나노 입자를 사용하여 실험 해본 결과, 20 nm에 비하여 60 nm ZnO 나노입자의 경우 IPCE 값이 약 7% 정도로 높은 전환효율 값을 보였다. 60 nm ZnO 나노입자를 전자 수송층으로 사용한 DSSC 소자에서 단위면적당 흐르는 전류(Jsc), 전압 (Voc), fill factor (ff), 그리고 효율(${\eta}$ )의 최대값은 4.93 mA/$cm^2$ , 0.56V, 0.40, and 1.12%, 로 보였다. -
We report on the non-volatile memory characteristics of a bistable organic memory (BOM) device with Au nanoparticles (NPs) embedded in a conducting poly N-vinylcarbazole (PVK) colloids hybrid layer deposited on flexible polyethylene terephthalate (PET) substrates. Transmission electron microscopy (TEM) images show the Au nanoparticles distributed isotropically around the surface of a PVK colloid. The average induced charge on Au nanoparticles, estimated using the C-V hysteresis curve, was large, as much as 5 holes/NP at a sweeping voltage of
${\pm}3$ V. The maximum ON/OFF ratio of the current bistability in the BOM devices was as large as$1{\times}105$ . The cycling endurance tests of the ON/OFF switching exhibited a high endurance of above$1.5{\times}105$ cycles and a high ON/OFF ratio of ~105 could be achieved consistently even after quite a long retention time of more than$1{\times}106$ s. -
Sim, Chae-Won;Kim, Myeong-Ju;Seo, Hyeon-Uk;Kim, Gwang-Dae;;Kim, Dong-Un;Nam, Jong-Won;Jeong, Myeong-Geun;Lee, Byeong-Cheol;Park, Ji-Hyeon;Kim, Yeong-Dok 441
$TiO_2$ nanoparticles were grown on carbon fiber by atomic later deposition (ALD) with TTIP$(Ti(OCH(CH_3)_2)_4$ and$H_2O$ precusors. After sampe surfaces were treated by electron beam (1 MeV, 5 KGy), an improvement in the photocatalytic reacitivity of$TiO_2$ nanoparticles on carbon fiber was observed. An increase in the population of hydroxyl group on$TiO_2$ particles and the oxidation of carbon fiber were found upon e-beam exposure, whereas there was no noticeable changes of their morphology. It implies that those changes in O and C 1s state of$TiO_2$ particles/carbon fiber induced by e-beam treatment could be related to the enhancement of the photocatalytic activity. In contrast, when carbon fiber fully covered with$TiO_2$ thick films was treated with high-energy electron beam under same conditions, the improvement of photocatalytic activity as well as any changes in XPS spectra (Ti 2p, O 1s and C 1s) could not be found. -
Electronic and magnetic properties of bilayer zigzag graphene nanoribbon (bZGNR) are studied using pseudopotential density functional method. The edge atoms in the top and bottom layers of bZGNR make a weak hybridization, which leads to electronic structures different from monolayer ZGNR. For asymmetric bZGNR, where the top and bottom layers have different widths, one edge is pinched by the interlayer bonding and the other sustains antiferromagnetic ordering. A small amount of charge transfer occurs from narrower to wider layer, producing spin-polarized electron and hole pockets. External electric field produces asymmetric energy-gap opening for each spin component, inducing half-metallicity in bZGNR.
-
Kim, Dong-Wun;Kim, Kwang-Dae;Seo, Hyun-Ook;Dey, Nilay Kumar;Kim, Myoung-Joo;Kim, Young-Dok;Lim, Dong-Chan;Lee, Kyu-Hwan 443
Atomic layer deposition (ALD) was used to deposit$TiO_2$ on Ni particles, and changes in the catalytic activity of Ni for$CO_2$ reforming of methane (CRM) were studied. In the presence of$TiO_2$ islands on Ni surfaces, the onset temperature of the CRM reaction was lower than that of bare Ni. During the CRM reaction, carbon was deposited on the surface, reducing the catalytic activity of the surface, but$TiO_2$ was able to remove the carbon deposits from the surface. When the Ni surface was completely covered with$TiO_2$ , catalytic activity disappeared, indicating that tuning of$TiO_2$ coverage on Ni is important for maximizing the activity of the CRM reaction. -
Recently, patterned magnetic films and elements attract a wide interest due to their technological potentials in ultrahigh-density magnetic recording and spintronic devices. Among those patterned magnetic structures, magnetic anti-dot patterning induces a strong shape anisotropy in the film, which can control the magnetic properties such as coercivity, permeability, magnetization reversal process, and magneto-resistance. While majority of the previous works have been concentrated on anti-dot arrays with a single magnetic layer, there has been little work on multilayered anti-dot arrays. In this work, we report on study of the magnetic properties of bilayered anti-dot system consisting of upper perforated Co layer of 40 nm and lower continuous Ni layer of 5 nm thick, fabricated by photolithography and wet-etching processes. The magnetic hysteresis (M-H) loops were measured with a superconducting-quantum-interference-device (SQUID) magnetometer (Quantum Design: MPMS). For comparison, investigations on continuous Co thin film and single-layer Co anti-dot arrays were also performed. The magnetic-domain configuration has been measured by using a magnetic force microscope (PSIA: XE-100) equipped with magnetic tips (Nanosensors). An external electromagnet was employed while obtaining the MFM images. The MFM images revealed well-defined periodic domain networks which arise owing to the anisotropies such as magnetic uniaxial anisotropy, configurational anisotropy, etc. The inclusion of holes in a uniform magnetic film and the insertion of a uniform thin Ni layer, drastically affected the coercivity as compared with single Co anti-dot array, without severely affecting the saturation magnetization (
$M_s$ ). The observed changes in the magnetic properties are closely related to the patterning that hinders the domain-wall motion as well as to the magneto-anisotropic bilayer structure. -
본 연구에서는 편극 패턴된 강유전체 단결정
$LiNbO_3$ 기판에 광화학적 반응에 의해 금속(Au, Ag, Cu)나노입자를 표면에 선택적으로 성장하였다. 강유전체는 자발편극성의 특성을 지니고 있기 때문에 선택적으로 전압을 가하여 편극성의 역전에 의해 표면의 편극성을 선택적으로 패터닝이 가능하다. 본 연구에서는 주기적으로 양의 편극 영역과 음의 편극 영역이 패턴된$LiNbO_3$ 기판을 사용하였다. 표면의 편극성은 압전소자반응현미경법(PFM)을 이용하여 확인하였으며, 극성은 R-V curve로 확인하였다. 금속입자는 금속입자를 포함하는 용액에 기판을 넣고 자외선을 조사하여 성장시켰다. 성장된 금속입자의 표면 분포 및 분석은 AFM을 이용하여 측정하였다. Ag 입자를 성장시킨 결과, (-z)편극 영역보다 (+z)편극영역에서 보다 많은 금속 나노입자들이 환원반응을 일으켜 나노입자를 형성하였으며, 경계영역 (inversion domain boundary)에 가장 많은 나노구조체가 형성되었다. Au 입자의 경우, (+z)편극영역이 (-z)편극영역의 표면보다 더 많은 입자가 형성되었지만 Ag입자처럼 편극영역의 경계에서 많이 증착되는 경향성은 보이지 않았다. Cu 입자의 경우 광화학반응을 거의 일으키지 않았으며, 편극영역에 따른 증착 경향성도 보이지 않았다. 이와 같은 결과를 증착된 금속 나노입자의 편극에 따른 표면분포를 강유전체 표면 극성에 따른 표면 밴드구조와, 각 입자가 지닌 환원전위와 전자친화도에 관련된 모델로 설명할 것이다. -
One dimensional (1-D) structures of ZnO nanorods are promising elements for future optoelectronic devices. However there are still many obstacles in fabricating high-quality p-type ZnO up to now. In addition, it is limited to measure the degree of the doping concentration and carrier transport of the doped 1-D ZnO with conventional methods such as Hall measurement. Here we demonstrate the measurement of the electronic properties of p- and n-doped ZnO nanorods by the Kelvin probe force microscopy (KPFM). Vertically aligned ZnO nanorods with intrinsic n-doped, As-doped p-type, and p-n junction were grown by vapor phase epitaxy (VPE). Individual nanowires were then transferred onto Au films deposited on Si substrates. The morphology and surface potentials were measured simultaneously by the KPFM. The work function of the individual nanorods was estimated by comparing with that of gold film as a reference, and the doping concentration of each ZnO nanorods was deduced. Our KPFM results show that the average work function difference between the p-type and n-type regions of p-n junction ZnO nanorod is about ~85meV. This value is in good agreement with the difference in the work function between As-doped p- and n-type ZnO nanorods (96meV) measured with the same conditions. This value is smaller than the expected values estimated from the energy band diagram. However it is explained in terms of surface state and surface band bending.
-
We studied to detect the mass variation using micro mechanical resonator. For measuring the resonance frequency of the micro mechanical system, optical method using laser interference is selected. A simple resonator is prepared by attaching an AFM cantilever on the piezo stack. The piezo stack makes a the cantilever vibrated with its resonance frequency. To change the mass of the resonator, gold was evaporated on the cantilever. We measured how much resonance frequency was changed according to the amount of gold attached on cantilever. This resonator is able to perform the role of a mass sensor and has a resolution of the order of micrograms. The fabrication of the resonator and measurement setup for detecting the mechanical resonance will be introduced in this presentation.
-
We investigated the fabrication method of superhydrophobic nanocoating prepared by a simple spin-coating and the chemisorption of fatty acid. The resulting coating showed a tremendous water repellency (static water contact angle =
$154^{\circ}$ ) and the water contact angle can be modulated by changing the number of deposition cycles of ZnO and the carbon length of Self-Assembled Monolayers (SAM). Varying the number of deposition cycles of ZnO controlled the surface roughness, and affected to the superhydrophobicity. This simple coating method can be universally applicable to any substrates including flexible surfaces, papers and cotton fabrics, which can effectively be used in various potential applications. We also observed the thermal and dynamic stabilities of SAM on ZnO nanoparticles. The superhydrophobicic surface maintained its superhydrophobic properties below$250^{\circ}C$ and under dynamic conditions. -
We reported the direct effect of intrinsic surface energy of dry adhesive material to the Van der Waals and capillary forces contributions of the total adhesion force in an artificial gecko-inspired adhesion system. To mimic the gecko foot we fabricated tilted nanohairy structures using both lithography and ion beam treatment. The nanohairy structures were replicated from Si wafer mold using UV curable polymeric materials. The control of nanohairs slanting angles was based on the uniform linear argon ion irradiation to the nanohairy polymeric surface. The surface energy was studied utilizing subsequent conventional oxygen ion treatment on the nanohairy structures which resulted in gradient surface energy. Our shear adhesion test results were found in good agreement with the accepted Van der Waals and capillary forces theory in the gecko adhesion system. Surface energy would give a direct impact to the effective Hamaker constant in Van der Waals force and the filling angle (
${\varphi}$ ) of water meniscus in capillary force contributions of gecko inspired adhesion system. With the increasing surface energy, the effective Hamaker constant also increased but the filling angle decreased, resulting in a competition between the two forces. Using a simple mathematical model, we compared our experimental results to show the quantitative contributions of Van der Waals and capillary forces in a single adhesion system on both hydrophobic and hydrophilic surfaces. We found that the Van der Waals force contributes about 82.75% and 89.97% to the total adhesion force on hydrophilic and hydrophobic test surfaces, respectively, while the remaining contribution was occupied by capillary force. We also showed that it is possible to design ultrahigh dry adhesive with adhesion strength of more than 10 times higher than apparent gecko adhesion force by controlling the surface energy and the slanting angle induced-contact line of dry adhesive the materials. -
This study reports the hydrogen sulfide gas sensing properties of ZnO nanorods bundle and the investigation of gas sensing mechanism. Also the improvement of sensing properties was also studied through the application of ZnO heterstructured nanorods. The 1-Dimensional ZnO nano-structure was synthesized by hydrothermal method and ZnO nano-heterostructures were prepared by sonochemical reaction. Scanning electron microscopy (SEM) and X-ray diffraction (XRD) spectra confirmed a well-crystalline ZnO of hexagonal structure. The gas response of ZnO nanorods bundle sensor increased with increasing temperature, which is thought to be due to chemical reaction of nanorods with gas molecules. Through analysis of X-ray photoelectron spectroscopy (XPS), the sensing mechanism of ZnO nanorods bundle sensor was explained by well-known surface reaction between ZnO surface atoms and hydrogen sulfide. However at high sensing temperature, chemical conversion of ZnO nanorods becomes a dominant sensing mechanism in current system. In order to improve the gas sensing properties, simple type of gas sensor was fabricated with ZnO nano-heterostructures, which were prepared by deposition of CuO, Au on the ZnO nanorods bundle. These heteronanostructures show higher gas response and higher current level than ZnO nanorods bundle. The gas sensing mechanism of the heteronanostructure can be explained by the chemical conversion of sensing material through the reaction with target gas.
-
적외선 소자의 재료로 쓰이는 액상 에피 성장법(Liquid phase epitaxy: LPE)으로 성장된 HgCdTe (MCT)박막의 Hg 분위기 열처리에 따른 구조적 변화를 고 분해능 투과 전자 현미경으로 관찰하였다. 일반적으로 LPE방법으로 성장된 MCT박막은 성장 방법의 특성상 Te 과다 영역의 성장용액이 사용되므로 상온 냉각 과정에서 박막 내 국부적인 Te 석출물을 형성 시킬 가능성이 높다. 또한, 성장 과정시 높은 Hg 증기압으로 인해 Hg-vacancy가 존재하므로 품질을 저하시키는 요인이 된다. 따라서, 본 실험에서는 Hg-vacancy와 국부적인 Te 석출물의 제거를 위해 Hg 분위기 열처리 공정을 실시하여 박막의 결정성 변화 및 국부적인 조성 변화를 관찰하였다. 실험결과, 열처리에 따른 Hg의 박막 내 공급으로 인한 이차상의 형성 등이 관찰 되었으며 부피 팽창으로 인해 격자의 변형이 관찰 되었다. 이는 투과 전자 현미경의 고 분해능 이미지 와 Gaussian mask filtering 기법으로 보여진 격자 줄무늬상 (lattice fringe)으로 확인 하였다. 또한, 열처리에 따른 국부적인 조성 편기의 해소는 high angle annular dark field scanning TEM(HAADF-STEM)을 이용하여 관찰 하였다.
-
Using ab initio calculations, we reveal the origins of the extraordinarily increased electric conductivity of the LaAlO3/SrTiO3 interface. In both of the two (LaAlO3)m/ SrTiO3 heterojunction models (m=3, 5), the oxygen atoms in the cells were displaced toward the n-type interface and the Ti-centered octahedron structure was compressed along the [001] direction by the atomic reconstructions at the (LaAlO3)m/(SrTiO3)4 interfaces. As a result, the 3dxy orbital of the Ti atom was preferentially occupied due to the lowered energy state of the 3dxy orbital, which arises from the crystal field asymmetry. We reason that the extra electrons occupy the 3dxy orbital are accumulated at the interface by the displacement of the oxygen atoms. This accumulation contributes to the conductivity of the n-type interface. In addition, through a comparison of the atomic displacements and charge accumulation amounts between the two thickness models (m=3, 5), the thickness-dependency of the conductivity can be explained.
-
탄소나노튜브(CNT)를 이용한 전도성 투명 박막은 기존의 산화인듐주석(ITO)보다 가공 공정이 매우 간단하고 제조비용이 저렴하여 다양한 제품에 적용시킬 수 있고, 다양한 기판에 형성시킬 수 있어 새로운 유형의 제품을 만들 수 있는 가능성이 있다. 본 연구에서는 CNT를 이용하여 만든 투명 박막의 전도특성을 높이기 위하여 기존의 CNT 박막에 금속 이온간의 산화-환원 반응을 이용하여 Tin(II) chloride와 silver nitrate로 Ag seed를 형성시켜 투명 전도막 효율 변화를 측정하였다.
-
Kim, Young-Soo;Park, Su-Beom;Bae, Su-Kang;Choi, Kyoung-Jun;Park, Myung-Jin;Son, Su-Yeon;Lee, Bo-Ra;Kim, Dong-Sung;Hong, Byung-Hee 454
Graphene shows unusual electronic properties, such as carrier mobility as high as 10,000$cm^2$ /Vs at room temperature and quantum electronic transport, due to its electronic structure. Carrier mobility of graphene is ten times higher than that of Silicon device. On the one hand, quantum mechanical studies have continued on graphene. One of them is quantum Hall effect which is observed in graphene when high magnetic field is applied under low temperature. This is why two dimension electron gases can be formed on Graphene surface. Moreover, quantum Hall effect can be observed in room temperature under high magnetic field and shows fractional quantization values. Quantum Hall effect is important because quantized Hall resistances always have fundamental value of h/$e^2$ ~ 25,812 Ohm and it can confirm the quantum mechanical behaviors. The value of the quantized Hall resistance is extremely stable and reproducible. Therefore, it can be used for SI unit. We study to measure quantum Hall effect in CVD graphene. Graphene devices are made by using conventional E-beam lithography and RIE. We measure quantum Hall effect under high magnetic field at low temperature by using He4 gas closed loop cryostat. -
탄소나노튜브(CNT)는 우수한 전기적, 화학적, 기계적 특성으로 인해 전자기술 분야에 있어서 많은 응용이 가능한 나노소재로 각광을 받고 있으며, 실질적으로 CNT를 이용하여 트렌지스터, 전계방출원, 이차 전지 등으로의 응용연구가 진행되고 있다. 일반적으로 CNT 합성을 위해 전이금속의 촉매가 필요하며 또한 촉매가 나노입자로 형성이 되어야 CNT 합성이 가능하다. 기존에는 CNT 합성기판으로 실리콘 웨이퍼 위에 완충층(buffer layer)과 촉매층을 증착하여 사용하였다. 완충층은 촉매가 기판의 내부로 확산하는 것을 막아주며, 촉매의 나노입자 형성을 원활히 함으로 고효율 합성과 구조제어를 가능하게 한다. 그러나 사용되는 완충층은 알루미나 또는 실리콘 산화막과 같은 절연막이기 때문에 CNT 고유의 우수한 전기전도도를 그대로 이용할 수 없다는 문제가 있다. 그러므로 보다 폭넓은 응용을 위해서는, 완충층의 사용없이 전기전도도가 좋은 금속기판에서 CNT를 직접 합성시키는 것이 중요하며, 이때 적절한 크기의 촉매 나노입자를 형성시키기 위한 각종 표면처리법 등이 현재까지 연구되어 왔다. 본 연구에서는 Inconel 600 합금을 합성기판으로 하여 CNT의 고효율 합성에 대하여 연구하였다. 촉매의 나노입자 형성을 위하여 고온 산화처리 및 플라즈마 이온조사처리 등을 실시하였으며, CNT의 고효율 합성에 미치는 영향을 조사하였다. 결과로서, 두 종류의 전처리를 혼합하여 처리한 Inconel 600 기판에서 높은 밀도의 미세한 나노입자가 형성되었고, CNT의 고효율 합성까지 얻을 수 있었다. 이는 Inconel 600 고유의 표면산화특성 및 플라즈마 이온조사에 따른 표면구조 변화가 그 원인으로 사료된다. 발표에서는 고효율 합성결과 및 합성기전에 대하여 보다 자세히 토의하고자 한다.
-
최근 차세대 디스플레이, 터치스크린, 전자파 차폐 및 흡수 등의 다양한 응용분야에 적합한 소재를 개발하기 위한 연구가 진행되고 있다. 현재 주로 사용되는 ITO박막은 희소원소인 인듐의 매장량 한계와 높은 비용이 문제시 되고 있기 때문에, 대체 재료의 개발이 시급하게 요구되고 있다. 탄소나노튜브(CNT)는 금속을 능가하는 이론적인 전기전도도를 갖고 있으며 높은 탄성등의 우수한 기계적 성질을 갖고 있어 다양한 차세대 응용에 있어서 최적의 재료로 주목을 받고 있다. 특히, CNT 기반의 투명전도막은 기존의 ITO 박막 보다 우수한 유연성이 기대되어 더욱 기대를 모으고 있다. 본 연구에서는, 최종 고순도 재료를 얻기까지 합성 및 정제에 많은 공정과 시간이 요구되는 고가의 단층벽 나노튜브(SWNT)를 이용하지 않고, 웨이퍼 기판 위에 수직배향 합성한 상태의 다층벽 나노튜브(MWNT)를 별도의 정제과정 없이 초음파 분산한 뒤, 스프레이 코팅법을 이용하여 고분자 기판 위에 투명전도막을 제작하였고, 이때 각기 다른 길이의 수직배향 MWNT를 이용하여 유연성 투명전도막의 전기적 특성에 미치는 MWNT 길이의 영향에 대해 알아보았다. MWNT는 아세틸렌가스를 이용하여 열CVD법으로 합성하였고, 합성시간을 제어함으로써 길이가 다른 MWNT를 얻을 수 있었다. 투명전도막 제조공정의 단순화를 위하여 이용한 MWNT의 초음파 분산 결과,
$500{\mu}m$ 이하 길이의 MWNT에서 분산성이 현저히 빨라지는 것을 확인하였다. 한편, 제작한 MWNT 기반의 유연성 투명전도막은 원자간힘현미경 및 면저항 측정기를 이용하여 막 두께에 따른 면저항 특성을 조사하였다. 그 결과 응용 가능한 면저항을 갖는 MWNT 투명전도막의 두께는 최소 50 nm 이상이어야 함을 알았고, 특히 MWNT등의 접촉점(node) 수에 따른 접촉저항 및 전기전도경로(electric conductivity path)를 고려했을 때 최적의 MWNT 길이가 존재하는 것을 확인하였다. -
단일벽 탄소나노튜브(SWNTs)는 직경 및 키랄(chiral)특성에 따라 반도체성 튜브와 금속성 튜브로 구분되며, 작은 직경의 SWNTs는 큰 직경의 튜브에 비하여 일반적으로 기계적 특성이 뛰어나다고 알려져 있다. 따라서, 합성하는 단계에서 SWNTs의 직경 및 chiral 특성의 제어가 가능 하게 된다면 전자소자로의 응용을 한층 앞당길 수 있을 것으로 예상하고 있다. 이와 더불어 SWNTs의 수평배향성장은 SWNTs의 집적(integration)을 용이하게 할 수 있기 때문에 향후 나노전자소자 개발을 목표로 최근 많은 연구결과들이 보고되고 있다. 하지만 현재는 SWNTs가 고밀도로 합성되기 때문에, 우수한 개별 (individual) SWNT의 전기적 특성보다는 집단적(ensemble) 특성을 얻고 있다. 따라서, 합성기판 위에서 개별적인 SWNT를 낮은 밀도로 수평배향 성장하는 일은 향후 나노튜브기반의 고성능 전자소자 개발에 중요한 과제이다. 나아가, 수평배향 성장 된 개별 SWNT의 직경 및 키랄 특성까지 함께 제어할 수 있다면 곧바로 응용에 적용할 수 있는 획기적인 기술이 될 것이다. 본 연구에서는, SWNTs의 수평배향도 및 직경을 제어하여 성장시키는 것을 목표로 하였다. 합성기판은 퀄츠를 이용하였고, 합성촉매로는 나노입자의 밀도를 비교적 쉽게 제어할 수 있고, 균일한 크기를 갖는 페리틴 단백질을 이용하였다. 단분산(monodispersion) 된 촉매 나노입자를 얻기 위해서 스핀코팅 조건과 페리틴 용액농도를 조절하여 퀄츠기판 위에 분산시킨 후, 아르곤 분위기 하에 열처리를 통하여 촉매 나노입자의 크기 감소를 유도하였다. 그 결과 열처리 시간이 증가함에 따라 촉매 나노입자의 크기가 감소하는 것을 알 수 있었고, SWNTs의 직경 또한 감소하는 것을 확인하였다. 또한 퀄츠기판 위에 직경제어 합성 된 수평배향 SWNTs를 다른 기판으로 전사하는 기술을 확립함으로써, 향후 SWNTs기반의 소자 제작기술의 바탕을 마련하였다.
-
Graphene, a single atomic layer of sp2-bonded carbon, shows substantial potential for various applications. Chemical manipulation of its electronic properties will be of great importance. In this study, we have investigated interaction between graphene and organic molecular layer of tetrafluorotetracyanoquinodimethane (F4-TCNQ), a strong electron acceptor. F4-TCNQ films of varying thickness were evaporated onto graphene mechanically exfoliated on SiO2/Si substrates. F4-TCNQ molecules increase the frequencies of Raman G and 2D bands of graphene while decreasing the linewidth of G band and 2D/G intensity ratio, which is consistent with increase of hole density in graphene. These results exemplify the possibility of chemical tuning of electronic properties of graphene.
-
Graphene has many fascinating material properties such as high electron mobility, high optical transparency, excellent thermal conductivity, superior Young's modulus, etc. Several studies have recently found that single-layer graphene is chemically more reactive than few-layer graphene when supported on silicon dioxide substrates with sub-nm roughness. In this study, we have investigated the influence of substrates on chemical reactivity of graphene. Morphology and thermal oxidation behavior of graphene on atomically flat mica substrates were studied by atomic force microscopy (AFM) and Raman spectroscopy compared to graphene on SiO2/Si substrates. Notably, oxidation of single-layer graphene proceeds more slowly on mica than SiO2/Si. Detailed analysis led to a conclusion that deformation along the out-of-plane direction enhances reactivity of graphene.
-
그래핀은 탄소원자로 구성된 2차원의 나노재료로서 우수한 기계적, 전기적, 광학적 특성을 지닌다. 이러한 특성들을 기반으로 그래핀은 디스플레이, 터치스크린, 전 자기 차폐재 등의 다양한 분야로의 응용이 가능하다고 예측되고 있다. 한편 이러한 특성은 그래핀의 구조 및 결함, 불순물 등에 의하여 변화한다고 알려져 있으며, 이러한 특성의 변화를 통해 전자소자로의 응용도 가능 하다고 예측되고 있다. 따라서 그래핀의 구조를 제어하고 적절한 결함 및 불순물을 부여하는 것은 그래핀의 기초물성 연구 뿐 아니라 응용연구 에 있어서도 매우 중요하다고 할 수 있다. 본 연구에서는 공기 플라즈마를 이용하여 그래핀의 구조변형을 도모하였다. 그래핀은 열화학 기상증착법 (thermal chemical vapor deposition; TCVD)을 이용하여 300 nm 두께의 니켈박막이 증착된 기판위에 합성하였다. 합성된 그래핀은 산화처리 시 기판의 영향을 배제하고자 트렌치(trench) 구조의 산화막 실리콘 기판위로 전사함으로서 공중에 떠 있는 (air suspended) 구조를 구현하였다. 산화처리를 위한 장치는 직류 플라즈마 장치를 이용하였으며 0.1 Torr의 압력에서 0.4W의 파워로 공기 플라즈마를 방전하여 5분간의 산화처리와 특성평가를 매회 반복함으로서 처리시간에 따른 산화처리의 영향을 관찰하였다. 그 결과 공기 플라즈마 산화처리를 통해 그래핀에 결함을 부여하고 그래핀의 구조변형이 가능함을 확인하였다. 그래핀의 특성분석을 위해서는 광학현미경, 라만 분광기, 원자간힘현미경 등을 이용하였다.
-
최근의 나노기술의 발전과 함께 나노미터크기의 물질들의 물성과 미세구조 등을 분석하기 위한 노력들이 활발히 이루어지고 있다. 투과전자현미경(transmission electron microscope; TEM)은 나노물질의 미세구조 관찰, 화학성분 분석, 전자기적 특성평가가 가능한 초정밀 분석장비이다. TEM 관찰을 위한 시편의 제작방법중 TEM 그리드(grid)를 사용하는 방법은, 분석하고자 하는 물질을 망(mesh) 형태의 그리드에 도포하여 샘플을 준비하는 방법으로 다른 방법에 비해 아주 빠르고 간편한 장점이 있다. 그러나 TEM 그리드에 나노물질을 분산/도포하여 공중에 떠있는 형태로 샘플을 제작하려면, 나노물질이 mesh 사이로 빠져나오지 않도록 그리드 mesh의 간격이 아주 미세하여야 하는데, 일반적으로 mesh의 크기가 미세할수록 그리드의 가격은 높아진다. 또한 기존에 사용되고 있는 비정질 탄소박막으로 덮여진 그리드는 극미세 크기의 나노물질 및 탄소나노물질을 분석할 경우, 고해상도의 TEM상을 얻는데 한계가 있다. 한편 그래핀은 2차원의 육각판상의 구조로 탄소원자가 빼곡히 채워진 흑연 한 층의 나노재료이다. 이는 원자단위 두께로 가장 얇은 물질로서 기계적 강도가 우수하여 지지막으로의 응용이 가능하다고 알려져 있다. 따라서 TEM grid막으로 사용할 경우 기존의 고가의 미세한 mesh가 형성된 그리드를 사용하지 않아도 나노물질을 효과적으로 분석할 수 있을 것으로 예상 된다. 본 연구에서는 열화학증기증착법(thermal chemical vapor deposition; TCVD)을 이용하여 300 nm 두께의 니켈박막이 증착된 기판위에 대면적으로 합성한 그래핀을 TEM 관찰용 그리드 위에 전사(transfer)함으로써 나노물질이 그리드 mesh사이로 빠져나오지 않는 저가의 TEM 그리드 제작 방법 및 응용 가능성에 대하여 보고한다.
-
그래핀은 탄소원자로 구성된 원자단위 두께의 매우 얇은 2차원의 나노재료로서 높은 투광도 뿐만 아니라 우수한 기계적, 전기적 특성을 지니며 구조적 화학적 으로도 매우 안정한 것으로 알려져 있다. 이러한 그래핀을 얻는 방법에는 물리·화학적 박리법, 탄화규소의 흑연화, 열화학기 상증착법(thermal chemical vapor deposition; TCVD)등 많은 방법들이 존재한다. 이중 TCVD방법이 대면적으로 두께균일도가 높은 그래핀을 얻는데 가장 적합한 방법으로 알려져 있다. 한편 그래핀은 우수한 특성들을 기반으로 센서나 메모리와 같은 기능성 소자로 응용이 가능할 뿐 아니라 투명고분자 기판으로 전사함으로서 유연성 투명전극을 제작 가능하여 기존의 인듐산화물(indium tin oxide; ITO) 투명전극을 대체하여 디스플레이, 터치스크린, 전·자기 차폐재 등의 다양한 분야로의 응용이 가능하다고 예측되고 있다. 본 연구에서는 TCVD법을 이용하여 대면적으로 두께균일도가 높은 그래핀을 합성하여 투명 고분자 기판(polyethylene terephthalate; PET) 위에 전사하여 투명전도막을 제작한 후, 압축변형률(compressive strain)의 변화에 따른 전기적 특성 변화를 측정하였다. 그래핀은 300 nm 두께의 니켈박막이 증착된 산화물 실리콘 기판위에 원료가스로 메탄(CH4)을 사용하여 합성하였다. 합성 결과 단층 그래핀의 면적은 약 80% 이상이었으며, 합성된 그래핀은 분석의 용이함 및 향후 다양한 응용을 위하여 식각공정을 통해 산화막 실리콘 기판과 PET기판으로 전사하였다. PET기판 위로 전사하여 제작한 그래핀 투명전도막의 strain 인가에 따른 전기적 특성을 관찰한 결과, 약 20%의 비교적 높은 strain하에서도 전기적특성이 크게 변화하지 않는 것을 확인하였다. 그래핀의 특성분석을 위해서는 광학현미경, 라만 분광기, 투과전자현미경, 자외 및 가시선 분광광도계, 4탐침측정기 등을 이용하였다.
-
집속이온빔장치(Foucused Ion Beam)에서 사용하는 액체금속이온원(Liquid Metal Ion Source)은 고 전류밀도, 고 휘도, 낮은 에너지퍼짐 등 많은 장점이 있다. 대부분의 집속이온빔 장치에서 플라즈마 이온소스에 비해 빔의 직경이 작고 GFIS 보다 다루기 쉬워 액체금속이온원을 많이 사용하고 있다. 기존에 사용하던 액체금속이온원에 서프레셔라는 새로운 전극을 추가시켜 팁과 갈륨저장소, 서프레셔, 추출극 구조로 만들었다. 이 연구를 위해 RPA(Retarding Potential Analyser)를 제작 하였다. RPA는 두 개의 메쉬와 하나의 컬렉터로 이루어져 있으며, 액체금속이온과 플로팅 되어있는 RPA에 전압의 차이를 주기위해 베터리로 제작한 파워로 액체금속이온에 인가되는 전압에 + 90V, -90V까지 제어가 가능하게 만들었다. 본 연구에서는 서프레셔의 유무에 따른 액체금속이온원의 에너지 퍼짐에 대해 연구하였다. 추출극에 전압 변화를 주어 방출되는 전류를 5uA, 10uA, 15uA, 20uA로 변화시켜가며 RPA에서 측정되는 전류를 가지고 전류-전압의 관계를 보았고, 에너지 퍼짐정도를 알았다. 마찬가지로 서프레셔에 전압 변화를 주어 전류-전압 관계, 에너지 퍼짐정도를 알았다.
-
We report a method to fabricate a large-area metal nanowire-grid polarizer. Liquid-bridge-mediated nanotransfer molding (LB-nTM) is based on the direct transfer of metal nanowires from a mold to a transparent substrate via liquid layer. A metal particle solution is used as an ink in the LB-nTM, which can be used for the formation of metal nanowires. The nanowires have higher depth are preferred for high transmittance. The height of nanowires that we made is about 140 nm. Large-area WGP is fabricated with good average transmittance of 74.89% in our measuring range.
-
Han, Song-Yi;Park, Sung-Hwak;Kim, Sung-Hyun;Kim, Sun-Min;Han, Joung-Hoon;Bae, Joon-Ho;Lee, Churl-Seung 465
In recent years, electrochemical supercapacitors have attracted much attention due to their high power density, long life cycles, and high efficiency. Some supercapacitors using CNTs have been reported, but there are several issues to be resolved for further development of CNT based supercapacitors. One issue is time consuming procedures to prepare CNT films, which may provide poor control of CNT uniformity over the large area of the substrates. Another is new electrolytes replacing the conventional liquid electrolytes in supercapacitors. In this work, We have successfully demonstrated that spray deposition method of multiwalled CNT films using gel electroytes could be promising for CNT-based supercapacitors on ITO substrates. Specific capacitances using gel electrolyte reached up to 1.5 F/g and 9 mF/$cm^2$ , and internal resistance was 28${\Omega}$ . Specific capacitances and internal resistance of supercapacitors with gel electrolyte were better than or comparable to those with liquid electrolytes($KNO_3$ ,$Na_2SO_4$ ), indicating that gel electrolytes could replace liquid counterparts in CNT-based supercapacitors. Combined with gel electrolyte, spray deposition method could provide low cost and easily scalable process for high performance supercapacitors using CNT films on ITO for applications in display devices. -
There have been several methods to fabricate carbon nanotube (CNT) emitters, which include as-grown, spraying, screen-printing, electrophoresis and bonding methods. Unfortunately, these techniques generally suffer from two main problems. One is a weak mechanical adhesion between CNTs and the cathode. The as-grown, spraying and electrophoresis methods show a weak mechanical adhesion between CNTs and the cathodes, which induces CNT emitters pulled out under a high electric field. The other is a severe degradation of the CNT tip due to organic binders used in the fabrication process. The screen-printing method which is widely used to fabricate CNT emitters generally shows a critical degradation of CNT emitters caused by the organic binder. Such kinds of problems induce a short lifetime of the CNT field emitters which may limit their practical applications. Therefore, a robust CNT emitter which has the strong mechanical adhesion and no degradation is still a great challenge. Here, we introduce a simple and effective technique for fabrication of CNT field emitter, namely filtration-taping-transfer method. The CNT emitters fabricated by the filtration-taping-transfer method show the low turn-on electric fields, the high emission current, good uniformity and good stability. The enhanced emission performance of the CNT emitters is mainly attributed to high emission sites on the emitter area, and to good ohmic contact and strong mechanical adhesion between the emitters and cathodes. The CNT emitters using a simple and effective fabrication method can be applied for various field emission applications such as field emission displays, lamps, e-beam sources, and x-ray sources. The detail fabrication process will be covered at the poster.
-
Reflecting the growing importance of nanomaterials in science and technology, controlling the porosity combined with well-defined structural properties has been an ever-demanding pursuit in the related fields of frontier researches. A number of reports have focused on the synthesis of various nanoporous materials so far and, recently, the nanomaterials with multimodal porosity are getting an emerging importance due to their improved material properties compared with the mono porous materials. However, most of those materials are obtained in bulk phases while the spherical nanoparticles are one of the most practical platforms in a great number of applications. Here, we report on the synthesis of the core-shell silica nanoparticles with double mesoporous shells (DMSs). The DMS nsnoparticles are spherical and monodispersive and have two different mesoporous shells, i.e., the bimodal porosity. It is the first example of the core-shell silica nanoparticles with the different mesopores coexisting in the individual nanoparticles. Furthermore, the carbon and silica hollow capsules were also fabricated via a serial replication process.
-
Carbon nanotubes (CNTs) have been considered as one of the promising candidate for next-generation field emitters because of their unique properties, such as high field enhancement factor, good mechanical strength, and excellent chemical stability. So far, a lot of researchers have been interested in field emission properties of CNT itself. However, it is necessary to study proper field emitter shapes, as well as the fundamental properties of CNTs, to apply CNTs to real devices. For example, specific applications, such as x-ray sources, e-beam sources, and microwave amplifiers, need to get a focused electron beam from the field emitters. If we use planar-typed CNT emitters, it will need several focal lenses to reduce a size of electron beam. On the other hand, the point-typed CNT emitters can be an effective way to get a focused electron beam using a simple technique. Here, we introduce a fabrication of CNT fibers with nanoscale point tips which can be used as a point-typed emitter. The emitter made by the CNT fibers showed very low turn-on electric field, high current density, and large enhancement factor. In addition, it showed stable emission current during long operation period. The high performance of CNT point emitter indicated the potential e-beam source candidate for the applications requiring small electron beam size.
-
고효율 염료감응형 태양전지(DSSC, Dye-Sensitized Solar Cell)의 구현을 위해서 유용한 방법중 하나는 정렬된 기공 (pore)을
$TiO_2$ 막 내에 형성시키는 것이다. 메조포러스 (mesoporous)$TiO_2$ 막은 dip coating이나 spin coating과 같은 방법으로 주로 증착되고 있으며, P123이나 F127과 같은 amphiphilic triblock copolymer를 메조포러스 구조를 만들기 위한 뼈대로 사용하고 있다. 또한, 이렇게 생성된 구조에서 amphiphilic triblock copolymer는 열처리 공정을 통하여 쉽게 제거될 수 있다. 고효율 태양전지를 구현하는 또 다른 방법으로는 패턴 된 기판을 사용하는 것이다. 패턴 된 기판은 빛의 반사를 억제하여 흡수율을 높이는 역할을 한다. 그러나 패턴 된 기판 위에서 메조포러스$TiO_2$ 막의 형성에 관한 연구는 부족한 실정이다. 본 연구에서는 spin coating 방법으로 패턴 된 Si (111) 기판 위에 메조포러스$TiO_2$ 를 성장하고 그 미세구조를 분석하였다. 패턴 된 기판은 nanosphere lithography(NSL) 법으로 mask를 증착한 후 건식 식각 (dry etching) 공정을 통해서 제작되었으며, 마스크와 불순물 등 은 초음파 세척 등으로 제거되었다. 메조포러스$TiO_2$ 막은 1-propanol, P123, titanium isopropoxide와 HCl을 섞어 만든 용액으로 1 cm${\times}$ 1 cm 기판 위에 3000 rpm과 4000 rpm으로 각각 증착하였으며, 5일 동안 4도에서 에이징한 후 350도에서 3시간 열처리하였다. 이렇게 형성한 메조포러스 막의 형상과 미세구조적 특성이 주사전자현미경(SEM, scanning electron microscope), X-선 회절(XRD, X-ray diffraction) 등을 이용하여 연구되었다. 특히, 증착 조건에 따른 메조포러스$TiO_2$ 박막의 형성 기구에 관한 고찰이 진행되었다. 나아가,$TiO_2$ 박막과 패턴 사이에 형성되는 계면 구조에 관한 연구를 투과전자현미경을 이용하여 진행하였다. -
반도체 집적회로의 고집적화 및 고성능화를 위한 기본 소자(MOSFET)의 미세화 및 단위공정의 물리적 한계를 극복하기 위한 다양한 연구가 진행되고 있다. 그 중 다양한 나노입자를 이용한 나노소자 제작 연구가 활발하게 이루어지고 있다. 하지만 이러한 나노입자를 이용한 나노소자의 제작에 있어서 원하는 위치의 나노입자의 배열과 정렬의 어려움을 겪고 있다. 이를 위해서 본 연구에서는 자기조립특성을 가지는 DNA 분자와 CdSe/ZnS 나노입자들의 표면 기능화를 통해서 상호 결합시키는 실험을 하였다. DNA 분자를 형틀로 이용하여 CdSe/ZnS 나노입자를 선택적 배열하고 전자 소자화하기 위해서는 CdSe/ZnS 나노입자의 표면 기능화가 필수적이다. 이를 위하여 무극성인 CdSe/ZnS 나노입자들과 DNA 분자의 phosphate backbone의 음전하와의 경합 특성을 향상시키기 위하여 이들 나노입자의 표면을 양전하로 치환하는 실험을 수행하였다. Core 나노입자인 CdSe 나노입자를 제작한 다음에 CdSe 보다 높은 band gap을 가지고 lattice mismatch가 적은 ZnS 로 shell 층을 형성하는 2-step 방법을 이용하여 합성한 CdSe/ZnS 나노입자를 무극성 용매인 chloroform 용액 0.5 ml에 분산시키고 DMAET 0.3 ml 와 Methanol 0.1 mg/ml를 이용하여 리간드들을 바꿔주고 과잉된 리간드인 DMAET를 제거하기 위해 Methanol로 3차례 세척한 다음 증류수에 용해시키는 실험을 하였다. 나노입자 기능화 과정 이후 기능화 여부를 판단하기 위하여 FT-IR spectroscopy 와 zeta potential 측정을 통하여 나노입자 표면의 변화와 전위를 측정하였다.
-
1차원 나노 와이어는 나노 디바이스를 구현하는데 있어 중요한 요소로 연구되고 있다. 하지만 나노 와이어를 바람직한 위치에 선택적으로 배열하는 부분은 해결할 과제로 남아있다. DNA 분자가 가지고 있는 음의 전하를 띄는 phosphate backbone과 자기조립 특성은 이러한 문제점들을 해결할 수 있는 중요한 요소이다. 본 연구에서는 DNA 분자 형틀을 이용해서 CdSe/ZnS core-shell 나노입자의 pH 의 변화에 따른 표면 전위 변화를 이용하여 선택적 위치의 나노입자 배열을 통한 나노 와이어를 제작하는 연구를 하였다. 1-step 방법을 이용하여 합성한 CdSe/ZnS core-shell 나노입자를 무극성 용매인 chloroform 용액에 분산시키고 dimethylaminoethanethiol (DMAET) 를 이용하여 표면을 양전하로 치환하였다. 그리고 치환한 CdSe/ZnS 나노입자 용액에 HCl 을 이용해서 pH 7, 6, 5, 4로 변화를 주어 zeta potential 변화를 측정하였고 3-aminopropyltriethoxysilane (APTES) 코팅된 Si 기판에
${\lambda}$ -DNA를 정렬하고 이를 형틀로 이용하여 CdSe/ZnS 나노입자를 정렬하는 실험을 하였고 FE-SEM 을 이용하여 측정하였다. 그 결과 CdSe/ZnS 나노입자의 pH 값이 작아지면서 전위가 커짐에 따라서 APTES 코팅된 기판 표면에 나노입자들이 반응하는 것보다 음전하를 띄는${\lambda}$ -DNA의 phosphate backbone에 반응하는 것이 커짐에 따라 DNA 분자 형틀에 선택적으로 나노입자가 배열되는 것을 확인하였다. -
The capacitive coupled plasma (CCP) has been extensively used in the semiconductor industry because it has not only good uniformity, but also low electron temperature. But CCP source has some problems, such as difficulty in varying the ion bombardment energy separately, low plasma density, and high processing pressure, etc. In this reason, dual frequency CCP has been investigated with a separate substrate biasing to control the plasma parameters and to obtain high etch rate with high etch selectivity. Especially, in this study, we studied on the etching of
$SiO_2$ by using the pulse-time modulation in the dual-frequency CCP source composed of 60 MHz/ 2 MHz rf power. By using the combination of high /low rf powers, the differences in the gas dissociation, plasma density, and etch characteristics were investigated. Also, as the size of the semiconductor device is decreased to nano-scale, the etching of contact hole which has nano-scale higher aspect ratio is required. For the nano-scale contact hole etching by using continuous plasma, several etch problems such as bowing, sidewall taper, twist, mask faceting, erosion, distortions etc. occurs. To resolve these problems, etching in low process pressure, more sidewall passivation by using fluorocarbon-based plasma with high carbon ratio, low temperature processing, charge effect breaking, power modulation are needed. Therefore, in this study, to resolve these problems, we used the pulse-time modulated dual-frequency CCP system. Pulse plasma is generated by periodical turning the RF power On and Off state. We measured the etch rate, etch selectivity and etch profile by using a step profilometer and SEM. Also the X-ray photoelectron spectroscopic analysis on the surfaces etched by different duty ratio conditions correlate with the results above. -
In recent years, organic thin film transistors OTFTs based on conductive-conjugated molecules have received significant attention. We report a fabrication of organic single crystal nanowires that made on Si substrates by liquid bridge-mediated nanotransfer molding (LB-nTM) with polyurethane acrylate (PUA) mold. LB-nTM is based on the direct transfer of various materials from a stamp to a substrate via a liquid bridge between them. In liquid bridge-transfer process, the liquid layer serves as an adhesion layer to provide good conformal contact and form covalent bonding between the organic single crystal nanowire and the Si substrate. Pentacene is the most promising organic semiconductors. However pentacene has insolubility in organic solvents so pentacene OTFTs can be achieved with vacuum evaporation system. However 6, 13-bis (triisopropylsilylethynyl) (TIPS) pentacene has high solubility in organic solvent that reported by Anthony et al. Furthermore, the substituted rings in TIPS-pentacene interrupt the herringbone packing, which leads to cofacial
${\pi}-{\pi}$ stacking. The patterned TIPS-Pentacene single crystal nanowires have been investigated by Atomic force microscopy (AFM), Transmission Electron Microscopy (TEM), X-Ray Diffraction (XRD), Scanning Electron Microscopy (SEM) and electrical properties. -
Graphene is considered as a potential candidate for the key material in the ideal 2D nanoelectronics. Recently, it is reported that graphene has an interesting sensitivity to molecular adsorption on it. Such properties are believed to be enhanced by the existence of disorders and ripples inside graphene as well as by the interaction with the substrate underneath. Here, we report the effect of introducing structural disorders to the graphene on its electrical properties such as conductance, transconductance, low frequency noise, which can be successfully described by a simple model of the continuum percolation. In addition, the response of the graphene device to gaseous molecular adsorption was systematically investigated and the results were discussed along with the change in Raman spectra.
-
현재 수열합성법으로 이용하여 1차원으로 수직 성장한 ZnO 나노와이어는 밴드 갭이 3.37ev로 큰 밴드 갭을 갖는 물질이며 밀도 조절이 매우 어려운 것으로 알려져 있다. ZnO 나노와이어는 기존의 리소그래피 기반을 둔 Top-Down 방식과 달리 자발적인 형성과정으로 높은 결정성을 가지게 되는데, 이는 ZnO 나노와이어가 큰 종횡비 와 전자친화도를 가지고 있어 높은 전계방출 효과를 기대하게 되는 부분이다. 본 연구에서는 실버를 열처리하여 형성된 실버 나노파티클을 마스킹층으로 사용하여 ZnO 나노와어의 밀도 조절을 하고자 하였다. 실버막을 AZO seed layer 기판 위에 증착한뒤
$200{\sim}600^{\circ}C$ 까지 열처리 후 수열합성법을 이용하여 ZnO nanowire를 성장하였다. 또한 전구체인 ZN(NO3)2${\cdot}$ 6H2O 와 HMT 에 각각 Ammonium chloride와 PEI를 첨가하였고, PEI 의 몰농도를 변화하여 성장된 ZnO 나노와이어의 구조적, 광학적 특성을 평가함으로서 전자소자 적용 가능성을 확인하였다. -
Introduction of CNTs into a metal matrix has been considered to improve the mechanical properties of the metal matrix. However, the binding energy between metals and pristine CNTs wall is known to be so small that the interfacial slip between CNTs and the matrix occurs at a relatively low external stress. The interfacial strength between CNT and metal matrix is thus one of the key factors for successful development of the CNT/metal composites. Defective or functionalized CNT has been considered to enhance the interfacial strength of nanocomposites. In the present work, we design the various realistic hybrid structures of the single wall CNT/Cu complexes and characterize the interaction between single wall CNTs and Cu nano-particle and Cu13 cluster using first principle calculations. The characteristics of functionalized CNTs with various surface functional groups, such as -COOH, -OH, and -O interacting with Cu are investigated. We found that the binding energy can be enhanced by the surface functional group including oxygen since the oxygen atom can mediate and reinforce the interaction between carbon and Cu. These results strongly support the recent experimental work which suggested the oxygen on the interface playing an important role in the excellent mechanical properties of the CNT/Cu composite.
-
Lee, Jin-Kyung;Jung, Un-Seok;Kim, Hak-Seong;Yun, Ho-Yeo;Seo, Mi-Ri;Jonathan, Ho;Choi, Mi-Ri;Wan, Jae;Kim, Gyu-Tae;Lee, Sang-Wook 477
In this paper, we synthesize ZnO wire on Si substrate by catalyst-free thermal chemical vapor deposition (CVD). Each ZnO wire is grew up at different condition such as temperature and O2 flow rate. The Young's modulus of individual ZnO wires were estimated using quasi-static and dynamic measurements, as well as resonance frequency measurements. Using this system, current-voltage characteristics of each ZnO wire structure fabricated on a trench were measured. A new concept of electromechanical device structure combined with the piezoelectric effect of ZnO will be suggested in the end of this paper. -
The thermomechanical and surface chemical properties of nanocomposite of poly( D, L-actic-co-glycolic acid) (PLGA) were improved significant due to concentration of graphene oxide (GO) nanosheets as nanoscale fillers to PLGA film. Thermomechanical properties of the PLGA/GO (2wt.-%.) nanocomposite were decreased crystallization and melting temperature, weight loss. The storage and loss moduli of the nanocomposite were enhanced by chemical bonding between the oxygenated functional groups of the GO nanosheets and the polymer chains in the PLGA matrix. Enhanced hydrophilicity of nanocomposite caused by embedded GO nanosheets also improved for good biocompatibility. Our findings indicate that thermomechanical properties and biocompatibility of nanocomposite embedded with GO nanosheets are attractive candidates for use in biomedical applications such as scaffolds.
-
Flexible inverters based on complementary thin-film transistor (CTFTs) are important because they have low power consumption and other advantages over single type TFT inverters. In addition, integrated CTFTs in flexible electronic circuits on low-cost, large area and mechanically flexible substrates have potentials in various applications such as radio-frequency identification tags (RFIDs), sensors, and backplanes for flexible displays. In this work, we introduce flexible complementary inverters using pentacene and amorphous indium gallium zinc oxide (IGZO) for the p-channel and n-channel, respectively. The CTFTs were fabricated on polyimide (PI) substrate. Firstly, a thin poly-4-vinyl phenol (PVP) layer was spin coated on PI substrate to make a smooth surface with rms surface roughness of 0.3 nm, which was required to grow high quality IGZO layers. Then, Ni gate electrode was deposited on the PVP layer by e-beam evaporator. 400-nm-thick PVP and 20-nm-thick ALD Al2O3 dielectric was deposited in sequence as a double gate dielectric layer for high flexibility and low leakage current. Then, IGZO and pentacene semiconductor layers were deposited by rf sputter and thermal evaporator, respectively, using shadow masks. Finally, Al and Au source/drain electrodes of 70 nm were respectively deposited on each semiconductor layer using shadow masks by thermal evaporator. Basic electrical characteristics of individual transistors and the whole CTFTs were measured by a semiconductor parameter analyzer (HP4145B, Agilent Technologies) at room temperature in the dark. Performance of those devices then was measured under static and dynamic mechanical deformation. Effects of cyclic bending were also examined. The voltage transfer characteristics (Vout- Vin) and voltage gain (-dVout/dVin) of flexible inverter circuit were analyzed and the effects of mechanical bending will be discussed in detail.
-
양극산화(anodization)는 금속을 전기화학적으로 산화시켜 금속산화물로 만드는 기술로서 최근 다양한 크기의 나노 구조를 제조하는 기술로 각광받고 있으며, 이러한 기술에 의하여 얻어지는 anodic aluminum oxide(AAO)는 magnetic data storage, optoelectronic device, sensor에 적용될 수 있는 nano device 뿐만 아니라 nanostructure를 제조하기 위한 template 및 mask로써 최근 광범위 하게 연구되고 있다. 또한, AAO는 Al2O3의 단단한 구조를 가진 무기재료이므로 solid mask로써 다른 porous materials 보다 뛰어난 특성을 갖고 있다. 또한 electron-beam lithography 및 block co-polymer 에 의한 patterning 과 비교하여 매우 경제적이며, 재현성이 우수할 뿐만 아니라 대면적에서 나노 구조의 크기 및 형상제어가 비교적 쉽기 때문에 널리 사용되고 있다. 그러나, AAO 형성 시 생기게 되는 반구형 모양의 barrier layer는 물질(substance)과 기판과의 direct physical and electrical contact을 방해하기 때문에 해결해야 할 가장 큰 문제점 중 하나로 알려져 있다. 따라서 본 연구에서는 실리콘 기판위의 형성된 AAO의 barrier layer를 Cl/BCl3 gas mixture에서 Neutral Beam Etching (NBE)과 Ion Beam Etching (IBE) 로 각각 식각한 후 그 결과와 비교하였다. NBE와 IBE 모두 Cl2/BCl3 gas mixture에서 BCl3 gas의 첨가량이 60% 일 경우 etch rate이 가장 높게 나타났고, optical emission spectroscopy (OES)로 Cl2/BCl3 플라즈마 내의 Cl radical density와 X-ray photoelectron spectroscopy (XPS)로 AAO 표면 위를 관찰한 결과 휘발성 BOxCly의 형성이 AAO 식각에 크게 관여함을 확인 할 수 있었다. 또한, NBE와 IBE 실험한 다양한 Cl2/BCl3 gas mixture ratio 에서 AAO가 식각이 되지만, 이온빔의 경우 나노사이즈의 AAO pore의 charging에 의해 pore 아래쪽의 위치한 barrier layer를 어떤 식각조건에서도 제거하지 못하였다. 하지만, NBE에서는 BCl3-rich Cl2/BCl3 gas mixture인 식각조건에서 AAO pore에 휘발성 BOxCly를 형성하면서 barrier layer를 제거할 수 있었다.
-
Byeon, Sang-Eon;Lee, Gyeong-Il;Kim, Seon-Min;Lee, Cheol-Seung;Kim, Seong-Hyeon;Lee, Hyeon-Ju;Lee, Jae-Hyeok;Im, Byeong-Jik;Jo, Jin-U;Seo, Dae-Sik 481
이 논문은 세라믹 노즐(내경: 20 um)을 제작하여 새로운 프린팅 방식인 정전기수력학방식을 이용하여 유리기판위에 직경 30 um의 ZnO seed dot를 패턴하였다. 정전기수력학은 기존의 프린팅 방식과 달리 전기장으로 유도된 노즐을 이용하여 액적을 토출시키는 새로운 프린팅 방법이다. 패턴된 ZnO seed는 열처리후 수열합성법을 이용하여 성장시켰다. 같은 방법으로 잉크젯 프린팅을 이용하여 ZnO seed 패턴 후 열처리하여 수열합성을 이용하여 성장시켰다. 잉크젯 프린팅 방식을 이용하여 성장된 ZnO nanowire는 위성 액적이 떨어져 ZnO seed dot 주변에 ZnO nanowire가 성장하였다. 반면, 정전기수력학 프린팅 방식을 이용하여 성장된 ZnO nanowires는 ZnO seed 패턴 중앙에 집중되어 ZnO nanowire가 성장하였다. -
Graphene is a good candidate for the future nano-electronic materials because it has excellent conductivity, mobility, transparency, flexibility and others. Until now, most graphene researches are focused on the nano electronic device applications, however, biological application of graphene has been relatively less reported. We have fabricated a deoxyribonucleic acid (DNA) conjugated graphene field-effect transistor (FET) and measured the electrical transport characteristics. We have used graphene sheets grown on Ni substrates by chemical vapour deposition. The Raman spectra of graphene sheets indicate high quality and only a few number of layers. The synthesized graphene is transferred on top of the substrate with pre-patterned electrodes by the floating-and-scooping method [1]. Then we applied adhesive tapes on the surface of the graphene to define graphene flakes of a few micron sizes near the electrodes. The current-voltage characteristic of the graphene layer before stripping shows linear zero gate bias conductance and no gate operation. After stripping, the zero gate bias conductance of the device is reduced and clear gate operation is observed. The change of FET characteristics before and after stripping is due to the formation of a micron size graphene flake. After combined with 30 base pairs single-stranded poly(dT) DNA molecules, the conductance and gate operation of the graphene flake FETs become slightly smaller than that of the pristine ones. It is considered that DNA is to be stably binding to the graphene layer due to the
${\pi}-{\pi}$ stacking interaction between nucleic bases and the surface of graphene. And this binding can modulate the electrical transport properties of graphene FETs. We also calculate the field-effect mobility of pristine and DNA conjugated graphene FET devices. -
반사방지(Anti-Reflection, AR) 특성은 태양전지, LED, 광검출기 등의 광전소자와 디스플레이의 효율과 투과도를 향상시키기 위해 적용되고 있다. 또한 최근에 네비게이션, 스마트폰의 보급 증가로 인해 소형 디스플레이에 지문방지와 동시에 반사방지 기능을 갖는 필름이 사용되고 있다. 현재 적용되고 있는 반사방지 필름은 다층박막 코팅으로 형성된 필름[1]으로 생산단가와 박막의 내구성 및 신뢰성에 문제점을 가지고 있다. 이런 문제점을 해결하기 위해 나노구조로 제작 되는 반사방지 필름에 관한 연구가 활발히 진행되고 있다[2]. 나노구조로 형성된 반사방지 구조는 moth-eye 구조라고 하며, 기본 원리는 원뿔 형태를 형성된 나노 구조를 통해 공기와 나노구조 사이의 유효 굴절률을 서서히 변화시켜 반사를 줄이는 것이다. 그러므로 moth-eye 나노구조는 파장 이하의 pitch와 파장 크기의 높이를 갖도록 구조가 제작되어야 한다[3]. Photo-lithography[4], e-beam lithography[5], interference lithography[6], dip-pen nanolithography[7], hybrid nano-patterning lithography[8] 등 여러 가지 방법으로 나노 구조를 제작하고 있으나, 네비게이션이나 스마트폰 등에 적용될 수 있는 대면적으로 제작하기 위해서는 roll-to-roll printing과 같은 대면적 공정을 이용하여 제작하는 것이 필요하다. 본 논문에서는 원통형 알루미늄 rod에 양극산화를 통해 다공성 AAO(anode aluminium oxide) template를 제작하고, roll-to-roll printing 기술을 사용하여 moth-eye 나노구조를 갖는 반사방지 필름을 제작하는 것에 대해 기술하였다.
-
금속산화물을 제조하는 방법으로 수열반응은 wire, rod, needle, lamella, flower등 다양한 형상을 화학적으로 합성하는데 널리 이용된다. 또한 금속산화물의 특성은 구조와 형상에 의존하고, 구조와 형상에 따라 촉매, 기능성 첨가제, 초전도체등에 다양한 분야로 사용되어진다. 본 연구는 수열방법으로 각 물질의 염화물과 암모니아수를 출발물질로 사용하였고, ionization 제어를 위해 염화암모늄을 사용하여 각 물질의 전구체를 합성하였다. 형성된 각 물질의 전구체는 열분해를 통해 산화물로 제조하였다. 이들 입자의 형상 및 특성을 확인하기 위해 SEM, XRD, FT-IR, Raman을 사용하여 확인하였다.
-
Recently graphene has emerged as a fascinating 2D system in condensed-matter physics as well as a new material for the development of nanotechnology. The unusual electronic band structure of graphene allows it to exhibit a strong ambipolar electric field effect with high mobility. These properties lead to the possibility of its application in high-performance transparent conducting films (TCFs). Compared to indium tin oxide (ITO) electrodes, which have a typical sheet resistance of
${\sim}60{\Omega}$ /sq and ~85 % transmittance in the visible range (400?900 nm), the CVD-grown graphene electrodes have a higher/flatter transmittance in the visible to IR region and are more robust under bending. Nevertheless, the lowest sheet resistance of the currently available CVD graphene electrodes is higher than that of ITO. Here, we report an ingenious strategy, irradiation of MeV electron beam (e-beam) at room temperature under ambient condition, for obtaining size-homogeneous gold nanoparticle decorated on graphene. The nano-particlization promoted by MeV e-beam irradiation was investigated by transmission electron microscopy, electron energy loss spectroscopy elemental mapping, and energy dispersive X-ray spectroscopy. These results clearly revealed that gold nanoparticle with 10 ~ 15 nm in mean size were decorated along the surface of the graphene after 1.5 MeV-e-beam irradiation. A chemical transformation and charge transfer for the metal gold nanoparticle were systematically explored by X-ray photoelectron spectroscopy and Raman spectroscopy. This approach advances the numerous applications of graphene films as transparent conducting electrodes. -
Im, Byeong-Jik;Lee, Gyeong-Il;Kim, Seong-Hyeon;Kim, Seon-Min;Lee, Cheol-Seung;Lee, Jae-Hyeok;Byeon, Sang-Eon;Jo, Jin-U;Kim, Dong-Hyeon;Hong, Yong-Taek 488
산화 아연(ZnO)나노와이어를 이용한 태양전지, 광소자 등 발전소자 개발이 진행되고 있고 차세대 트랜지스터, 다이오드, 센서에도 많이 이용될수 있다. 따라서 다양한 제품 응용에 필요한 나노 구조체 형상 제어를 위해 다각적인 노력이 제기되고 있다. 본 연구에서는 유리(EAGLE 2000)기판 위에 AZO 박막을 증착 한 후 포토리소그래피 공정을 이용하여 직경이 2 um 미만인 원형 패턴을 형성한 후 수열 합성법을 이용하여 지름 200 nm, 길이 3 um인 수직형 나노와이어를 성장하였다. 기존의 ZnO 나노와이어 합성 방법은 섭씨 500도 이상의 비교적 높은 온도조건을 요하기 때문에 포토리소그래피 공정을 이용하여 진행할 수 없었다. 그러나 비교적 낮은 온도인 100도 이하에서 수용액 상태에서 합성이 가능하게 됨에 따라 보편화 되어있는 포토리소그래피 공정과 손쉽고 저렴한 수열 합성법을 이용하여 필요한 부분만 미세하고 선택적으로 nano wire를 성장시킬 수 있었다. -
The effect of Si quantum dots for solar cell appications was investigated. The 5 ~ 10 nm Si nanoparticle was fabricated on p-type single and poly crystalline wafer by magnetron sputtering and laser irradiation process. Scanning electron microscopy (SEM), atomic force measurement (AFM) and transmission electron microscopy (TEM) images showed that the Si QDs array were clearly embedded in insulating layer (
$SiO_2$ ). Photoluminesence (PL) measurements reliably exhibited bandgap transitions with every size of Si QDs. The photo-current measurements were showed different result with size of QD and number of superlattice. -
Song, Woo-Seok;Kim, Yoo-Seok;Kim, Soo-Youn;Kim, Sung-Hwan;Jung, Dae-Sung;Jun, Woo-Sung;Jeon, Cheol-Ho;Park, Chong-Yun 490
Graphene, hexagonal network of carbon atoms forming a one-atom thick planar sheet, has been emerged as a fascinating material for future nanoelectronics. Huge attention has been captured by its extraordinary electronic properties, such as bipolar conductance, half integer quantum Hall effect at room temperature, ballistic transport over${\sim}0.4{\mu}m$ length and extremely high carrier mobility at room temperature. Several approaches have been developed to produce graphene, such as micromechanical cleavage of highly ordered pyrolytic graphite using adhesive tape, chemical reduction of exfoliated graphite oxide, epitaxial growth of graphene on SiC and single crystalline metal substrate, and chemical vapor deposition (CVD) synthesis. In particular, direct synthesis of graphene using metal catalytic substrate in CVD process provides a new way to large-scale production of graphene film for realization of graphene-based electronics. In this method, metal catalytic substrates including Ni and Cu have been used for CVD synthesis of graphene. There are two proposed mechanism of graphene synthesis: carbon diffusion and precipitation for graphene synthesized on Ni, and surface adsorption for graphene synthesized on Cu, namely, self-limiting growth mechanism, which can be divided by difference of carbon solubility of the metals. Here we present that large area, uniform, and layer controllable graphene synthesized on Cu catalytic substrate is achieved by acetylene-assisted CVD. The number of graphene layer can be simply controlled by adjusting acetylene injection time, verified by Raman spectroscopy. Structural features and full details of mechanism for the growth of layer controllable graphene on Cu were systematically explored by transmission electron microscopy, atomic force microscopy, and secondary ion mass spectroscopy. -
Transparent and flexible electronic devices that are light-weight, unbreakable, low power consumption, optically transparent, and mechanical flexible possibly have great potential in new applications of digital gadgets. Potential applications include transparent displays, heads-up display, sensor, and artificial skin. Recent reports on transparent and flexible field-effect transistors (tf-FETs) have focused on improving mechanical properties, optical transmittance, and performances. Most of tf-FET devices were fabricated with transparent oxide semiconductors which mechanical flexibility is limited. And, there have been no reports of transparent and flexible all-organic tf-FETs fabricated with organic semiconductor channel, gate dielectric, gate electrode, source/drain electrode, and encapsulation for sensor applications. We present the first demonstration of transparent, flexible all-organic sensor based on multifunctional organic FETs with organic semiconductor channel, gate dielectric, and electrodes having a capability of sensing infrared (IR) radiation and mechanical strain. The key component of our device design is to integrate the poly(vinylidene fluoride-triflouroethylene) (P(VDF-TrFE) co-polymer directly into transparent and flexible OFETs as a multi-functional dielectric layer, which has both piezoelectric and pyroelectric properties. The P(VDF-TrFE) co-polumer gate dielectric has a high sensitivity to the wavelength regime over 800 nm. In particular, wavelength variations of P(VDF-TrFE) molecules coincide with wavelength range of IR radiation from human body (7000 nm ~14000 nm) so that the devices are highly sensitive with IR radiation of human body. Devices were examined by measuring IR light response at different powers. After that, we continued to measure IR response under various bending radius. AC (alternating current) gate biasing method was used to separate the response of direct pyroelectric gate dielectric and other electrical parameters such as mobility, capacitance, and contact resistance. Experiment results demonstrate that the tf-OTFT with high sensitivity to IR radiation can be applied for IR sensors.
-
단일벽 탄소나노튜브(Single-Walled Carbon Nanotubes, SWCNTs)는 매우 우수한 전기적, 광전자적 특성을 가지고 있어 차세대 나노 전자소자 물질로 각광받고 있다. 특히, 이들의 전기적 특성은 직경과 카이랄리티(chirality)에 따라 금속성(metallic)과 반도체성(semiconducting)으로 구분된다. 각 특성에 따라 금속성은 투명전극, 반도체성은 전계효과 트랜지스터(CNT-FET)로 활용가능성이 높다. 하지만, 일반적으로 단일벽 탄소나노튜브는 이 두 가지의 특성이 혼재되어 합성되기 때문에, 그들의 선택적 분리는 나노튜브 기반 전자소자 응용을 위해 매우 중요한 과정 중 하나이다. 최근에는 반응 가스를 이용한 선택적 제거, 밀도차를 이용한 원심분리법(density gradient ultracentrifugation) 등 다양한 방법들이 보고된 바 있다. 본 연구는 대기 중에서 마이크로웨이브 조사하여 금속성 나노튜브만을 선택적으로 제거하였다. 마이크로웨이브 조사는 CVD 방법과 전기 방전법으로 성장된 단일벽 탄소나노튜브에 800W로 조사 시간을 변화하며 수행하였다. 실험 결과, 조사 시간이 증가할수록 두 종류의 나노튜브에서 반도체성 나노튜브는 남아있는 반면 금속성 나노튜브는 점차 제거되었다. 이러한 원인은 각 전기적 특성에 따른 유전상수 차이에 의하여 기인한 것이다. 전기적 특성과 결정성은 라만 분광법(Raman spectroscopy)을 통하여 분석하였으며, 직경 및 분산정도는 주사전자현미경(scanning electron microscope), 투과전자현미경(tunneling electron microscope)으로 관찰하였다.
-
수 MeV~수십 MeV 양성자빔을 이용해 백금, 은 등의 나노입자 제조실험을 수행하였다. 나노 입자는 의료분야와 산업 분야에서 그 응용성이 다양해 여러 지 방법을 이용한 제조기술이 개발되고 있다. 전자빔, 감마선, 양성자빔 등의 방사선을 이용한 나노입자 제조방법은 가장 널리 이용되고 있는 화학적 제조방법에 비해 비교적 공정이 단순하다는 장점을 가지고 있지만 공정 변수의 제어방법이 확립되어 있지 않아 이에 대한 연구가 필요한 실정이다. 특히, 양성자빔의 경우 에너지에 따른 투과깊이의 조절과 플럭스나 총 선량, LET (Linear Energy Transfer) 등의 변수와 제조된 나노입자의 상관관계 등에 대한 연구가 선행되어야 한다. 본 논문에서는 백금산 용액을 이용한 나노입자 제조 결과와 대면적 양성자빔을 이용하기 위한 초음파 이용 나노입자제조장치의 제작 및 실험결과에 대해 논하고 향후 건설될 100MeV 선형 양성자가속장치의 나노입자 제조실험에의 응용을 위한 이용시설을 소개하고자 한다. 나노입자 제조실험은 한국원자력의학원의 MC-50 싸이클로트론을 이용하여 수행하였으며, 가속기로부터 인출되는 에너지는 35, 45MeV, 빔전류는 수십 nA~수
${\mu}A$ 의 범위 내에서 조절하였다. 제조된 나노입자는 TEM을 이용하여 그 크기와 분포를 관찰하였다. 대면적의 양성자빔을 이용하는 경우, 수mm의 두께와 수십 cm의 직경을 가지는 원반 모양의 시료용기를 사용하여 양성자빔의 에너지와 선량을 정확히 조절할 수 있게 되는데 이 때 용기 내 시료와 양성자빔간의 균일한 반응을 위해 용액을 적절하게 섞어 주어야만 한다. 이러한 목적으로 초음파를 이용하여 나노입자 제조장치를 제작하여 실험을 수행하였다. 나노입자 제조는 현재 교과부의 지원으로 경주 건천지역에 건설되고 있는 100MeV 선형양성자가속기의 주요 이용 분야 중의 하나로 20MeV 빔라인 중 한 개의 빔라인과 표적실을 나노입자 제조 등의 실험을 위한 시설로 구축 중이다. 최대 평균전류 1.6mA 까지 가능하고 펄스폭은 0.05~1.33 msec까지 조절가능하도록 개발되고 있다. -
Jaworski, Justyn;Kim, Tae-Hyun;Yokoyama, Keisuke;Chung, Woo-Jae;Wang, Eddie;Lee, Byung-Yang;Hong, Seung-Hun;Majumdar, Arun;Lee, Seung-Wuk;Kwon, Ki-Young 495
Miniaturized sensors capable of both sensitive and selective real-time monitoring of target analytes are tremendously valuable for various applications ranging from hazard detection to medical diagnostics. The wide-spread use of such sensors is currently limited due to insufficient selectivity for target molecules. We developed selective nanocoatings by combining trinitrotoluene (TNT) receptors bound to conjugated polydiacetylene (PDA) with single-walled carbon nanotube-field effect transistors (SWNT-FET). Selective binding events between TNT molecules and phage display derived TNT receptors were effectively transduced to sensitive SWNT-FET conductance sensors through the PDA coating. The resulting sensors exhibited unprecedented 1 fM sensitivity toward TNT in real time, with excellent selectivity over various similar aromatic compounds. Our biomimetic receptor coating approach may be useful for the development of sensitive and selective micro and nanoelectronic sensor devices for various other target analytes. -
Seo, Hyeon-Uk;Kim, Gwang-Dae;Jeong, Myeong-Geun;Kim, Dong-Un;Kim, Myeong-Ju;;Kim, Yeong-Dok;Im, Dong-Chan;Lee, Gyu-Hwan;Eom, Seong-Hyeon;Lee, Jae-Yeong 496
A very simple and cost-effective method for fabrication of SiOx-incorporated diamond-like carbon (DLC) thin films at a preparation temperature of less than$200^{\circ}C$ was developed. Since DLC coating can be prepared not under vacuum but atmospheric conditions without any carrier gas flow, not only wafers but also powderic substrates can be used for DLC coating. Formation of DLC coating could result in appearance of superhydrophobic behaviors, which was sustained in a wide range of pH (1~14). DLC-coated surfaces selectively interacted with toluene in a toluene/water mixture. These results imply that our preparation method of the DLC coating can be useful in many application fields such as creating self-cleaning surfaces, and water and air purification filters. -
나노입자는 벌크 재료와는 다른 광학적, 전기적, 촉매적 특징 때문에 최근 많은 연구가 이루어지고 있다. 나노유체의 성질은 나노입자의 크기와 형상, 분산성등과 같은 여러 요인에 의해서 결정되어진다. 이러한 나노입자의 특징 때문에 여러 응용분야에서 활용되어지고 있다. 예를 들면, 일반 유체에 나노입자를 분산시키면, 열전도도와 대류열전달효과가 증대되어 진다. 이러한 나노유체의 제조법으로는 크게 두 가지로 분류되어 있다. 투스텝법은 환원법 혹은 기계적으로 제작한 나노입자를 일반 유체에 혼합시킨 후 분산을 시켜 제조하는 제조법이다. 원스텝법은 투스텝법과는 달리 한번에 나노유체를 제조하는 제조법이다. 일반 유체에서 나노유체를 제조함과 동시에 분산을 시켜서 제조한다. 최근, 유체내에서 나노유체를 제조함과 동시에 분산을 시켜 나노유체를 제조하는 새로운 기술인 유체 플라즈마법이 개발되었다. 하지만, 유체 플라즈마의 일반적인 거동과 해석이 명확하게 규명되지 않은 상태이다. 본 연구에서는 유체 플라즈마의 발생 메카니즘 규명을 위한 방전 시간, 전압, 단극 직류 전력, 극간거리에 따른 유체 플라즈마의 특징을 OES와 오실로스코프를 이용하여 측정하였다. 또한, 제조된 나노유체의 특징을 UV-vis nir spectropgotometer, HR-TEM, zeta-potential, EDS, ICP-OES, KD2 pro and lambda로 측정하였다. 유체 플라즈마를 각 조건에 따라 발생시켰고, 나노유체를 성공적으로 제조하였다. 유체 플라즈마의 주요 발생 원소는 산소와 수소이온으로 측정되었다. 유체 플라즈마의 강도는 전기에너지가 증가함에 따라서 증가함으로 측정되었다. 제조된 나노입자의 크기는 유체 플라즈마의 강도가 증가함에 따라서 감소하였고, 대부분의 나노입자의 형상은 구형으로 제조되었다. 나노유체의 분산안정성 또한 유체 플라즈마의 강도가 증가함에 따라서 증가하였다. 직경이
$18.1{\pm}5.0$ nm인 나노유체의 열전도도는 3%로 측정되었다. 유체 플라즈마에 의한 나노유체의 제조 메카니즘을 다음과 같이 제안한다. 유체내에서 전기에너지 인가에 따른 이온과 전자의 흐름은 유체 플라즈마를 발생시킨다. 기본 유체는 물이므로 유체 플라즈마의 주요 발생 원소는 수소와 산소이며, 인가되는 전기에너지량이 증가함에 따라서 이온과 전자의 흐름이 증가됨으로서 유체 플라즈마의 강도가 증가함으로 추측한다. 유체 플라즈마 발생은 전자의 흐름과 관계되어진다. 따라서, 유체내에 존재하는 전구체에 전자가 제공되어짐에 따라서 금 입자를 환원시켜 입자가 형성된다. 또한, 유체 플라즈마는 나노입자를 음전하로 대전시켜 분산안정성의 확보가 되는 것으로 추측되어진다. -
Silicon nanowires (Si NWs) have been extensively studied for nanoelectronics owing to their unique optical and electrical properties different from those of bulk silicon. For the development of Si NW devices, better understanding of oxidation behavior in Si NWs would be an important issue. For example, it is widely known that atomic scale roughness at the dielectric (SiOx)/channel (Si) interface can significantly affect the device performance in the nano-scale devices. However, the oxidation process at the atomic-scale is still unknown because of its complexity. In the present work, we investigated the oxidation behavior of Si NW in atomic scale by simulating the dry oxidation process using a reactive molecular dynamics simulation technique. We focused on the residual stress evolution during oxidation to understand the stress effect on oxidation behavior of Si NWs having two different diameters, 5 nm and 10 nm. We calculated the charge distribution according to the oxidation time for 5 and 10 nm Si NWs. Judging from this data, it was observed that the surface oxide layer started to form before it is fully oxidized, i.e., the active diffusion of oxygen in the surface oxide layer. However, it is well-known that the oxide layer formation on the Si NWs results in a compressive stress on the surface which may retard the oxygen diffusion. We focused on the stress evolution of Si NWs during the oxidation process. Since the surface oxidation results in the volume expansion of the outer shell, it shows a compressive stress along the oxide layer. Interestingly, the stress for the 10 nm Si NW exhibits larger compressive stress than that of 5 nm Si NW. The difference of stress level between 5 an 10 anm Si NWs is approximately 1 or 2 GPa. Consequently, the diameter of Si NWs could be a significant factor to determine the self-limiting oxidation behavior of Si NWs when the diameter was very small.
-
With recent advances in flexible and stretchable electronics, the development of physically responsive field-effect transistors (physi-FETs) that are easily integrated with transformable substrates may enable the omnipresence of physical sensing devices in electronic gadgets. However, physical stimuli typically induce whole sensing physi-FET devices under global influences that also cause changes in the parameters of FET transducers, such as channel mobility and dielectric capacitance that prevent proper interpretations of response in sensing materials. Extended-gate structures with isolated stimuli have been used recently in physi-FETs to demonstrate performances of sensing materials only. However, such approaches are limited to prototype researches since isolated stimuli rarely occur in real-life applications. In this report, we theoretically and experimentally demonstrated that integrating piezoelectric nanocomposites directly into flexible organic FETs (OFETs) as gate dielectrics provides a general research direction to physi-FETs with a simple device structure and the capability of precisely investigating functional materials. Measurements with static stimulations, which cannot be performed in conventional systems, exhibited giant-positive d33 values of nanocomposites of barium titanate (BT) NPs and poly (vinylidene fluoride-trifluoroethylene) (P(VDF-TrFE)).
-
산업이 고도화, 다원화, 세계화되고 있는 현대사회는 다기능성, 고물성, 극한 내구성을 가지며 환경 친화적이면서 에너지 효율을 극대화시킬 수 있는 다기능 소재의 개발을 요구하고 있다. 이러한 시점에서 다양한 물성을 동시에 발현이 가능한 코팅 소재는 향후 미래에 중요한 원천소재로서 주목되고 있다. 특히, 환경에 의해 쉽게 물성 및 구조의 변화가 쉬운 종래의 코팅소재와는 달리, 다양한 외부환경에서도 미세 구조 및 물성을 안정적으로 유지할 수 있는 신개념의 코팅 소재의 개발이 절실히 요구되고 있다. 이를 위해서는 코팅소재의 다 성분화가 필수적이다. 최근의 코팅 기술은 2가지 이상의 물성, 특히 서로 상반되는 물성을 동시에 구현할 수 있는 소재의 개발을 요구하고 있다. 이러한 물성의 구현을 위하여 더 많은 성분으로 구성되며 더욱 복잡한 조직으로 구성된 코팅층에 대한 개발이 필요하다. 본 연구에서 목표로 하는 신 개념의 원천소재기술은 4 성분계 이상의 원료 물질을 단일 타겟으로 제조하여, 단순한 코팅공정으로서 단일 코팅층 내에 다양한 성분상이 10 nm 미만 크기의 나노 결정립/나노 비정질로 구성된 나노 복합 구조로 형성되도록 하는 기술을 개발하고자 하는 것이다. 이는 복합기능 3 이상의 다기능성 부여는 물론, 그림 1에 명시되어 있는 극한 기능성(광대역 윤활성, 전자 이동 제어에 의한 온도 저항 계수 및 전기 저항 조절, 고온 열적 안정성, 내산화성, 고열전도율, 초저마찰/내구성/초고경도성 등)이 구현되도록 하는 소재 개발과 원하는 물성을 구현할 수 있는 나노 복합 코팅층의 형성 공정으로 구성된다. 다성분계 모물질의 개발이 중요한 이유는 다수의 성분 원소를 합금 상태로 형성시킴으로서, 단일 소스에 의해 다양한 원소를 동시에 스퍼터링 및 증착이 가능하도록 할 수 있다는 장점을 가지기 때문이다. 특히, 타겟의 미세구조를 나노구조화 하는것을 통해, 스퍼터링 yield의 차이가 큰 원소일지라도 균일하게 증착시킬 수 있는 방법을 제시하고자한다. 이러한 연구는 다수의 성분 타겟을 사용함으로서 장비의 복잡성, 코팅의 재현성, 대형화 등의 문제점을 본질적으로 갖고 있는 기존 PVD 공정의 문제점을 해결하기 위한 최적의 대안이라할 수 있다. 본 발표에서는 3가지 이상의 다기능성 구현을 위한 가장 중요한 원천기술이라 할 수 있는 다성분계 타겟 모물질 제조 기술에 대해 소개하고자 한다.
-
기존 연구에서는 단일 타겟으로부터 증착된 코팅층 내에 다상으로 이루어진 나노 복합구조를 형성하기 위하여, 나노 합금분말을 방전플라즈마 소결법 등으로 급속 소결하여 타겟을 제조하는 방법이 고려되어 왔다. 반면, 비정질 재료가 우수한 비정질 형성능을 가지는 경우 주조 방법에 의해서도 타겟 제조가 가능하며, 특히 최근 들어 금속 비정질 합금에서 합금의 주요 구성 원소들이 양의 혼합열을 가지는 경우, 액상 또는 과냉각 액상에서 상분리 현상이 발생한다는 것이 밝혀졌다. 이러한 사실에 기초하면, 우수한 비정질 형성능을 가지는 합금 시스템에 합금 구성 원소와 양의 혼합열 관계를 갖는 원소를 첨가함으로써, 비정질 기지 내에 화학적 불균일성을 유도하여 다상으로 이루어진 복합 구조를 형성시키는 것이 가능하다. 본 연구에서는 이러한 합금 설계법을 이용하여, 비정질 기지 내에 존재할 수 있는 불균일성 정도를 합금 조성과 주조 조건의 변화를 통하여 나노 크기에서 원자 크기까지 조절하고, 이에 따른 재료 특성과의 상관관계를 밝히고자 하였다. 이를 위하여 우수한 비정질 형성능을 가지는 Cu-(Zr, Hf)-Al 벌크 비정질 합금계에서 (Zr, Hf)과 (Y, Gd)간의 양의 혼합열 관계에 주목하여 Cu-(Zr, Hf)-(Y, Gd)-Al 벌크 비정질 형성 합금계를 설계하였으며, 이 합금계 내에서 조성과 냉각속도의 조절에 따라 나타나는 불균일성의 정도와 특성변화의 영향을 체계적으로 고찰하였다. 결과로서, Cu-(Zr, Hf)-Al 합금계에서 (Zr, Hf)을 (Y, Gd)으로 15 at.% 이상 치환한 경우, Cu-(Zr, Hf)-rich 와 Cu-(Y, Gd)-rich 비정질상으로 이상분리가 일어났으며, 이렇게 생성된 비정질-비정질 복합재는 응력 하에서 소성 변형을 거의 보이지 않았다. 반면, 5 at.% 이하로 (Zr, Hf)을 (Y, Gd)으로 치환한 경우에는 비정질 기지에 SAXS 혹은 WAXS로 확인 가능한 원자 크기의 불균일성이 나타났으며, 이 경우 비정질 합금의 점성 유동의 변화를 통해 합금의 연신 특성이 향상되었다. 특히, 본 연구에서는 비정질 기지내 불균일 제어를 통한 기계적 특성 향상을 위해서 조성 제어뿐 아니라 동역학적인 요소를 고려한 냉각속도 조절을 통한 원자단위 불균일성의 최적화가 필요함을 규명하였다. 이러한 연구 결과는 분말화 및 소결 과정을 배제하고 제조된 단일 타겟을 통해 코팅층에 다수의 합금원소를 혼합하고 나노/원자 스케일의 복합구조 형성 및 고집적화가 가능한, 타겟 모물질 설계의 새로운 방향을 제시함으로써 다기능성 복합소재 코팅층의 연구에 크게 기여할 것으로 사료된다.
-
알루미늄 도금강판은 Al-10%Si의 Type 1과 100%Al의 Type2로 구분되어 진다. 그러나, 국내에서는 Type1만이 생산되고 있으며, Zn이 주요성분이 되는 도금강판대비 내열성이 우수하여, 고온기기나 자동차용 배기계 등에 상용되고 있다. 이러한 알루미늄 도금강판의 특성은 표면조직이나, 소재에 도금층과 반응하여 형성된 계면합금층의 특성에 따라, 내열성, 가공성, 내식성 등의 물성에 큰 영향을 미친다. 특히, 합금층은 매우 취성이 강하여 도금층의 박리 등을 유발할수 있으며, 고온에서는 합금층이 성장하여, 내열성 등 물성에 영향을 미친다. 그러나, 이러한 합금층의 결정구조나 조직에 대해서도 연구자간의 분석결과가 일치하지 않으며, 합금층의 고온에서의 거동에 대해서도 잘 이해되지 않고 있다. 본 연구에서는 이러한 합금층에 대한 문헌조사 및 내열실험을 통하여 합금층거동을 분석하고자 하였으며, 또한, 이상적인 도금구조를 갖는 건식도금 샘플을 제작하여 합금층의 내열특성을 상호 비교하였다. 본 발표에서는 이러한 결과를 제시한고자 한다.
-
최근 디지털 프린팅 기술의 핵심기술로 떠오르고 있는 잉크젯 프린팅 기술은 최근 기존의 문서인쇄 뿐 아니라, 직물 인쇄, 태양전지 등의 다양한 반도체 소자 제조에 널리 활용되고 있으며, 점차 그 응용 분야를 넓혀가고 있다. 특히 thermal 방식의 잉크젯 피린팅 기술은 etching, thin film process, lithography등의 반도체 공정 기술을 이용하여 제작할 수 있기 때문에, 현재 잉크젯 프린팅 기술은 대부분 thermal 방식을 체택하고 있다. 이러한 thermal 잉크젯 프린팅 방법에서는 잉크를 토출시키기 위하여, 전기적 에너지를 열에너지로 전환하는 전자저항막층이 필수적으로 필요하게 되는데, 이러한 전자저항막층은 수백도가 넘는 고온 및 잉크와 접촉으로 인한 부식 및 산화 문제가 발생할 수 있는 열악한 환경에서 사용되므로, Ta, SiN과 같은 보호층을 필수적으로 필요로 한다. 그러나 최근 잉크젯 프린터의 고해상도 고속화, 대면적 인쇄성 등과 같은 다양한 요구 증가에 따라, 잉크젯 프린터의 저전력 구동이 이슈로 떠올라 열효율에 방해가 되는 보호층을 제거할 필요성이 제기되고 있다. 지금까지는 Poly-Si,
$HfB_2$ , TiN, TaAl, TaN 0.8 등의 물질들이 잉크젯 프린터용 전자저항막 물질로 연구되거나 실제로 사용되어져 왔으나, 이러한 물질들을 보호층을 제거하는 경우 쉽게 산화되거나, 부식되는 문제점을 가지고 있다. 따라서, 기존 전자저항막의 기능을 만족시키면서, 산화나 부식에 대한 강한 내성을 가져 보호층을 제거하더라도 안정적으로 구동이 가능한 하이브리드 기능성(히터 + 보호층)을 가지는 잉크젯 프린터용 전자저항막 물질의 개발이 시급한 실정이다. 본 연구에서는 자기조립특성을 가져 정밀제어가 가능한 원자층증착법(Atomic Layer Deposition)을 이용하여 원자/나노 단위의 미세 구조 컨트롤을 통해 내열 내산화 내부식성 저온도저항계수를 동시에 가지는 다기능성 전자저항막을 설계 및 개발하고자 하였다. 전자저항막 개발을 위하여 우수한 내부식 내산화성을 가지고 결정립 크기에 따른 온도저항계수 조절이 가능한 platinum group metal들과 전기 저항 및 내열성 향상을 위한 물질의 복합구조막을 원자증증착법으로 증착하였다. 또한, 전자저항막 증착시 미세구조와 공정 변수가 내부식성, 내산화성, 그리고 온도저항계수에 미치는 영향을 체계적으로 연구하여, proto-type의 inkjet printhead를 구현하였다. -
The control of wettability of thin films is of great importance and its success surely brings us huge applications such as self-cleaning, antifogging and bio-passive treatments. Usually, the control is accomplished by modifying either surface energy or surface topography of films. In general, hydrophobic surface can be produced by coating low surface energy materials such as fluoropolymer or by increasing surface roughness. In contrast, to enhance the hydrophillicity of solid surfaces, high surface energy and smoothness are required. Silica (SiO2) is environmentally safe, harmless to human body and excellently inert to most chemicals. Also its chemical composition is made up of the most abundant elements on the earth's crest, which means that SiO2 is inherently economical in synthesis. Moreover, modification in chemistry of SiO2 into various inorganic-organic hybrid materials and synthesis of films are easily undertaken with the sol-gel process. The contact angle of water on a flat silica surface on which the Young's equation operates shows ~50o. This is a slightly hydrophilic surface. Many attempts have been made to enhance hydrophilicity of silica surfaces. In recent years, superhydrophilic and antireflective coatings of silica were fabricated from silica nanoparticles and polyelectrolytes via a layer-by-layer assembly and postcalcination treatment. This coating layer has a high transmittance value of 97.1% and a short water spread time to flat of <0.5 s, indicating that both antireflective and superhydrophilic functions were realized on the silica surfaces. In this study, we assessed hydrophillicity and hydrophobicity of silica coating layers that were synthesized using the sol-gel process. Systematic changes of processing parameters greatly influence their surface properties.
-
경사코팅 기술(Oblique Angle Deposition; OAD)은 입사 증기가 기판에 수직으로 입사하지 않고 90도 보다 작은 각도로 비스듬히 입사하도록 조절하여 코팅하는 물리증착 기술의 하나로 피막의 조직을 다양하게 제어할 수 있는 방법으로 알려져 있다. 초기의 경사 코팅 기술은 경사각을 가진 정지된 기판 상에 코팅하였으나 최근에는 기판의 각도와 회전을 동시에 조절하여 이루어지는 소위 스침각 증착(Glancing Angle Deposition; GLAD) 기술이 개발되어 다양한 형태의 구조를 제어하는 연구가 활발히 진행되고 있다. 특히, 컴퓨터를 이용하여 입사각과 방위각을 정밀 제어함에 의해 나노 스케일의 Zigzag 및 나선형, 기둥형 조직 등 복잡한 형태의 박막을 제조하는 것이 가능하게 되었다. 현재, GLAD 기술과 다양한 형태의 나노 조직을 이용하여 각종 센서는 물론 태양전지와 같은 에너지 소자, 필터와 같은 광학코팅 등에 응용하기 위한 연구가 세계적으로 폭넓게 진행되고 있다. 본 연구에서는 조직의 치밀도 향상을 통한 특성 향상을 위해 Al 및 TiN 박막을 제조함에 있어서 경사코팅 기술을 응용하여 단층 및 다층 피막(각도를 반대로 하여 여러 층을 제조)을 제조하고 그 특성을 비교하였다. Al 박막은 UBM (Un-Balanced Magnetron) 스퍼터링 소스를 이용하여 타겟 표면과 기판 표면이 이루는 각도 즉, 입사빔과 기판이 이루는 각도를 각각 0, 30, 45, 60 및 90도의 각도에서 강판 및 실리콘 웨이퍼 상에 시편을 제조하되 단층 및 다층으로 시편을 제조하고 치밀도 및 내식성과 반사율 및 조도 등의 특성을 비교하였다. 그 결과 경사각으로 코팅한 시편에서 조도 및 반사율이 향상됨은 물론 치밀도 및 내식성이 향상됨을 확인하였다. 특히, 염수분무에 의한 내식성 시험에서 경사 코팅된 시편의 경우 내식성이 현저히 향상되었는데, 이는 경사 코팅 방법이 박막의 치밀도를 향상시켜 나타난 현상으로 판단된다. TiN 박막은 Cathodic Arc 방식을 이용하되 Al 박막과 동일한 방법으로 코팅을 하고 내식성 및 경도 등의 특성을 비교하였다. TiN 박막은 경사각이 커지면서 경도가 낮아지며 특히 다층막의 경우 경도 감소가 현저함을 알 수 있었다. 다만, 45도에서는 다른 경사각에 비해 약간의 경도 상승이 측정되었다. 경사각 코팅에서의 경도 감소는 피막의 경사에 의해 탐침이 미끄러지거나 또는 우선 방위에 의한 경도 증가 효과가 나타나지 않아 생기는 현상으로 판단되었다. Ferroxyl 시험을 이용한 기공도 시험에서는 경사각 코팅의 경우가 기공이 다소 감소함을 확인하였다.
-
스퍼터링을 이용한 박막 증착기술은 다양한 분야에 걸쳐 적용되어 왔으며, 스퍼터링 타겟 사용효율을 향상시키기 위해 마그네트론 구조 최적화 및 이온 소스 적용 스퍼터링 등의 기술이 연구되어 왔다. 또한 인듐과 같은 희토류 금속의 가격이 최근 상승함에 따라 고효율 스퍼터링기술의 필요성은 더욱 증대되었다. 본 연구에서는 고밀도 플라즈마 소스를 적용한 고효율 스퍼터링 공정을 개발하였다. 동공 음극방전에서 생성된 고밀도 플라즈마는 전자석 코일을 통해 형성된 자기장을 따라 스퍼터링 타겟 표면까지 수송되며, 음전위로 대전된 스퍼터링 타겟 표면에서는 가속되어 입사하는 이온에 의한 스퍼터링이 발생한다. 본 스퍼터링 공정 기술의 경우, 기존 마그네트론 스퍼터링 소스에서 나타나는 약 30%의 타겟 사용 효율을 뛰어넘는 약 80% 이상의 타겟 사용률을 보였다. 또한 고밀도 플라즈마 소스에서 공급되는 이온에 의한 스퍼터링 공정을 개발 함에 따라 스퍼터링 방전전압의 독립적 조절이 가능하다. 이에 따라 200 V 이하의 저전압 스퍼터링 공정을 통해 유연성 폴리머 기판 및 유기소자 상 저에너지 이온 증착이 가능하며, 1 kV 이상의 고전압 스퍼터링을 통해 추가적인 기판 전압 인가 없이 박막 치밀화 구현이 가능하다.
-
Superhydrophobic surfaces on alloyed steels were fabricated with a non-conventional method of plasma etching and subsequent water immersion procedure. High aspect ratio nanopatterns of nanoflake or nano-needle were created on the steels with various Cr content in its composition. With CF4 plasma treatment in radio-frequence chemical vapor deposition (r.-f. CVD) method, steel surfaces were etched and fluorinated by CF4 plasma, which induced the nanopattern evolution through the water immersion process. It was found that fluorine ion played a role as a catalyst to form nanopatterns in water elucidated with XPS and TEM analysis. The hierarchical patterns in micro- and nano scale leads to superhydrophobic properties on the surfaces by deposition of a hydrophobic coating with a-C:H:Si:O film deposited with a gas precursor of hexamethlydisiloxane (HMDSO) with its lower surface energy of 24.2 mN/m, similar to that of curticular wax covering lotus surfaces. Since this method is based on plasma dry etching & coating, precise patterning of surface texturing would be potential on steel or metal surfaces. Patterned hydrophobic steel surfaces were demonstrated by mimicking the Robinia pseudoacacia or acacia leaf, on which water was collected from the humid air using a patterned hydrophobicity on the steels. It is expected that this facile, non-toxic and fast technique would accelerate the large-scale production of superhydrophobic engineering materials with industrial applications.
-
Si (100) 2 인치 웨이퍼 위에 RF Magnetron Sputtering 방법으로 Ti 박막을 형성하고, 그 위에 MPCVD (Microwave Plasma Chemical Vapor Deposition) 방법을 이용하여 나노결정다이아몬드 박막을 증착하였다. 지름 3인치, 두께 1/4인치의 Ti 타겟을 사용하고, Ar 가스 유량 11 sccm, 공정 압력
$4.5{\times}10^{-3}$ Torr, RF 전력 100 W, 기판온도$70^{\circ}C$ 조건에서 2 시간 동안 Ti 박막을 증착하여 약$0.8{\mu}m$ 의 박막을 얻었다. 그 위에 공정 압력 110 Torr, 마이크로웨이브 전력 1.2 kW, Ar/$CH_4$ 가스 조성비 200/2 sccm, 기판 온도$600^{\circ}C$ 의 조건에서 기판에 -150 V의 DC 바이어스 전압 인가 여부를 변수로 하고, 증착 시간을 변화시켜 나노결정다이아몬드 박막을 제작하였다. FE-SEM과 AFM을 이용하여 다이아몬드 입자의 크기와 다이아몬드 박막의 두께, 표면 거칠기 등을 측정하였고, Raman spectroscopy와 XRD를 이용하여 다이아몬드 결정성을 확인하였다. 바이어스를 인가하지 않았을 경우 증착 시간이 증가할수록 다이아몬드 입자의 평균 크기가 증가하며 입자들이 차지하는 면적이 증가하는 것을 확인하였다. 그러나 2시간이 경과해도 아직 완전한 박막은 형성되지 못하고 약 4시간 이상 증착 시 완전한 박막을 이루는 것이 확인되었다. 이에 비해서 바이어스 전압을 인가할 경우 1시간 내에 완전한 박막을 이루는 것을 확인하였다. 표면 거칠기는 바이어스를 인가한 경우가 그렇지 않은 경우에 비해서 조금 높은 것으로 나타났다. 이러한 바이어스 효과는 표면에서의 핵생성 밀도 증가와 재핵생성 속도 증가에 기인하는 것으로 해석된다.