Proceedings of the Korean Vacuum Society Conference (한국진공학회:학술대회논문집)
- Semi Annual
Domain
- Physics > Optics
2013.08a
-
In the area of artificial photosynthesis, particularly for the generation of hydrogen form water, much attention has been paid on organic-inorganic hybrid system. Most of all, a dye/TiO2-combined system has been suggested and its potential utility was well manifested. However, due to its complicated nature of charge interactions in between dye and TiO2 -interface there remains a great challenge to establish the charge-activity relationship, per se light driven charge generation and recombination kinetics with respect to the amount of hydrogen produced. Further complexity of that hybrid system has been witnessed when sacrificial donor and aqueous media are considered. To unveil the operating mechanism on such a dye/TiO2-combined system, we have prepared organic dyes suitable to account for the effect of sacrificial donor as well as water interactions, and prepared the typical dye-grafted TiO2 films to investigate charge-activity relationship. Femtosecond flash photolysis clearly defined the dye effects anchored on to the TiO2 platform. In addition, photodynamic data contemplated well to the dye orientation proposed by the DFT calculations. Recent findings provide fundamental understanding on the dye-grafted TiO2 system and establish a firm background how future dye-sensitized organic-inorganic hybrid system can be designed for the light driven hydrogen generation from water.
-
As the costs of carbon-footprinetd fuels grow continuously and simultaneously atmospheric carbon dioxide concentration increases, solar fuels are receiving growing attention as alternative clean energy carriers. These fuels include molecular hydrogen and hydrogen peroxide produced from water, and hydrocarbons converted from carbon dioxide. For high efficiency solar fuel production, not only light absorbers (oxide semiconductors, Si, inorganic complexes, etc) should absorb most sunlight, but also charge separation and interfacial charge transfers need to occur efficiently. With this in mind, this talk will introduce the fundamentals of solar fuel production and artificial photosynthesis, and then discuss in detail on photoelectrochemical (PEC) water splitting and CO2 conversion. This talk largely divides into two section: PEC water oxidation and PEC CO2 reduction. The former is very important for proton-coupled electron transfer to CO2. For this oxidation, a variety of oxide semiconductors have been tested including TiO2, ZnO, WO3, BiVO4, and Fe2O3. Although they are essentially capable of oxidizing water into molecular oxygen, the efficiency is very low primarily because of high overpotentials and slow kinetics. This challenge has been overcome by coupling with oxygen evolving catalysts (OECs) and/or doping donor elements. In the latter, surface-modified p-Si electrodes are fabricated to absorb visible light and catalyze the CO2 reduction. For modification, metal nanoparticles are electrodeposited on the p-Si and their PEC performance is compared.
-
Recently, many platinoid metals like platinum and ruthenium have been used as an electrode of microelectronic devices because of their low resistivity and high work-function. However the material cost of Ru is very expensive and it usually takes long initial nucleation time on SiO2 during chemical deposition. Therefore many researchers have focused on how to enhance the initial growth rate on SiO2 surface. There are two methods to deposit Ru film with atomic layer deposition (ALD); the one is thermal ALD using dilute oxygen gas as a reactant, and the other is plasma enhanced ALD (PEALD) using NH3 plasma as a reactant. Generally, the film roughness of Ru film deposited by PEALD is smoother than that deposited by thermal ALD. However, the plasma is not favorable in the application of high aspect ratio structure. In this study, we used a bis(ethylcyclopentadienyl)ruthenium [Ru(EtCp)2] as a metal organic precursor for both thermal and plasma enhanced ALDs. In order to reduce initial nucleation time, we use several methods such as Ar plasma pre-treatment for PEALD and usage of sacrificial RuO2 under layer for thermal ALD. In case of PEALD, some of surface hydroxyls were removed from SiO2 substrate during the Ar plasma treatment. And relatively high surface nitrogen concentration after first NH3 plasma exposure step in ALD process was observed with in-situ Auger electron spectroscopy (AES). This means that surface amine filled the hydroxyl removed sites by the NH3 plasma. Surface amine played a role as a reduction site but not a nucleation site. Therefore, the precursor reduction was enhanced but the adhesion property was degraded. In case of thermal ALD, a Ru film was deposited from Ru precursors on the surface of RuO2 and the RuO2 film was reduced from RuO2/SiO2 interface to Ru during the deposition. The reduction process was controlled by oxygen partial pressure in ambient. Under high oxygen partial pressure, RuO2 was deposited on RuO2/SiO2, and under medium oxygen partial pressure, RuO2 was partially reduced and oxygen concentration in RuO2 film was decreased. Under low oxygen partial pressure, finally RuO2 was disappeared and about 3% of oxygen was remained. Usually rough surface was observed with longer initial nucleation time. However, the Ru deposited with reduction of RuO2 exhibits smooth surface and was deposited quickly because the sacrificial RuO2 has no initial nucleation time on SiO2 and played a role as a buffer layer between Ru and SiO2.
-
반도체, LCD, MEMs 등 미세 전자소자의 제작과 깊은 관련이 있는 IT 산업은 자동차 산업과 함께 세계 경제를 이끌고 있는 핵심 산업이며, 그 발전 가능성이 크다고 할 수 있다. 이 중 반도체, LCD 공정 기술에 관해서 대한민국은 세계를 선도하여 시장을 이끌어 나가고 있는 실정이다. 이들의 공정기술은 주로 높은 수율(yield)을 기반으로 한 대량 생산 기술에 초점이 맞추어져 있기 때문에, 현재와 같은 첨예한 가격 경쟁력이 요구되는 시대에서 공정 기술 개발을 통해 수율을 최대한으로 이끌어 내는 것이 현재 반도체를 비롯한 미세소자 산업이 직면하고 있는 하나의 중대한 과제라 할 수 있다. 특히 반도체공정에 있어 발전을 거듭하여 현재 20 nm 수준의 선폭을 갖는 소자들의 양산이 계획 있는데 이와 같은 나노미터급 선폭을 갖는 소자 양산과 관련된 CD (critical dimension)의 감소는 공차의 감소를 유발시키고 있으며, 패널의 양산에 있어서 생산 효율 증가를 위한 기판 크기의 대형화가 이루어지고 있다. 또한, 소자의 집적도를 높이기 위하여 높은 종횡비(aspect ratio)를 요구하는 공정이 일반화됨에 따라 단일 웨이퍼 내에서의 공정의 균일도(With in wafer uniformity, WIWU) 및 공정이 진행되는 시간에 따른 균일도(Wafer to wafer uniformity)의 변화 양상에 대한 파악을 통한 공정 진단에 대한 요구가 급증하고 있는 현실이다. 반도체 및 LCD 공정에 있어서 공정 균일도의 감시 및 향상을 위하여 박막, 증착, 식각의 주요 공정에 널리 사용되고 있는 플라즈마의 균일도(uniformity)를 파악하고 실시간으로 감시하는 것이 반드시 필요하며, 플라즈마의 균일도를 파악한다는 것은 플라즈마의 기판 상의 공간적 분포(radial direction)를 확인하여 보는 것을 의미한다. 현재까지 플라즈마의 공간적 분포를 진단하는 대표적인 방법으로는 랭뮤어 탐침(Langmuir Probe), 레이저 유도 형광법(Laser Induced Fluorescence, LIF) 그리고 광섬유를 이용한 발광분광법(Optical Emission Spectroscopy, OES)등이 있으나 랭뮤어 탐침은 플라즈마 본연의 상태에서 섭동(pertubation) 현상에 의한 교란, 이온에너지 측정의 한계로 인하여 공정의 실시간 감시에 적합하지 않으며, 레이저 유도 형광법은 측정 물질의 제한성 때문에 플라즈마 내부에 존재하는 다양한 종의 거동을 살필 수 없다는 단점 및 장치의 설치와 정렬(alignment)이 상대적으로 어려워 산업 현장에서 사용하기에 한계가 있다. 본 연구에서는 최소 50 cm에서 최대 400 cm까지 플라즈마 내 측정 거리에서 최대 20 mm 공간 분해가 가능한 광 수광 시스템 및 플라즈마 공정에서의 라디칼의 상태 변화를 분광학적 비접촉 방법으로 계측할 수 있는 발광 분광 분석기를 접목하여 플라즈마 챔버 내의 라디칼 공간 분포를 계측할 수 있는 진단 센서를 고안하고 이를 실 공정에 적용하여 보았다. 플라즈마 증착 및 식각 공정에서 형성된 박막의 두께 및 식각률과 공간 분해발광 분석법을 통하여 계측된 결과와의 매우 높은 상관관계를 확인하였다.
-
본 개발은 반도체 또는 디스플레이 공정상의 불량률을 최소화 하기 위하여 시작 되었다. PBMS를 이용하여 공정상에 발생하는 오염입자들을 모니터링하고자 하였으나 기존의 시스템은 크기, 감도, 교정등의 문제들로 현장적용에 무리가 있었던 것이 사실이다. 주식회사 이엘은 PBMS의 문제들을 개선 또는 제거하여 현장에 적용 가능하도록 하였으며 전용 프로그램을 개발하여 사용자의 편의성을 증대하였다.
-
The interface between nanomaterials and biosystems is emerging as one of the broadest and most dynamic areas of science and technology, bringing together biology, chemistry, physics and many areas of engineering, biomedicine. The combination of these diverse areas of research promised to yield revolutionary advances in healthcare, medicine, and life science. For example, the creation of new and powerful nanosensors that enable direct, sensitive, and rapid analysis of biological and chemical species can advance the diagnosis and treatment of disease, discovery and screening of new drug molecules. Nanowire based sensors are emerging as a powerful and general platform for ultrasensitive and multiplex detection of biological and chemical species. Here, we present the studies about noble metal nanowire sensors that can be used for sensitive detection of a wide-range of biological and chemical species including nucleic acids, proteins, and toxic metal ions. Moreover, the optical and electrochemical applications of noble metal nanowires are introduced. Noble metal nanowires are successfully used as plasmonic antennas and nanoelectrodes, thereby provide a pathway for a single molecule sensor, in vivo neural recording, and molecular injection and detection in a single living cell.
-
A variety of influenza A viruses from animal hosts are continuously prevalent throughout the world which cause human epidemics resulting millions of human infections and enormous industrial and economic damages. Thus, early diagnosis of such pathogen is of paramount importance for biomedical examination and public healthcare screening. To approach this issue, here we propose a fully integrated Rotary genetic analysis system, called Rotary Genetic Analyzer, for on-site detection of influenza A viruses with high speed. The Rotary Genetic Analyzer is made up of four parts including a disposable microchip, a servo motor for precise and high rate spinning of the chip, thermal blocks for temperature control, and a miniaturized optical fluorescence detector as shown Fig. 1. A thermal block made from duralumin is integrated with a film heater at the bottom and a resistance temperature detector (RTD) in the middle. For the efficient performance of RT-PCR, three thermal blocks are placed on the Rotary stage and the temperature of each block is corresponded to the thermal cycling, namely
$95^{\circ}C$ (denature),$58^{\circ}C$ (annealing), and$72^{\circ}C$ (extension). Rotary RT-PCR was performed to amplify the target gene which was monitored by an optical fluorescent detector above the extension block. A disposable microdevice (10 cm diameter) consists of a solid-phase extraction based sample pretreatment unit, bead chamber, and 4${\mu}L$ of the PCR chamber as shown Fig. 2. The microchip is fabricated using a patterned polycarbonate (PC) sheet with 1 mm thickness and a PC film with 130${\mu}m$ thickness, which layers are thermally bonded at$138^{\circ}C$ using acetone vapour. Silicatreated microglass beads with 150~212${\mu}L$ diameter are introduced into the sample pretreatment chambers and held in place by weir structure for construction of solid-phase extraction system. Fig. 3 shows strobed images of sequential loading of three samples. Three samples were loaded into the reservoir simultaneously (Fig. 3A), then the influenza A H3N2 viral RNA sample was loaded at 5000 RPM for 10 sec (Fig. 3B). Washing buffer was followed at 5000 RPM for 5 min (Fig. 3C), and angular frequency was decreased to 100 RPM for siphon priming of PCR cocktail to the channel as shown in Figure 3D. Finally the PCR cocktail was loaded to the bead chamber at 2000 RPM for 10 sec, and then RPM was increased up to 5000 RPM for 1 min to obtain the as much as PCR cocktail containing the RNA template (Fig. 3E). In this system, the wastes from RNA samples and washing buffer were transported to the waste chamber, which is fully filled to the chamber with precise optimization. Then, the PCR cocktail was able to transport to the PCR chamber. Fig. 3F shows the final image of the sample pretreatment. PCR cocktail containing RNA template is successfully isolated from waste. To detect the influenza A H3N2 virus, the purified RNA with PCR cocktail in the PCR chamber was amplified by using performed the RNA capture on the proposed microdevice. The fluorescence images were described in Figure 4A at the 0, 40 cycles. The fluorescence signal (40 cycle) was drastically increased confirming the influenza A H3N2 virus. The real-time profiles were successfully obtained using the optical fluorescence detector as shown in Figure 4B. The Rotary PCR and off-chip PCR were compared with same amount of influenza A H3N2 virus. The Ct value of Rotary PCR was smaller than the off-chip PCR without contamination. The whole process of the sample pretreatment and RT-PCR could be accomplished in 30 min on the fully integrated Rotary Genetic Analyzer system. We have demonstrated a fully integrated and portable Rotary Genetic Analyzer for detection of the gene expression of influenza A virus, which has 'Sample-in-answer-out' capability including sample pretreatment, rotary amplification, and optical detection. Target gene amplification was real-time monitored using the integrated Rotary Genetic Analyzer system. -
Imagine a world where we could biomanufacture hybrid nanomaterials having atomic-scale resolution over functionality and architecture. Toward this vision, a fundamental challenge in materials science is how to design and synthesize protein-like material that can be fully self-assembled and exhibit information-specific process. In an ongoing effort to extend the fundamental understanding of protein structure to non-natural systems, we have designed a class of short peptides to fold like proteins and assemble into defined nanostructures. In this talk, I will talk about new strategies to drive the self-assembled structures designing sequence of peptide. I will also discuss about the specific interaction between proteins and inorganics that can be used for the development of new hybrid solar energy devices. Splitting water into hydrogen and oxygen is one of the promising pathways for solar to energy convertsion and storage system. The oxygen evolution reaction (OER) has been regarded as a major bottleneck in the overall water splitting process due to the slow transfer rate of four electrons and the high activation energy barrier for O-O bond formation. In nature, there is a water oxidation complex (WOC) in photosystem II (PSII) comprised of the earthabundant elements Mn and Ca. The WOC in photosystem II, in the form of a cubical CaMn4O5 cluster, efficiently catalyzes water oxidation under neutral conditions with extremely low overpotential (~160 mV) and a high TOF number. The cluster is stabilized by a surrounding redox-active peptide ligand, and undergo successive changes in oxidation state by PCET (proton-coupled electron transfer) reaction with the peptide ligand. It is fundamental challenge to achieve a level of structural complexity and functionality that rivals that seen in the cubane Mn4CaO5 cluster and surrounding peptide in nature. In this presentation, I will present a new strategy to mimic the natural photosystem. The approach is based on the atomically defined assembly based on the short redox-active peptide sequences. Additionally, I will show a newly identified manganese based compound that is very close to manganese clusters in photosystem II.
-
To make the rationale design of interface between cell and artificial surface, many studies have been controlled influencing cue which can typically be divided into two central categories: chemical cues based on modification surface chemical properties containing attractive/repulsive molecules, and physical cues that may include applied tension/stress, electrical polarization, magnetic field, and topography. Recently, researches have been focused on physical cue, especially topography. The surface topography may influence cellular responses for example, cell adhesion, cell morphology and gene expression. However, there were few systematic studies about these nanotopographical effects on neuronal developments in a feature size-dependent manner. Herein, we report a nanoscale-resolved study of nanotopographical effects on cellular adhesion and growth. In this study, we use substrates with packed glass beads by rubbing method for generating highly periodic nanotopographies with various sizes. We found that acceleration of neuritogenesis appeared only on the beads larger than 200 nm in diameter, and observed that filopodial thickness was comparable with this scale. This study is expected to be essential to elucidate the nanotopographical effect on cellular adhesion and growth.
-
Recently, Cu2ZnSn(S,Se)4 (CZTSS), which is one of the In- and Ga- free absorber materials, has been attracted considerable attention as a new candidate for use as an absorber material in thin film solar cells. The CZTSS-based absorber material has outstanding characteristics such as band gap energy of 1.0 eV to 1.5 eV, high absorption coefficient on the order of 104 cm-1, and high theoretical conversion efficiency of 32.2% in thin film solar cells. Despite these promising characteristics, research into CZTSS based thin film solar cells is still incomprehensive and related reports are quite few compared to those for CIGS thin film solar cells, which show high efficiency of over 20%. I will briefly overview the recent technological development of CZTSS thin film solar cells and then introduce our research results mainly related to sputter based process. CZTSS thin film solar cells are prepared by sulfurization of stacked both metallic and sulfide precursors. Sulfurization process was performed in both furnace annealing system and rapid thermal processing system using S powder as well as 5% diluted H2S gas source at various annealing temperatures ranging from
$520^{\circ}C$ to$580^{\circ}C$ . Structural, optical, microstructural, and electrical properties of absorber layers were characterized using XRD, SEM, TEM, UV-Vis spectroscopy, Hall-measurement, TRPL, etc. The effects of processing parameters, such as composition ratio, sulfurization pressure, and sulfurization temperature on the properties of CZTSS absorber layers will be discussed in detail. CZTSS thin film solar cell fabricated using metallic precursors shows maximum cell efficiency of 6.9% with Jsc of 25.2 mA/cm2, Voc of 469 mV, and fill factor of 59.1% and CZTS thin film solar cell using sulfide precursors shows that of 4.5% with Jsc of 19.8 mA/cm2, Voc of 492 mV, and fill factor of 46.2%. In addition, other research activities in our lab related to the formation of CZTS absorber layers using solution based processes such as electro-deposition, chemical solution deposition, nano-particle formation will be introduced briefly. -
In this talk, I will present two research works in progress, which are: i) mapping of piezoelectric polarization and associated charge density distribution in the heteroepitaxial InGaN/GaN multi-quantum well (MQW) structure of a light emitting diode (LED) by using inline electron holography and ii) in-situ observation of the polarization switching process of an ferroelectric Pb(Zr1-x,Tix)O3 (PZT) thin film capacitor under an applied electric field in transmission electron microscope (TEM). In the first part, I will show that strain as well as total charge density distributions can be mapped quantitatively across all the functional layers constituting a LED, including n-type GaN, InGaN/GaN MQWs, and p-type GaN with sub-nm spatial resolution (~0.8 nm) by using inline electron holography. The experimentally obtained strain maps were verified by comparison with finite element method simulations and confirmed that not only InGaN QWs (2.5 nm in thickness) but also GaN QBs (10 nm in thickness) in the MQW structure are strained complementary to accommodate the lattice misfit strain. Because of this complementary strain of GaN QBs, the strain gradient and also (piezoelectric) polarization gradient across the MQW changes more steeply than expected, resulting in more polarization charge density at the MQW interfaces than the typically expected value from the spontaneous polarization mismatch alone. By quantitative and comparative analysis of the total charge density map with the polarization charge map, we can clarify what extent of the polarization charges are compensated by the electrons supplied from the n-doped GaN QBs. Comparison with the simulated energy band diagrams with various screening parameters show that only 60% of the net polarization charges are compensated by the electrons from the GaN QBs, which results in the internal field of ~2.0 MV cm-1 across each pair of GaN/InGaN of the MQW structure. In the second part of my talk, I will present in-situ observations of the polarization switching process of a planar Ni/PZT/SrRuO3 capacitor using TEM. We observed the preferential, but asymmetric, nucleation and forward growth of switched c-domains at the PZT/electrode interfaces arising from the built-in electric field beneath each interface. The subsequent sideways growth was inhibited by the depolarization field due to the imperfect charge compensation at the counter electrode and preexisting a-domain walls, leading to asymmetric switching. It was found that the preexisting a-domains split into fine a- and c-domains constituting a
$90^{\circ}$ stripe domain pattern during the$180^{\circ}$ polarization switching process, revealing that these domains also actively participated in the out-of-plane polarization switching. The real-time observations uncovered the origin of the switching asymmetry and further clarified the importance of charged domain walls and the interfaces with electrodes in the ferroelectric switching processes. -
Among recently discovered 2-dimensional materials, molybdenum disulfide has fascinating physical properties. It is atomically thin and is a semiconductor with with a similar level of bandgap with silicon. Especially, its properties get interesting when it becomes thinner. Its bandgap goes through bandgap transition from indirect to direct gap. Also its gap size increases as its thickness decreases. In this talk, I am going to present our recent work on characterization of its electrical and optical properties. We used Raman and PL spectroscopy to observe its property dependence on thickness. We fabricated electrical devices to study optimal condition for MoS2 devices. Also we synthesized large-area MoS2 films for devices applications.
-
고주파 가속기의 전자선원의 설계 및 제작검증을 위한 hot-firing station을 설계하였다. 본 장치는 전자총의 빔 사이즈, 빔 전류 등을 측정할 수 있는 기기로 전원장치부, 고진공 챔버, 측정장치부 등 크게 세 부분으로 이루어져 있다. 특히 전원장치는 이중에너지 모드를 구현할 수 있도록 에너지의 크기가 다른 두개의 전자총 펄스를 생산해 낼 수 있도록 설계되었다. 고진공 챔버는 고전압 절연체를 이용하여 제작되었으며, 스크롤(건식) 펌프 와 터보 분자펌프를 이용하여
$10^{-7}$ torr 저/고진공 배기를 수행한 뒤, 이온 펌프를 이용하여$10^{-8}$ torr까지 초고진공 배기를 수행할 수 있도록 설계하였다. 전자총으로 부터 나오는 전자들의 특성을 분석하기 위하여 패러데이 컵과 YAG 스크린을 설치하였다. 패러데이 컵은 전자빔의 인출 파형및 빔 전류를 측정하고, YAG 스크린은 CCD 카메라와 컴퓨터를 이용하여 전자빔의 균일도를 측정하여 정보를 얻도록 한다. 진공 쳄버의 최대 진공배기는$10^{-9}$ torr이다. -
진공중을 전파하는 번치된 전자빔은 공간전하 효과로 빔이 퍼지게 된다. 본 연구의 중점 내용은 S-band 고주파 전자 가속기를 개발하는데 있다. 전자 가속기란 전자를 수 MeV의 에너지로 가속시키는 장치이다. 가속기의 중요한 3대 요소는 진공, 전자석, RF이다. 본 연구에서는 가속기에서 중요한 좋은 진공상태에서 좋은 전자석을 사용하여 실험하였다. S-band(2852~2860 MHz) 고주파 전자가속기의 캐비티내 전기장의 효과로 가속되는 번치된 전자빔의 집속 및 스티어링 효과를 얻기 위하여 스티어링 및 솔레노이드 전자석을 제작하여 실험에 사용하였고, 실제 빔을 인출 후 포커싱 및 스티어링 효과를 관찰 할 수 있었다.
-
최근 반도체 산업의 급속한 발전과 더불어 핵심기술로 부각되고 있는 진공 기술은 다양한 응용목적을 위한 진공시스템 설계와 운영을 필요로 한다. 이는 진공에 대한 기초지식과 이해가 요구되며, 설계제작 및 운영은 많은 시간과 큰 비용이 요구된다. 따라서 응용 진공시스템 제작에는 구성에 따른 시스템 진공특성을 예측하는 것이 중요하며 목적에 부합한 진공펌프를 선택하고 운영하여 최소비용으로 시스템 활용효율성을 극대화할 수 있는 설계를 해야 한다. 현재 반도체 및 디스플레이 공정의 저전력, 대유량화 추세에 따라 최대 3,000 m3/h급의 드라이펌프가 여러 공정에서 적용되고 있는 추세이다. 이에 대응한 고유량 영역의 드라이펌프 부하 내구성 대응 요구에 부응하는 객관적 내구성평가의 정립 및 표준 측정 시험평가 방법의 필요성이 점차 대두되고 있는 경향에 있다. 이러한 현실적인 요구 조건에 필요한 기본적인 평가로 압력대별 드라이펌프의 적응능력을 실시간 측정하였다. 실험 조건으로는 각 드라이펌프 용량별 N2 가스를 1 시간에서 3 시간동안 1 mbar에서 최대 300 mbar까지 연속적인 부하 조건에서의 유량, 진동, 소음, 소비전력 등을 실시간으로 측정하였다. 이에 부응하는 평가장치 구축 및 시험분석은 한국표준과학연구원 진공펌프 평가실험실에서 수행되었다.
-
염료감응태양전지의 효율을 높이기 위해서, 광전극으로 쓰이는 다공성
$TiO_2$ 후막에 플라즈마원자증착기술(PEALD)과 원자증착기술(ALD)을 이용하여 알루미나($Al_2O_3$ )막을 3차원적으로 균일하고 매우 얇게 형성하였다. 이를 통해서 태양빛에 의해 여기된 염료의 전자가 알루미나를 통과(tunneling)하여$TiO_2$ 전도대로 도입되게 함과 동시에$TiO_2$ 전도대로 도입된 전자들이 전해질과 염료로 재결합하는 현상을 방지하였다. 결국 이러한 작용에 의해서 염료감응태양전지의 개방전압을 높이는 효과를 관측하였다. 나아가 PEALD와 ALD 두가지 방식으로 형성된$Al_2O_3$ 껍질층의 특성 차이를 비교 관찰하고 이에 따른 염료감응태양전지의 소자 특성에 미치는 영향을 고찰하였다. -
DMM은 방사광가속기의 백색광으로부터 단색광을 추출하기 위해 두 개의 다층 박막 (multilayer) 거울을 사용하는데, 첫 번째 거울은 Bragg 반사를 통해 분광을 하여 단색광을 생산하는 용도이고, 두 번째 거울은 이 단색광을 반사시켜 지면과 평행하게 출사되게 하기 위함이다. 일반적으로 사용되는 DCM (Double Crystal Monochromator)과의 차이점은, Bragg 반사를 위해 DCM에서는 결정을 사용하는 반면 DMM은 밀도차이가 많이나는 두 종류의 물질을 교대로 쌓아 올린 다층 박막을 사용한다는 것이다. 다층 박막의 주기가 곧 Bragg 반사에서의 d-spacing이 되며, X-선 분광의 목적으로 사용되는 d-spacing은 10-50
$\AA$ 사이이다. DCM이 0.01% 대의 우수한 에너지 분해능을 보이는데 비해, DMM은 1% 정도이다. 이 때문에 출사광의 밝기가 DCM에 비해 100배 밝은 특징이 있어서 에너지 분해능보다 광량이 더 중요한 응용에서 DMM이 사용된다. X-선 영상이나 방사선치료가 바로 이러한 응용에 해당한다. DMM은 포항가속기연구소와 (주) 벡트론에서 공동 설계하였으며, (주)벡트론에서 제작하였다. 그림 1에 DMM의 외형과 내부 구조를 나타내었다. Bragg 각의 조절 범위는 0.24-0.9도 이다. 입사광과 출사광의 수직 방향 offset을 10 mm로 유지하기 위해 두 번째 다층 박막이 수평방향으로 1,000 mm 가량 이동할 수 있어야 한다. 이를 위해 두 대의 고니오미터 stage를 사용하여 각각 첫 번째 및 두 번째 다층 박막의 위치와 방향을 제어한다. 첫 번째 다층 박막을 제어하는 고니오미터 stage는 하부가 전체 프레임에 고정되어 있고, 이 고니오미터의 회전축에서 Bragg 각을 조절한다. 두 번째 다층 박막을 제어하는 고니오미터 stage는 높이방향과 수평방향으로 이동이 가능하다. 다층 박막의 pitch는 고니오미터의 회전축에서 조절한다. 그리고 tilt stage를 사용하여 다층 박막의 roll을 조절한다. -
5년 동안 진행된 고진공 펌프 개발 사업의 일환으로 맥동관형 냉동기에 활성탄 어레이를 장착한 흡기구 직경 14인치 국산 크라이오펌프 완제품이 만들어졌다. 이미 지난해에 완성한 시제품을 가지고 모든 배기성능시험을 완료하고 목표를 뛰어 넘는 성능을 가지고 있음을 입증하였지만 완제품의 경우는 현장에서 스퍼터링 공정에 대한 신뢰성과 열적 내구성 시험이 중요한 항목으로 대두되었다. 개발된 펌프를 생산 공정에 직접 투입하는 모험을 하지 않으면서도 신뢰성을 확보하는 방편으로 카이스트 나노종합팹 센터에서 가동중인 스퍼터링 장비에 완제품을 달고 기존 공정과 같은 방식으로 장치를 운영하면서 외제 상용품을 사용했을 때와 진공성능 및 공정수율을 비교하는 시험을 수행하였다. 또 내구성 시험 항목으로 열부하 시험과 열 사이클 시험을 수행하였다. 열부하 시험은 300도까지 온도를 올릴 수 있는 열판을 펌프 흡기구 배플 앞에 설치하여, 냉동기 2차 냉각단 온도가 20 K 이하로 유지될 수 있는 최대 허용 복사열을 측정하는 방식으로 진행되었으며 열 사이클 시험은 크라이오 펌프의 활성화 기능을 활용하여 완전 활성화(full regen., 10K-300K-10K) 과정을 10회 연속 시행하여 펌프의 배기성능과 기계적 이상 유무를 관찰하였다.
-
Kwon, Tae-Yang;Kwak, Jinsung;Chu, Jae Hwan;Choi, Jae-Kyung;Lee, Mi-Sun;Kim, Sung Youb;Shin, Hyung-Joon;Park, Kibog;Park, Jang-Ung;Kwon, Soon-Yong 131
A single-layer graphene has been uniformly grown on a Cu surface at elevated temperatures by thermally processing a poly(methyl methacrylate) (PMMA) film in a rapid thermal annealing (RTA) system under vacuum. The detailed chemistry of the transition from solid-state carbon to graphene on the catalytic Cu surface was investigated by performing in-situ residual gas analysis while PMMA/Cu-foil samples being heated, in conjunction with interrupted growth studies to reconstruct ex-situ the heating process. The data clearly show that the formation of graphene occurs with hydrocarbon molecules vaporized from PMMA, such as methane and/or methyl radicals, as precursors rather than by the direct graphitization of solid-state carbon. We also found that the temperature for vaporizing hydrocarbon molecules from PMMA and the length of time the gaseous hydrocarbon atmosphere is maintained, which are dependent on both the heating temperature profile and the amount of a solid carbon feedstock are the dominant factors to determine the crystalline quality of the resulting graphene film. Under optimal growth conditions, the PMMA-derived graphene was found to have a carrier (hole) mobility as high as ~2,700 cm2V-1s-1 at room temperature, superior to common graphene converted from solid carbon. -
The electronic and adsorption structures of Mg and p-tert-butylcalix[4]arene (p-TBCA) adsorbed onto a Ge(100) surface under a variety of sample conditions were characterized using high-resolution photoemission spectroscopy (HRPES) and their corresponding DFT calculation results. Interestingly, after 0.10 ML p-TBCA molecules had been adsorbed onto a Ge(100) surface, subsequent adsorption of a small amount of metallic Mg (~0.10 ML) resulted in the formation of a capped structure inside the pre-adsorbed p-TBCA molecules. The adsorption structures resulting from further deposition of Mg (~0.50 ML) onto the Ge(100) surface were monitored based on the surface charge state and Mg 2s core level spectrum. Work function measurements clearly indicated the electronic structures of the Mg and p-TBCA adsorbed onto the Ge(100) surface. Moreover, we confirmed that three different adsorption structures are experimentally favorable at room temperature through DFT calculation results.
-
High-resolution photoemission spectroscopy (HRPES) measurements were collected and density functional theory (DFT) calculations were conducted to track the coverage dependent variation of the absorption structure of 2-thiophenecarboxaldehyde (C4H3SCHO: TPCA) on the Ge(100) surface at room temperature. In an effort to identify the most probably adsorption structures on the Ge(100) surface, we deposited TPCA molecules at a low coverage and at a high coverage and compared the differences between the electronic features measured using HRPES. The HRPES data provided three possible adsorption structures of TPCA on the Ge(100) surfaces, and DFT calculations were used to determine the plausibility of the structures. HRPES analysis, corroborated by DFT calculations, indicated that an S-dative bonded structure was the most probable adsorption structure at relatively lower coverage levels, the [4+2] cycloaddition structure was the second most probable structure, and the [2+2]-C=O cycloaddition structure was the last probable structure on the Ge(100) surfaces at relatively higher coverage levels.
-
The most stable adsorption structures and their corresponding energies of 4-pyridone, 4-hydroxypyridine, 2-pyridone and 2-hydroxypyridine have been investigated by Density Functional Theory (DFT) calculation method and high-resolution photoemission spectroscopy (HRPES). We confirmed that between the two reaction centers of 4- and 2-pyridone, only O atom of carbonyl functional group can act as a Lewis base and thus, O dative bonding structure is the most stable. On the other hand, we clarified that both the two reaction centers (the cyclic N atom and the O atom of hydroxyl functional group) of 4- and 2-hydroxypyridine (tautomers of 4- and 2-pyridone) can successfully function as a Lewis base. Through the interpretation of the N 1s and O 1s core level spectra obtained using HRPES, we could confirm the electronic structures and bonding configurations of these molecules with a coverage dependence on the Ge(100) surface.
-
Shape control of metal nanocrystals has broad applications, including catalysis, plasmonics, and sensing. It was found that controlling the atomic arrangement on metal nanocrystal surfaces affects many properties, including the electronic dipole or work function. Tuning the surface structure of exposed facets of metal nanocrystals was enabled by shape control. We investigated the effect of shape on nanomechanical properties, including friction and adhesion forces. Two nanoparticles systems, high-index {321} and low-index {100}, were used as model nanoparticle surfaces. Scanning force microscopy was used to probe nanoscale friction and adhesion. Because of the abundant presence of high-density atomic steps and kinks, high-index faceted nanoparticles have a higher surface energy than low-index faceted cubic nanoparticles. Due to this high surface energy, high-index faceted particles have shown stronger adhesion and higher friction than low-index nanoparticles. We discuss the results in light of the differences in surface energy as well as the effect of capping layers in the measurement.
-
Yu, Yeong-Jun;Hwang, Ji-Seop;Park, Jeong-Su;Lee, Ju-Yeol;Gang, Ji-Hun;Lee, Gwang-Hun;Lee, Bo-Hwa;Kim, Gi-Won;Lee, Yeong-Baek 147
Multiferroic material$BiFeO_3$ (BFO) is a typical multiferroic material with a room-temperature magnetoelectric coupling in view of high magnetic- and ferroelectric-ordering temperatures (Neel temperature$T_N$ ~ 647 K and Curie temperature TC ~1,103 K). Rare-earth ion substitution at the Bi sites is very interesting, which induces suppressed volatility of the Bi ion and improved ferroelectric properties. At the same time, the Fe-site substitution with magnetic ions is also attracting, since the enhanced ferromagnetism was reported. In this study, BFO,$Bi_{0.9}Dy_{0.1}FeO_3$ (BDFO),$BiFe_{0.97}Co_{0.03}O_3$ (BFCO) and$Bi_{0.9}Dy_{0.1}Fe_{0.97}Co_{0.03}O_3 $ (BDFCO) compounds were prepared by conventional solid-state reaction and wet-mixing method. High-purity$Bi_2O_3$ ,$Dy_2O_3$ ,$Fe_2O_3$ and$Co_3O_4$ powders with the stoichiometric proportions were mixed, and calcined at$500^{\circ}C$ for 24 h. The samples were immediately put into an oven, which was heated up to 800oC and sintered in air for 1 h. The crystalline structure of samples was investigated at room temperature by using a Rigaku Miniflex powder diffractometer. The field-dependent magnetization measurements were performed with a vibrating-sample magnetometer. The electric polarization was measured at room temperature by using a standard ferroelectric tester (RT66B, Radiant Technologies). Dy and Co co-doping at the Bi and the Fe sites induce the enhancement of both magnetic and ferroelectric properties of$BiFeO_3$ . -
무기물 기반, Si-based 태양전지에 비해 가볍고 저렴하다는 관점에서 유기태양전지에 대한 연구가 진행되고 있다. 유기태양전지는 Si-based 태양전지에 비해 그 효율이 낮다는 점이 문제로 제기되어 왔지만, 억셉터와 도너의 nanocomposite 구조인 bulk-heterojunction (BHJ) 구조가 개발이 되면서 유기물의 짧은 엑시톤(exciton) 거리를 극복할 수 있게 되어 그 효율이 비약적으로 증가되는 결과를 낳았다. 또한 넓은 범위의 파장을 흡수 할 수 있는 작은 band-gap을 갖는 물질이 개발됨으로써 유기 태양전지의 효율은 점차 증가하고 있다. 최근에는 독일 회사인 Heliatek에서 12%가 넘는 유기태양전지를 발표함으로써 유기태양전지가 Si-based 태양전지를 대체할 수 있는 차세대 에너지 공급원으로의 가능성을 충분히 보였다. 이런 유기 태양전지는 하부 투명전극인 인듐주석산화물(ITO)/정공이동층(PEDOT:PSS)/광흡수층/전자이동층(LiF)/낮은 일함수를 갖는 상부전극인 Al 구조의 일반적인 구조; ITO/전자이동층/광흡수층/정공이동층/높은 일함수를 갖는 상부전극(Ag), 전하의 이동방향이 반대인 역구조 태양전지, 두 가지로 분류할 수 있다. 하지만 소자 안정성의 관점에서 일반적인 구조의 태양전지는 ITO/PEDOT:PSS 계면에서의 화학적 불안정성과, 낮을 일함수를 갖는 상부전극이 쉽게 산화되는 등의 문제가 있어 상부전극으로 높은 일함수를 갖는 전극을 사용하는 역구조 태양전지가 더 유리하다. 이러한 역구조 태양전지에서 효율을 높일 수 있는 요인 중 하나는 전자이동층에 있다. 광흡수층에서 형성되어 분리된 전자가 전극으로 이동하기위해서는 전자이동층을 거쳐야 한다. 하지만 이 전자이동층 내에서의 전자 이동속도가 느리다면, 즉 저항이 크다면 광흡수증과의 계면에서 Back electron trasnfer현상으로 재결합이 일어나게 되어 전극으로 도달하는 전자의 양이 줄어들게 되고, 이는 유기태양전지 효율을 낮추는 요인이 된다. 전자이동층 자체의 저항뿐만 아니라, 전자이동층의 표면 거칠기(morphology) 또한 유기 태양전지의 효율을 좌우하는 요인 중 하나이다. 광흡수층과 전자이동층의 계면에서 전자의 이동이 일어나는데, 전자이동층의 표면 거칠기가 크게되면 그 위에 박막으로 형성되는 광흡수층과의 계면저항이 증가하게 되고, 이는 광흡수층에서 전자이동층으로의 원활한 전자이동을 저해함으로써 소자 효율의 감소를 일으키게 된다. 따라서 우리는 전자이동층인 ZnO 박막의 스퍼터링 조건을 변화시킴으로써 ZnO 층의 두께에 따른 광투과도, 전기전도성 변화 및 유기태양전지의 효율변화와, 표면 거칠기에 따른 광변환 효율 변화를 관찰하고자 한다.
-
Mechanism of energy conversion from chemical to electrical during exothermic catalytic reactions at the metal surfaces has been a fascinating and crucial subject in heterogeneous catalysis. A metal-semiconductor Schottky nanodiode is novel device for direct detection of chemically induced hot electrons which have sufficient energy to surmount the Schottky barrier. We measured a continuous chemicurrent during the hydrogen oxidation under of 760 Torr of O2 and 6 Torr of H2 by using Pt/Si and Pt/TiO2 nanodiodes at reaction temperatures and compared the chemicurrent with the reaction turnover rate. The thermoelectric current was measured by carrying out an experiment under O2 condition for elimination of the background current. Gas chromatograph and source meter were used for measurement of the chemical turnover rate and the chemicurrent, respectively. The correlation between the chemicurrent and the chemical turnover rate under hydrogen oxidation implies how hot electrons generated on the metal surface affect hydrogen oxidation.
-
대기압 저온 플라즈마는 간단한 구조 및 제작, 쉬운 조작성, 낮은 온도 특성, 높은 화학적 반응성과 같은 많은 장점에도 불구하고, 플라즈마의 에너지가 낮아 다양한 산업적 응용에 제약을 받아왔다. 이러한 단점을 극복하기 위해서 대기압에서 저온 플라즈마의 에너지를 높이는 여러 시도가 있었으며, 그 중 가까이 인접해 있는 둘 이상의 플라즈마 젯들의 결합 현상(plasma jet-to-jet coupling)을 이용하여 플라즈마 강도를 높이려는 시도가 보고되었다. 본 연구에서는 플라즈마를 발생시키는 유리관을 서로 모아 벌집모양의 배열을 갖는 플라즈마 젯 어레이 장치를 만들어 플라즈마 젯 사이에 상호결합을 유도하여 강한 플라즈마 발광을 발생시켰다. 플라즈마 젯 어레이 장치 중 가운데 위치한 플라즈마 젯은 대기압 플라즈마 젯의 형태를 구현하는 역할을 하고, 가운데를 둘러싼 주변의 여러 플라즈마 젯들은 중앙의 플라즈마 젯에 많은 하전입자를 제공하여 플라즈마 젯의 발광강도를 높이는 역할을 하는 것을 확인했다. 헬륨기체를 사용한 이 플라즈마 젯은
$100^{\circ}C$ 이하의 온도임에도 불구하고 ITO 유리의 유리면을 식각할 만큼 높은 에너지를 가졌다. 이러한 대기압 저온플라즈마 장치에서 플라즈마의 강도를 더 높이기 위해서는 플라즈마 젯 간 결합이 더 많이 일어나는 것이 중요하므로, 이를 위해 주변의 플라즈마 젯의 개수를 높이는 시도를 하였다. 플라즈마 젯 어레이 소자의 중심에 위치한 유리관의 크기를 크게 하고, 주변부의 유리관의 크기를 상대적으로 작게 하여 벌집형태의 배열보다 더 많은 유리관을 주변부에 위치시킨 후 플라즈마를 발생시키고 전기 광학적 특성을 측정하였다. 그 결과, 실험조건에 따라 가운데 플라즈마 젯에서 3배에서 5배 이상 높은 플라즈마의 발광강도를 얻었으며, 플라즈마 젯도 더 안정적으로 발생하였다. 주변부의 유리관의 개수가 증가하면 더 많은 양의 하전 입자들이 플라즈마 결합 과정에 참여하게 되고 결과적으로 더 큰 플라즈마의 발광강도를 나타내는 것이다. 본 실험은 하전입자의 상호작용에 의해 발생하는 서로 인접한 플라즈마 젯 간의 결합이 대기압 저온 플라즈마 젯의 플라즈마 발광강도를 높이는 좋은 방법임을 보였다. 이러한 플라즈마 젯 간의 결합은 대기압 저온 플라즈마의 에너지를 높일 수 있는 쉽고 간단한 방법이며, 이 방법을 이용하여 대기압 저온 플라즈마를 표면처리, 표면개질은 물론, 식각 및 증착, 나아가서는 의료/바이오 분석 기술 등 다양한 학문적, 산업적 응용에도 적용할 수 있을 것으로 기대한다. -
Seo, Hyeon-Jin;Hwang, Gi-Hwan;Nam, Sang-Hun;Ju, Dong-U;Lee, Jin-Su;Yu, Jeong-Hun;Bu, Jin-Hyo;Yun, Sang-Ho 159
In this studying, we investigated the basic properties of N-doped plasma polymer. The N-doped plasma polymer thin films were deposited by radio frequency (13.56 MHz) plasma-enhanced chemical vapor deposition method. Various carbon-source were used as organic precursor with hydrogen gas as the precursor bubbler gas. Additionally, ammonia gas [NH3] was used as nitrogen dopant. The as-grown polymerized thin films were analyzed using cyclic voltammetry, ellipsometry, Fourier-transform infrared [FT-IR] spectroscopy, Raman spectroscopy, FE-SEM, and water contact angle measurement. Electronic property of N-doped plasma thin film is changed as flow rate of the NH3 gas. -
최근에 희토류 이온이 치환 고용된 실리케이트계 형광체를 발광 소자, 레이저, 광전 소자에 응용하기 위한 연구에 많은 관심이 집중되고 있다. 본 연구에서는 고상 반응법을 사용하여 초기 물질 CaO (99.99% 순도), SiO2 (99.99%), RE2O3 (RE=Sm3+, Tb3+, 99.9%)을 화학 적량으로 준비하여 활성제 이온 Sm3+과 Tb3+이온의 함량비를 0, 0.01, 0.05, 0.10, 0.20 mol로 변화시켜 Ca2SiO4:RE3+ 형광체를 제조하여 그것의 발광과 흡광 특성을 조사하였다. Sm3+ 이온이 도핑된 Ca2SiO4 형광체의 경우에, 발광 스펙트럼은 Sm3+ 이온의 함량비에 관계없이 모든 시료에서 602 nm에 피크를 갖는 강한 주황색 발광 스펙트럼, 상대적으로 발광 세기가 약한 569 nm에 정점을 갖는 황색 발광과, 652 nm와 711 nm에 피크를 갖는 적색 발광 스펙트럼이 관측되었다. Sm3+ 이온의 함량비가 0.01 mol 일 때 세 영역의 발광 스펙트럼의 세기는 최대값을 나타내었다. Sm3+ 이온의 함량비가 증가함에 따라 모든 발광 스펙트럼의 세기는 순차적으로 감소하였다. 이 현상은 농도 소광 현상에 기인함을 알 수 있었다. Sm3+ 이온이 도핑된 형광체 분말의 경우에, 주 흡광 스펙트럼은 Sm3+ 이온의 함량비에 관계없이 408 nm에서 관측되었으며, 이밖에도 상대적으로 흡광 세기가 약한 349 nm, 367 nm, 476 nm에서 흡광이 발생하였다.
-
희토류 발광 물질은 4f 껍질에 위치하는 전자의 독특한 특성 때문에 발광 소자와 디스플레이에 그 응용성을 확장하고 있다. 본 연구에서는 고효율의 적색과 주황색 형광체를 합성하기 위하여 모체 격자 CaNb2O6에 희토류 이온인 유로퓸과 사마륨을 치환 고용하여 최적의 합성 조건을 조사하였다. Ca1-1.5xNb2O6:REx3+ (RE=Eu, Sm) 형광체 분말 시료는 고상반응법을 사용하여 활성제 이온인 Eu3+와 Sm3+의 농도비를 0, 0.01, 0.05, 0.10, 0.15, 0.20 mol 로 변화시키면서 합성하였다. 초기 물질 CaO, Nb2O5, Eu2O3와 Sm2O3을 화학 적량으로 측정하고, 400 rpm의 속도로 24시간 밀링 작업을 수행한 후에, 건조기
$60^{\circ}C$ 에서 28시간 건조하고, 시료를 막자 사발에서 갈아 세라믹 도가니에 담아 튜브형 전기로에서 분당$5^{\circ}C$ 의 비율로 승온시켜$500^{\circ}C$ 에서 5시간 동안 하소와$1,100^{\circ}C$ 에서 6시간 소결하여 합성하였다. Eu3+가 도핑된 경우에, 발광 스펙트럼은 Eu3+ 이온의 농도비에 관계없이 강한 적색 발광 스펙트럼이 616 nm에서 관측되었다. 이외에도, 596 nm와 708 nm에서 상대적으로 발광 세기가 약한 주황색 발광과 적색 발광 신호가 검출되었으며, 541 nm에서는 매우 약한 녹색스펙트럼이 관측되었다. Eu3+ 이온의 농도비에 0.01 mol에서 0.15 mol로 증가함에 따라 주발광 신호의 세기는 점점 증가하였으며, 0.15 mol에서 최대 발광 세기를 나타내었다. Eu3+ 이온의 농도비가 0.20 mol 로 더욱 증가함에 따라 주 피크의 세기는 농도 소강 현상에 의하여 현저히 감소함을 보였다. 한편, 주된 흡광 스펙트럼은 279 nm에서 나타났는데, 이것은 전하전달밴드 신호이다. Sm3+가 도핑된 형광체 분말의 발광 스펙트럼은 모든 시료의 경우에 613 nm에서 강한 적주황색 발광 스펙트럼이 관측되었고, 상대적으로 세기가 약한 570 nm와 660 nm에 피크를 갖는 황색과 적색 발광 스펙트럼이 발생하였다. 흡광과 발광 스펙트럼의 최대 세기는 0.05 mol에서 나타났으며, Sm3+ 이온의 농도비가 더욱 증가함에 따라 흡광과 발광 세기는 급격하게 감소하였다. -
최근에 희토류 이온이 도핑된 형광체를 발광 소자, 레이저, 섬광재료, 광섬유, 촉매, 디스플레이와 같은 다양한 분야에 응용하기 위한 연구에 상당한 관심이 집중되고 있으며, 희토류 이온이 도핑된 발광 물질은 음극선관, 램프 조명, 플라즈마 디스플레이, X선 검출기, 전계 방출 디스플레이 소자를 포함한 다양한 영역에 응용되고 있다. 본 연구에서는 고상 반응법을 사용하여 발광 효율이 높은 적색과 주황색 형광체를 제조하고자 서로 다른 활성체 이온 Eu3+, Sm3+의 농도를 변화 시키면서 두 종류 Ca1-1.5xTa2O6:Eux3+와 Ca1-1.5xTa2 O6:Smx3+ 형광체 분말을 합성하였다. 특히, 활성제 이온의 농도비에 따른 형광체 분말의 결정 구조, 표면 형상, 입자의 크기, 흡광과 발광 특성을 측정하였다. 그림은 활성체 이온의 함량비를 달리하여 합성한 Ca1-1.5xTa2O6:Eux3+, Ca1-1.5xTa2O6:Smx3+ 형광체 분말에서 측정한 흡광(photoluminescence excition) 스펙트럼의 결과를 나타낸 것이다. 여기 파장 399 nm로 여기 시킨 Ca1-1.5xTa2O6:Eux3+ 형광체 분말의 경우에, 발광 세기가 가장 강한 617 nm의 주 피크가 관측되었다. 또한, 여기 파장 410 nm로 여기 시킨 Ca1-1.5xTa2O6:Smx3+ 형광체 분말의 경우에는 발광 세기가 가장 강한 주 피크는 609 nm에서 나타났다. 실험 결과로부터, Eu3+와 Sm3+ 이온이 각각 도핑된 CaTa2O6 형광체의 경우에는 색 순도가 높은 파장과 발광 세기는 Eu3+ 이온인 경우에 0.15 mol, Sm3+ 이온이 도핑되는 경우에는 0.05 mol이 최적의 합성 조건임을 알 수 있었다.
-
최근에 산화물 형광체는 황화물 형광체에 비해 높은 화학적 안정성을 나타내기 때문에 백색 발광 다이오드, 전계방출 디스플레이와 플라즈마 디스플레이 패널에 그 응용성을 넓히고 있다. 마그네슘 니오베이트(magnesium niobate, MgNb2O6)는 우수한 유전 특성(상대 유전상수=18.4)을 나타내기 때문에 마이크로파 유전체로 응용 가능하며, 단일상 릴랙서 페라브스카이트(relaxor perovskite) Pb(Mg1/3Nb2/3)O3을 합성하기 위한 전구체 (precursor)로 널리 사용되고 있으며, 나이오븀산염 이온에서 다양한 색상을 방출하는 활성제 이온으로 효율적인 에너지 전달이 일어남으로써 Sm3+, Dy3+, Eu3+와 같은 희토류 이온의 좋은 모체 격자로 개발할 수 있다. 본 연구에서는 마그네슘 니오베이트 MgNb2O6 모체 결정에 다양한 활성제 이온, 즉 Eu3+, Sm3+, Dy3+, Tb3+를 선택적으로 주입하여 발광 효율이 높은 천연색 형광체를 합성하고자 한다. 특히, 모체 결정에 주입되는 활성제 이온 주위의 국소적인 환경이 반전 대칭에서 변형되는 척도를 조사하여 활성제의 주 발광 파장의 세기가 최대가 되는 최적의 조건을 결정하고자 한다. Mg1-1.5xNb2O6:REx3+ 형광체 분말 시료는 초기 물질 MgO, Nb2O5와 희토류 이온을 화학 반응식에 맞게 정밀 저울로 측량하여 플라스틱 용기에 ZrO2 볼과 함께 넣고, 소정의 에탄올을 채운 뒤 밀봉하고서, 300 rpm의 속도로 20시간 볼밀 (ball-mill) 작업을 수행하였다. 그 후, 체(sieve)로 ZrO2 볼을 걸러낸 다음에 혼합된 용액을 각 비커에 담아서
$40^{\circ}C$ 의 건조기에서 24시간 건조하였고, 건조된 시료를 막자 사발에 넣고 잘게 갈고 80${\mu}m$ 의 체로 걸러낸 후에, 알루미나 도가니에 활성제 이온별로 각각 담아, 전기로에 장입하여 매분당$5^{\circ}C$ 의 비율로 온도를 상승시켜$350^{\circ}C$ 에서 5시간 동안 하소 공정을 실시한 후에, 온도를 계속 일정한 율로 증가시켜$1,200^{\circ}C$ 에서 5시간 동안 소성하여 합성하였다. 합성된 형광체 분말의 결정 구조는$Cu-K{\alpha}$ 복사선(파장: 1.5406)을 사용하여 X-선회절장치로 측정하였으며, 형광체의 표면 형상은 전계형 주사전자현미경으로 관측하였다. 흡광와 발광스펙트럼은 제논 램프를 광원으로 갖는 형광 광도계를 사용하여 측정하였다. 모체 결정에 활성제 이온 Eu3+, Sm3+, Dy3+, Tb3+가 도핑된 형광체 분말은 각각 적색, 주황색, 황색, 녹색 발광이 관측되었다. 각 발광 스펙트럼과 결정 입자의 크기와 형상 사이의 상호 관계를 조사하였다. 실험 결과로부터, 각 형광체의 발광 파장은 활성제 이온의 종류 와 서로 밀접하게 관련되어 있으며, 형광체 시료 합성시 활성제 이온의 농도를 선택적으로 조절함으로써 발광의 세기를 제어할 수 있음을 확인하였다. -
형광체를 조명과 디스플레이 산업에 응용하기 위해서는 충분히 밝은 빛을 제공하는 형광체의 발광 세기가 중요한 변수이다. 이러한 발광 특성은 주로 모체 격자에 도핑 되는 활성제의 농도, 입자의 형상과 크기 분포의 균일성, 결정성에 따라 달라진다. 본 연구에서는 Ca2SiO4 모체 결정에 도핑한 활성제 Eu3+와 Dy3+ 이온의 농도를 변화시키면서 고상 반응법을 사용하여 높은 발광 효율을 갖는 Ca2-1.5xSiO4::Eux3+ 적색 형광체와 Ca2-1.5xSiO4:Dyx3+ 백색 형광체를 합성하였다. 특히, 활성제 Eu3+와 Dy3+ 이온 농도의 변화가 형광체의 결정 구조, 소성 온도, 입자의 표면 형상, 광학 스펙트럼의 발광 효율에 미치는 영향을 조사하여 최적의 합성 조건을 결정하였으며, 회절 신호의 반치폭과 발광 세기의 상호 관계를 조사하였다. Ca2-1.5xSiO4::Eux3+와 Ca2-1.5xSiO4:Dyx3+ 형광체 초기 분말 시료는 CaO (99.9% 순도), SiO2 (99.9%), Dy2O3 (99.9%)와 Eu2O3 (99.9%)인 화학 물질을 구입하여 초정밀 저울로 화학양론적으로 측정하였다. 이때 Eu와 Dy의 함량비는 x=0, 0.01, 0.05, 0.1, 0.15, 0.2 mol로 변화 시키면서 합성하였다. Ca2-1.5xSiO4: Dyx3+ 형광체 분말 시료의 경우에 소결 온도를 각각
$1000^{\circ}C$ 와$1100^{\circ}C$ 로 달리하여 흡광과 발광 스펙트럼의 세기를 비교해 본 결과, 서로 다른 두 소결 온도에서 합성한 두 형광체 분말은 동일하게 Dy3+의 몰 비가 0.05 mol일 때 주 발광 스펙트럼의 세기는 최대값을 나타내었다. 파장 355 nm로 여기시킨 Dy3+ 함량비에 따른 Ca2-1.5xSiO4:Dyx3+ 형광체 분말의 발광 스펙트럼은 Dy3+ 함량비에 관계 없이 581 nm에서 가장 강한 황색 발광을 보였다. 함량비가 증가함에 따라 발광 스펙트럼의 변화가 관측되었는데, Dy3+의 몰 비가 0.01 mol~0.05 mol인 영역에서는 발광 세기가 증가하여 0.05 mol에서 최대를 나타내다가 Dy3+의 몰 비가 더욱 증가함에 따라 발광세기는 현저히 감소하는 경향을 나타내었는데, 이 현상은 농도 소광 현상으로 해석 할 수 있다. 이외에도, Eu3+와 Dy3+ 이온의 함량비와 소결 온도가 결정 입자의 크기와 흡광 스펙트럼에 미치는 결과를 조사하였다. -
최근에 희토류 이온이 도핑된 형광체를 램프, 플라즈마, 디스플레이 패널, 음극선관, 광전 소자에 응용하기 위한 연구에 많은 노력이 경주되고 있다. 특히 희토류 이온은 4f-껍질에 존재하는 전자의 독특한 성질 때문에 좁은 밴드폭과 강한 발광 특성을 나타내므로 발광 다이오드, 자석, 촉매, 디스플레이 패널용 형광체로 개발되고 있다, 본 연구에서는 고효율의 녹색과 적색 형광체를 개발하기 위하여 모체 결정은 SrSnO3, 활성제 이온은 Eu (유로퓸) 와 Tb (테르븀)을 선택하여 도핑하였다. 합성된 형광체 분말의 회절상을 XRD로 측정한 결과에 의하면, Eu3+와 Tb3+의 함량비에 관계없이 모든 세라믹 분말은 JCPDS #74-1298에 제시된 회절상과 일치하였으며, 주 피크는
$31.3^{\circ}$ ,$44.9^{\circ}$ ,$55.8^{\circ}$ 에서 최대값을 갖는 (110), (200), (211)면에서 발생한 회절 신호이며, 이밖에도$22.04^{\circ}$ ,$65.4^{\circ}$ ,$74.3^{\circ}$ 에서 약한 회절 피크를 갖는 (100), (220), (013)면의 신호들이 관측되었다. Eu3+ 이온의 함량비가 0.05 mol 인 경우에 (110)과 (211) 피크의 세기가 최대값을 나타내었고, Eu3+의 함량비가 증가함에 따라 두 피크의 세기는 점점 감소하였다. XRD의 데이터를 Scherrer의 식에 대입하여 계산한 결정 입자의 크기는 Eu3+ 이온이 도핑된 경우에는 0 mol에서 최소의 크기를 나타내었고, Tb3+ 이온이 도핑된 경우에 입자의 크기는 0.05 mol에서 최소이었고 0 mol에서 최대값을 보였다. SEM으로 촬영한 표면 형상의 변화를 관측한 결과, Eu3+의 함량비가 0.15 mol인 경우에, 결정 입자의 평균 크기는 400~450nm이며, Tb3+의 함량비가 0.05 mol인 경우에, 결정 입자의 평균 크기는 270~290nm 이었으며, 입자의 형상은 균일하게 분포하는 구형 형태을 보였다. Eu3+와 Tb3+ 이온의 함량비가 점점 증가함에 따라 미립자들이 서로 뭉쳐져서 각각 약 720 nm와 580 nm의 크기를 갖는 큰 입자를 형성하였고, 불규칙적인 분포를 나타내었다. 여기 파장 293 nm에서 Tb3+가 도핑된 SrSnO3:Tb3+ 형광체 분말의 발광 스펙트럼을 측정한 결과에 의하면, Tb3+의 함량비에 관계없이 모든 시료는 주 피크인 550 nm (녹색)와 상대적으로 세기가 약한 500, 590, 630 nm에서 발광 스펙트럼을 나타내었다. 주 피크의 발광 세기는 0.01 mol~0.15 mol에서는 증가 하였고, 더욱 함량비를 증가함에 따라 급격하게 감소하였다. 이 현상은 활성제 이온의 mol 비가 증가함에 따라 이온 사이의 거리가 가까워져서 서로 뭉치는 현상이 주도적으로 작용하여 발광의 세기가 현저히 감소하는 것으로 판단된다. 0.10 mol 일 때 세기가 가장 강한 녹색 형광 신호를 얻었다. 여기 파장 400nm에서 Eu3+가 도핑된 SrSnO3:Eu3+ 형광체 분말의 형광 스펙트럼은 Eu3+의 함량비에 관계없이 590, 619, 696 nm에서 관측되었다. Eu3+의 몰 비가 0.01~0.05 mol 영역에서 619 nm가 주 피크이나, 몰 비가 더욱 증가함에 다라 주 피크의 파장은 590 nm로 이동하였다. 한편, 696 nm의 발광세기는 몰 비가 증가함에 따라 더욱 증가하였다. -
최근에 디스프로슘 이온이 도핑된 형광체의 백색 발광 현상 때문에 백색 발광 소재의 제조에 관한 연구가 상당한 관심을 끌고 있다. 본 연구에서는
$Eu^{3+}$ 와$Dy^{3+}$ 이온의 몰 비를 변화시키면서$BaNb_2O_6:RE^{3+}$ (RE=Eu, Dy) 형광체 분말을 합성한 결과를 보고한다. 특히 활성제 이온인$Eu^{3+}$ 와$Dy^{3+}$ 이온의 몰 비에 따른$BaNb_2O_6$ 형광체 분말의 결정 구조, 입자의 모양과 크기, 흡광과 발광 스펙트럼의 변화를 관측하였고, 최적의 합성 조건을 제시하고자 한다. 파장 393 nm로 여기 시킨$Dy^{3+}$ 이온의 몰 비에 따른$BaNb_2O_6$ 형광체 분말의 발광 스펙트럼은 580 nm에 주 피크를 갖는 황색 스펙트럼이 관측되었다. 이 발광 신호는$^4F_{9/2}-^6H_{13/2}$ 전이 신호이다.$Dy^{3+}$ 이온의 몰 비가 0 mol인 경우에는 발광 신호가 검출되지 않았다.$Dy^{3+}$ 이온의 몰 비가 0.10 mol일 때 발광 피크의 세기는 최대이었으며,$Dy^{3+}$ 이온의 몰 비가 더욱 증가함에 따라 발광 스펙트럼의 세기는 계속 증가하지 않고 갑자기 감소하기 시작하였다. 이것은$Dy^{3+}$ 이온의 몰 비가 임계값을 초과하여 더욱 증가하면 모체 격자들 사이에 치환 고용되어 있는$Dy^{3+}$ 이온들 사이의 거리가 더욱 가까워져서$Dy^{3+}$ 이온들이 서로 용이하게 결합함으로써 내부 산란에 의하여 발광의 세기가 감소함을 의미한다. 흡광 스펙트럼의 경우에,$Dy^{3+}$ 이온의 몰 비가 0.01 mol일때 형광체 분말은 두 종류의 흡광 스펙트럼을 나타내었다. 첫째는$Dy^{3+}$ 양이온과$O^{2-}$ 음이온들 사이에 발생한 전하 전달 밴드에 의해 발생하는 310 nm를 정점으로 하여 280~340 nm 영역에 걸쳐서 광범위하게 분포하는 흡광 신호가 관측되었으며, 둘째는$Dy^{3+}$ 이온의$4f^9$ 전자 배열 내에서 발생하는 4f-4f 전이 신호로서, 이것은 350~500 nm 영역에 걸쳐서 비교적 밴드폭이 좁은 다수의 흡광 신호가 나타났다. 본 실험에서는 다섯 개의 피크를 갖는 흡광 신호가 검출되었는데, 이중에서 제일 강한 주 피크인 393 nm의 흡수 파장은 모체 격자 내에 있는$Dy^{3+}$ 이온의 바닥 상태인$^6H_{15/2}$ 준위에서 여기 상태인$^4F_{7/2}$ 인 에너지 준위로 전이하면서 발생한 신호이며, 이에 비하여 상대적으로 흡광 세기가 약한 370, 432, 458, 370 nm의 흡수 파장이 관측되었다. -
최근에 고효율의 형광체를 개발하고자 무기물 모체에 주입된 희토류 이온의 발광에 대한 연구가 급부상하고 있다. 형광체는 고휘도, 넓은 시청 각도와 저 비용으로 인하여 대형 평판 디스플레이 분야로 그 응용성을 확장하는 플라즈마 디스플레이 패널 제작에 있어서 매우 중요한 물질이다. 현재 적색 형광체로 널리 사용되고 있는 발광 물질은 YBO3:Eu3+ 혹은 (Y,Gd)BO3:Eu3+ 형광체이지만, Eu3+ 이온이 중심대칭의 자리에 위치하기 때문에, Eu3+ 이온의 5D07F1 전이에 의한 주황색의 발광 세기가 5D07F2 전이에 의한 적색의 세기보다 강하여 고품질의 색상을 구현하는데 상당한 어려움이 있다. 이러한 문제점을 해결하기 위하여 새로운 모체 격자를 갖는 적색, 녹색, 청색 형광체 개발에 많은 노력이 집중되고 있다. 본 연구에서는 형광체 합성시 중요한 변수의 하나인 소결 온도가 새로운 다양한 색을 방출하는 형광체 분말 CaSiO4:RE3+ (RE=Eu, Sm, Tb, Dy, Ce)의 특성에 미치는 영향을 조사하였다. CaSiO4:RE3+ (RE=Eu, Sm, Tb, Dy, Ce) 형광체 분말 시료는 초기 물질 CaO (99.99%), SiO2 (99.99%), Eu2O3 (99.99%), Sm2O3 (99.9%), Tb4O7 (99.9%), Dy2O3 (99.9%), CeO2 (99.9%)을 화학적량으로 준비하였다. 볼밀, 건조 작업을 한 후에, 시료를 막자사발에 넣고 분쇄하여 3시간의 하소 공정과 5시간의 소결 공정을 수행하였다. 이때 소결 온도를 변수로 선택하여 각각
$800^{\circ}C$ ,$900^{\circ}C$ ,$1,000^{\circ}C$ ,$1,100^{\circ}C$ 에서 소결 작업을 수행하여 합성 분말의 구조, 표면, 광학적 특성을 측정하여 소결 온도가 미치는 영향을 조사하였다. Eu3+가 도핑된 CaSiO4 형광체 분말의 경우에, 발광 스펙트럼은 597, 618, 655, 707 nm에서 관측되었으며, 소결 온도가$800^{\circ}C$ 에서$1,100^{\circ}C$ 로 증가함에 따라 모든 발광 스펙트럼의 세기는 순차적으로 증가함을 나타내었다. Tb3+가 도핑된 CaSiO4 형광체 분말의 경우에 관측된 발광 스펙트럼은 주 피크인 549 nm를 중심으로 하여 세기가 상대적으로 작은 493, 592, 626 nm의 피크들이 관측되었으며, 소결 온도가 증가함에 따라 전반적으로 발광 세기들이 증가하는 경향을 나타내었다. Sm3+가 도핑된 CaSiO4 형광체의 경우에, 발광 스펙트럼은 전형적인 Sm3+이온에 의한 전이 신호들이 605, 570, 653 nm에서 나타났다. 발광 스펙트럼의 세기는 소결 온도에 비례하여 증가하였다. Ce3+가 도핑된 경우에 발광 스펙트럼은 소결 온도에 관계없이 401 nm에서 관측되었으며, 소결 온도에 따라 발광 세기의 변화가 나타났다. 이 실험 결과로 부터, 합성시 적절한 소결 온도의 선택이 고발광 효율의 형광체를 제작하는데 있어서 매우 중요한 요소가 됨을 확인할 수 있었다. -
Sim, Geon-Bo;Kim, Yong-Hui;Park, Dae-Hun;Gwon, Jae-Seong;Choe, Hye-Suk;Lee, Chae-Bok;Eom, Hwan-Seop;Kim, Gyeong-Nam;Choe, Eun-Ha 175
현재 치과에서 상용되는 치아미백법은 과산화수소와 레이저를 사용하여 의사가 직접 치료를 하고 있다 [1]. 단기간에 높은 미백효과를 얻기 위해, 고농도의 과산화수소를 이용하게 되는데, 이는 암 또는 심장병 등을 유발시키는 원인이 될수 있음으로 인체에 매우 유해하다 [3,4]. 우리는 식품의약품안정청에서 규제하고 있는, 카바마이드 퍼옥사이드(15%)를 사용하였다. 카마바이드 퍼옥사이드(15%), 수증기, 저온 대기압 플라즈마 제트를 사용하여 미백효과를 관찰하였다. 기체 유량은 1,000 sccm 이며, 공기와 질소를 사용하였다. 미백효과를 보기 위한 대상으로는 우치(牛齒)를 사용하였으며, 플라즈마를 처리하여 미백효과를 관찰하였다. 실험 대조시료군으로는 카바마이드 퍼옥사이드(15%)와 수증기(0.4%)를 첨가한 다음, 공기 플라즈마와 질소 플라즈마를 조사하여 비교해보았다. 수증기를 첨가한 이유는 활성산소의 농도를 높이기 위함이며, 탁월한 미백효과를 얻을 수 있다. 실험을 통하여 우치에 카바마이드 퍼옥사이드(15%)와 수증기(0.4%)를 처리한 경우 플라즈마의 미백효과가 탁월함을 보였다. 이때 CIE색좌표 ($L^*a^*b^*$ )에서 명도도가 높아짐을 보았다. 미백효과에 대한 측정은 측색분광기(cm-3500d)를 이용하였다. 라만은 빛이 어떤 매질을 통과할 때 빛의 파장을 변화시켜 빛의 일부는 진행방향에서 이탈해 다른방향으로지행하는 현상을 산란이라고 한다. 이를 이용하여 빛의 파장을 변화시키는 현상을 라만산란이라고 한다. 이것을 이용하여 같은 우치의 표면을 플라즈마 처리 전 후를 라만을 통해 측정하였다. 대기압 저온 플라즈마에서 발생되는 ROS는 미백효과에 큰 영향을 미친다. 모든 실험의 플라즈마 처리시간은 최대 20분까지로 하였다. -
디스플레이 화소 스위치 소자로 수소화된 비정질 실리콘 박막 트랜지스터를 금속 산화물 반도체 박막 트랜지스터(thin film transistor, TFT)로 대체하기 위한 연구가 활발히 진행되고 있다. 금속 산화물 중에서 박막 트랜지스터의 활성층으로 응용이 가능한 가장 대표적인 물질은 인듐(In), 갈륨(Ga), 아연(Zn), 산소(O) 화합물인 InGaZnO이다. InGaZnO TFT의 전기적 특성은 비정질 실리콘보다 우수한 것으로 확인이 되었지만, 소자의 신뢰성은 아직까지 해결해야 할 문제로 남아있다. 본 연구에서는 InGaZnO TFT를 제작하여 게이트 바이어스와 빛을 소자에 동시에 인가했을 때 발생하는 소자의 열화현상을 분석하였다. 다양한 채널 폭과 길이를 갖는 InGaZnO TFT를 제작하고 동시에 활성층의 구조를 두가지로 제작하였다. 첫번째는 활성층의 폭이 소오스/드레인 전극 폭보다 넓은 구조(active wide, AW)이고 두번째는 활성층의 폭이 소오스/드레인 전극 폭보다 좁은 구조(active narrow, AN) 구조이다. 이들 소자에 대해 +20 V의 게이트 바이어스와 빛을 동시에 인가하여 10000초 후의 소자 특성을 초기 특성과 비교하였을 때는 열화가 거의 발생하지 않았다. 반면 -20 V의 게이트 바이어스와 빛을 동시에 인가하여 10000초 후의 소자 특성을 초기 특성과 비교하면 전달특성 곡선이 음의 게이트 전압 방향으로 이동함과 동시에 문턱전압이하의 동작 영역에서 전달특성 곡선의 hump가 발생하였다. 이 hump 특성은 AW 구조의 소자와 AN 구조의 소자에서 나타나는 정도가 다름을 확인하였다. 이러한 열화 현상의 원인으로 음의 게이트 바이어스와 빛이 동시에 인가될 경우 InGaZnO 박막 내에는 활성층 내에 캐리어 밀도를 증가시키는 donor type의 defect가 발생하는 것으로 추정할 수 있었다. 추가적으로 활성층의 테두리 영역에서는 이러한 defect의 발생이 더 많이 발생함을 알 수 있었다. 따라서, 활성층의 테두리 영역이 소오스/드레인 전극과 직접 연결이 되는 AN 구조에서는 hump의 발생정도가 AW 구조보다 더 심하게 발생한 것으로 분석되었다.
-
희토류 이온이 치환 고용된 실리케이트계 형광체는 자외선으로 여기될 때 높은 발광 효율을 나타내기 때문에 광전 소자, 레이저, 형광램프에 응용할 수 있는 발광 재료로 상당한 관심이 집중되고 있다. 본 연구에서는 고상반응법을 사용하여 초기 물질 (99.99% 순도), (99.99%), (99.9%), (99.9%)을 화학 정량으로 준비하여 활성제 이온 Eu3+와 Sm3+의 함량비를 0, 0.01, 0.05, 0.10, 0.20 mol로 변화시켜 BaSiO3:RE3+ (RE=Eu, Sm) 형광체를 제조하여 그것의 발광과 흡광 특성을 조사하였다. Eu3+ 이온이 도핑된 BaSiO3 형광체의 경우에, 발광 스펙트럼은 모든 시료에서 전이에 의한 발광 스펙트럼을 보였으며, 특히 j=2에서 가장 강한 적색 형광이 피크 620 nm에서 관측되었다. 상대적으로 발광 세기가 약한 595 nm 에 정점을 갖는 주황색 발광과 705 nm 에 피크를 갖는 적색 발광 스펙트럼이 관측되었다. Eu3+ 이온의 함량비가 0.15 mol 일 때 세 영역의 발광 스펙트럼의 세기는 최대값을 나타내었다. 주흡광 스펙트럼은 Eu3+ 이온의 함량비에 관계없이 397 nm에서 관측되었다. Sm3+ 이온이 도핑된 BaSiO3 형광체의 경우에, 모든 시료는 Sm3+ 이온의 함량비에 관계없이 전이에 의한 발광 스펙트럼을 보여주고 있으며, 특히 j=7/2에서 가장 강한 주황색 형광이 피크 603 nm에서 관측되었다. 상대적으로 발광 세기가 약한 567 nm에 정점을 갖는 황색 발광과 651 nm에 피크를 갖는 적색 발광 스펙트럼이 관측되었다. Sm3+ 이온의 함량비가 0.05 mol 일 때 세 종류의 발광 스펙트럼의 세기는 최대값을 나타내었다. Sm3+ 이온의 함량비가 더욱 증가함에 따라 모든 발광 스펙트럼의 세기는 순차적으로 감소하였는데, 이 현상은 농도 소광 현상에 기인함을 알 수 있었다. 주 흡광 스펙트럼은 Sm3+ 이온의 함량비에 관계없이 406 nm에서 관측되었으며, 이밖에도 상대적으로 세기가 약한 흡광 스펙트럼이 237 nm, 377 nm와 476 nm에서 관측되었다.
-
최근에 형광체 결정 입자의 크기와 적당한 활성제 이온의 종류를 선택하여 우수한 발광 특성을 갖는 세라믹 형광체를 합성하고자 많은 노력을 경주하고 있다. 본 연구에서는 비교적 간단한 장비로 구성되며, 볼밀을 통하여 초기 물질들을 혼합하고 분쇄하여 최적의 형광 특성을 갖는 형광체 분말을 비교적 용이하게 합성하기에 적합한 고상반응법을 사용하여 적색, 황색, 녹색 형광체 La2WO6:RE3+ (RE=Eu, Dy, Tb)를 제조하고자 한다. 사용한 초기 물질은 (99.99% 순도), (99.99%), (99.9%), (99.9%) (99.9%)을 화학 정량으로 준비하였고, 활성제 이온의 함량비를 0, 0.01, 0.05, 0.10, 0.20 mol로 각각 변화시켜 형광체를 제조하여 그것의 발광과 흡광, 결정 입자의 크기와 형상을 조사하였다. 이온이 도핑된 형광체의 경우에, 발광 스펙트럼은 이온의 함량비에 관계없이 모든 시료에서 전형적인 이온의 (j=1-4) 전이에 의한 발광 스펙트럼을 나타내었고, 가장 강한 적색 발광 파장은 611 nm에서 관측되었으며, 이온의 함량비가 0.15 mol에서 발광 피크가 장파장 쪽으로 10 nm 이동하였으며, 세 종류의 발광 스펙트럼의 세기는 최대를 나타내었다. 이온의 함량비가 더욱 증가함에 따라 모든 발광 스펙트럼의 세기는 순차적으로 감소하였다. 이 현상은 농도 소광 현상으로 해석 할 수 있다. 이온이 도핑된 형광체의 경우에, 이온의 함량비에 관계없이 모든 시료에서 이온의 전형적인 전이에 의한 발광 스펙트럼이 관측되었으며, j=13/2에서 가장 강한 황색 발광이 피크 581 nm에서 관측 되었다. 상대적으로 발광 세기가 약한 484 nm에 정점을 갖는 청색 발광스펙트럼은 전이 신호이다. 이온의 함량비가 0.10 mol 일 때 세 영역의 발광 스펙트럼의 세기는 최대를 나타내었다. 이온의 함량비가 증가함에 따라 모든 발광 스펙트럼의 세기는 순차적으로 감소하였다. 주 흡광 스펙트럼은 이온의 함량비에 관계없이 모든 시료에서 파장 250 nm에서 관측되었다. 이온이 도핑된 형광체의 경우에, 이온의 함량비에 관계없이 모든 형광체 분말은 발광 세기가 제일 강한 550 nm의 피크를 갖는 녹색 발광과 상대적으로 발광 세기가 약한 495와 590 nm에 피크를 갖는 청색과 주황색 발광 스펙트럼들이 각각 관측되었다. 이 발광 신호들은 Tb3+ 이온의 5D47Fj (j=4, 5, 6) 전이에 의해 발광된 신호임을 확인하였다.
-
최근 의료 영상 센서는 급속도로 발전을 이룩하여 미세 병변의 위치와 그 크기를 진단하는 데에 많은 이용이 되고 있다. 하지만 기존 flat panel형태의 의료영상 센서는 인체의 굴곡으로 인한 영상 왜곡으로 발전의 한계에 이르고 있는 실정이다. 이 영상 왜곡으로 인한 오진은 환자에게 불필요한 피폭, 수술적 요법, 약물치료 등 환자에게 치명적인 의료사고를 일으킬 수 있다. 이러한 한계를 극복하기 위하여 flexible substrate을 이용한 투명전극들이 의료영상 센서로서의 적용을 연구 되어 졌다. IZO, ITO, FTO 등의 투명전극들 중 Indium Tin Oxide(ITO)는 다른 전극에 비해 높은 투명도와 낮은 저항으로 인하여 다양한 부분에서 널리 이용 되고 있다. 그러나 ITO를 flexible substrate로 적용 시 불충분한 resistivity와 기계적 강도를 지니고 있으며, 유연성을 위해 전극 재료의 두께를 감소시키면 전도성의 문제를 일으키는 단점이 있는 것으로 알려져 있다. 이러한 문제점을 보완 및 해결하기 위하여 본 연구에서는 sputtering magnetron system를 이용하여 polyethylene terephthalate(PET) substrate 위에 ITO을 증착함으로써 전기적 특성을 알아보았다. PET 필름의 크기를 55 절단하였고 증착 온도는 고온에서 수축하는 PET 필름의 물성을 고려하여
$23^{\circ}C$ 로 설정 하였다. 가스의 분압 비를 Ar는 50ccm으로 고정하고 O2의 비율을 각각 0, 0.2, 0.4, 0.8, 1ccm으로 나눈 후, 비율에 따라 각각 30, 60, 90sec간 sputtering 증착을 하였다. 또한 각각 30, 60, 90sec간 sputtering 증착하여 O2 유량과 sputtering 증착 시간의 변화에 따른 ITO의 전도특성과 유연성에 대한 전도특성을 측정하였다. 유연성을 측정하기 위해선 bending 각도를 각각$0^{\circ}$ $30^{\circ}$ ,$45^{\circ}$ ,$60^{\circ}$ 로 구부린 후, Two-point probe를 이용하여 변화된 저항을 통해 ITO의 전기적 성질의 변화를 측정 하였다. 측정결과 flexible ITO substrate의 전도특성은 sputtering 증착시간이 증가할수록 저항 값이 낮아지는 것을 확인하였지만, O2 유량이 증가 시 저항이 낮아지다가 다시 증가하는 결과를 알 수 있었다. 본 연구에서는 Ar:O2의 50:0.8의 조건에서 90sec동안 sputtering 증착한 ITO가 131${\Omega}/cm^2$ 의 저항 값이 측정 되었고 다른 조건에서는 164${\Omega}/cm^2$ 에서 4.7$k{\Omega}/cm^2$ 까지 저항변화를 가져 Ar:O2의 50:0.8의 조건이 최적화에 좋은 조건이라 판단하였다. 또한 50:0.8의 조건의 ITO의 경우 bending test시에서도 131${\Omega}/cm^2$ 에서 316${\Omega}/cm^2$ 정도의 안정적인 저항변화를 가지는 반면 다른 조건에서는 128${\Omega}/cm^2$ 에서 6.63$k{\Omega}/cm^2$ 까지의 변화를 나타나 기계적 형상변화에도 분압비가 영향을 주는 것을 확인 할 수 가 있었다. bending 각도에 따른 저항의 변화를 측정하였을 시, 각도 변화에 따라 중심부의 저항 값이$60^{\circ}$ 에서 가장 높은 변화가 나타나 전기저항이 높아진 원인을 찾기 위해 Scanning Electron Microscope (SEM)촬영을 한 결과 저항값이 높아짐에 따라 ITO의 압축응력이 작용하는 부근에 Crack이 발생함을 알 수 있었다. 이러한 결과로 flexible ITO substrate의 Crack발생률을 최소화 시키고 bending시 전도성을 유지하기 위해서는 가스의 유량 최적화가 flexible substrate의 기계적형상변화에 대한 ITO의 내구성을 향상시킬 수 있는 해답이 될 것으로 사료된다. -
종래의 흑연 위주 연료전지 분리판 개발되어 최근 고분자 전해질 막 연료전지가 높은 전력, 낮은 배기 가스 배출, 낮은 작동 온도로 자동차 산업에서 상당한 주목을 받고 있다. 요구사항은 높은 전기 전도도, 높은 내식성, 낮은 가스 투과성, 낮은 무게, 쉬운 가공, 낮은 제조비용이다. Thin film Cr 장비로 저항가열 furnace, sputter 등이 사용된다. 연료전지 분리판의 고전도도, 내부식성 보호막의 고속 증착을 위한 새로운 증착원으로 스퍼터 - 승화형 소스의 가능성을 유도 결합 플라즈마에 금속 봉을 직류 바이어스 함으로써 시도하였다. 유도 결합 플라즈마를 이용하여 승화증착 시스템을 사용하여 OES (SQ-2000)와 QMS (CPM-300)를 사용하여
$N_2$ flow에 따른 유도 결합 플라즈마를 이용한 스퍼터-승화증착 시스템을 사용 하여도 균일한 공정을 하는 것을 확인 하였다. 5 mTorr의 Ar 유도 결합 플라즈마를 2.4 MHz, 500 W로 유지하면서 직류 바이어스 전력을 30 W (900 V, 0.02 A) 인가하고,$N_2$ 의 유량을 0.5, 1.0, 1.5 SCCM로 변화를 주어 특성을 분석하였다. MID (Multiple Ion Detection) mode에서 유도결합 플라즈마를 이용한 스퍼터-승화 증착 장비를 사용하여 CrN thin flim 성장시켰고, deposition rate은 44.8 nm/min으로 얻을 수 있었다. 또한$N_2$ 의 유량이 증가할 수록 bias voltage가 증가하는 것을 확인 할 수 있었다. OES time acquisition을 이용한 공정 분석에서는$N_2$ 유량을 off 하였을 때 Ar, Cr의 중성 intensity peak이 상승하였고, 시간 경과에 따라 sublimation에 의한 영향이 없는 것을 확인 할 수가 있었다. XRD data에서는 질소 유량이 증가함에 따라$Cr_2N$ 이 감소하고, CrN이 증가하는 것을 확인할 수가 있었다. 결정배향성과 Morphology는 다결정 재료의 경도에 영향을 주는 인자이다. CrN 결정 구조의 경우는 (200)면이 경도가 제일 높은데 (200)면에서 성장한 것을 확인 할 수 있었다. 잔류가스 분석 결과로는 일정한 Ar의 유량을 흘렸을 때$N_2$ 의 변화량이 비례적인 경향이 보이는 것을 확인 할수 있었다. 또한$N_2$ 가 흐르면서도 유도 결합 플라즈마를 이용한 스퍼터-승화 증착 시스템을 사용하면 일정한 공정을 하는 것을 확인 할 수 있었다. 질소의 분압이 유량에 따라서$3.0{\times}10^{-10}$ Torr에서$1.65{\times}10^{-9} $ Torr까지 일정한 비율로 증가한다. 즉, 이 시스템으로 양산장비 설계를 하여도 가능 하다는 것을 말해준다. -
Jeong, Hyeong-Hwan;Kim, Dong-Ho;Gwon, Jeong-Dae;Jeong, Yong-Su;Jeong, Gwon-Beom;Park, Seong-Gyu 193
실리콘 박막 태양전지는 광 흡수층에서 형성된 정공과 전자를 효과적으로 분리하기 위해 p형과 n형으로 도핑된 층을 형성하는 p-i-n구조를 갖게 된다. 이러한 도핑 층을 형성하기 위해 B2H6와 PH3와 같은 독성 가스를 사용하기 때문에, 공정 안정성과 환경적인 이슈가 대두된다. 또한 도핑은 추가적으로 실리콘 박막 태양전지의 안정화 효율을 지속적으로 저하시키는 요인이 된다. 이러한 문제점을 개선하기 위하여, 창층으로 MoO3, V2O5, WO3 등과 같이 높은 일함수를 갖는 전이금속 산화물을 사용하고, 광 흡수층으로 i-Si:H을, 후면 전극으로 낮은 일함수를 나타내는 LiF/Al을 사용하였다. 전이금속 산화물과 LiF/Al의 큰 일함수 차이에 의해서 흡수층인 i-Si:H 에서 생성된 캐리어들은 효과적으로 분리되고 수집이 된다. 금속 산화물은 스퍼터링 공정에 의하여 이루어졌으며, 스퍼터링 공정조건에 따라 산화도가 조절되며, 이러한 산화도에 따라 태양전지의 셀 특성이 결정된다. 도핑 층이 없는 새로운 형태의 실리콘 박막 태양전지는 기존 비정질 실리콘 박막 태양전지에 비해 높은 안정화 효율을 나타내며, 이는 도핑 층이 없기 때문에 기존 실리콘 박막 태양전지의 열화현상에 따른 효율저하가 발생하지 않는 장점을 지내고 있다. -
본 연구에서는 a-IGZO 활성층에 다른 dose량의 수소 이온을 조사하여 박막 트랜지스터 소자의 효과를 알아보고, 수소 이온 조사 후, 이온 조사에 따른 불안정한 소자 특성을 안정화시킬 목적으로 후 열처리에 따른 소자 특성을 알아보았다. a-IGZO 활성층에 수소이온을 110keV의 에너지로 가속하여, 수소 이온 조사량을
$1{\times}10^{14}\;ion/cm^2$ ,$1{\times}10^{15}\;ion/cm^2$ ,$1{\times}10^{16}\;ion/cm^2$ 로 조절하였고, 후 열처리 공정은 a-IGZO 활성층에$1{\times}10^{16}\;ion/cm^2$ 이온조사 후, 대기 분위기로$150^{\circ}C$ ,$250^{\circ}C$ ,$350^{\circ}C$ 각각 1시간 동안 열처리를 진행하였다. Spectroscopy Ellipsometry (SE)로 측정된 3eV이상의 광학적 밴드 갭은 기존에 보고 되었던 비정질 산화물 반도체와도 유사한 밴드 갭을 가지고 있음을 확인하였다. IGZO 박막을 활성층으로 사용하여 수소 이온 조사 공정 후 제작한 박막 트랜지스터는 3.89$cm^2/Vs$ 의 전계효과이동도와 0.59V/decade의 문턱전압 이하 기울기를 보았다. 수소 이온 조사 공정을 통한 IGZO 박막 트랜지스터의 output curve가 다소 불안정함을 보였으나,$1{\times}10^{16}\;ion/cm^2$ 이온조사 후, 대기 분위기로$150^{\circ}C$ ,$250^{\circ}C$ ,$350^{\circ}C$ 각각 1시간동안 열처리를 진행한 박막 트랜지스터의 특성은 소자의 불안정성을 보완해줄뿐만 아니라$350^{\circ}C$ 열처리에서는 16.9$cm^2/Vs$ 의 전계효과이동도와 0.33V/decade의 문턱전압 이하 기울기와 같이 더 향상된 박막 트랜지스터의 전기적 특성 결과를 관측하였다. 기존의 연구 되어진 a-IGZO 활성층에 수소이온조사와 후 열처리 공정에 따라 광학적 밴드 갭 에너지 준위의 변화와 박막 및 박막 트랜지스터 특성을 변화시킨다는 결과를 도출하였다. -
최근 단파장 광전 소자와 고출력 고주파 전자 소자에 대한 수요 때문에 넓은 밴드갭 에너지를 갖는 반도체에 관심이 많다. 이중에서, ZnO는 우수한 화학 및 역학적 안정성, 수소 플라즈마 내구성과 저가 제조의 장점 때문에 광전자 소자 개발 분야에 적합한 산화물 투명 전극으로 관심을 끌고 있다. 불순물이 도핑되지 않은 ZnO는 본질적으로 산소 빈자리 (vacancy)와 아연 격자틈새 (interstitial)와 같은 자체의 결함으로 말미암아 n형의 극성을 갖기 때문에, 반도체 소자로 응용하기 위해서는 도핑 운반자의 농도와 전도성을 제어하는 것이 필요하다. 본 연구에서는 박막 제조시 제어성, 안정성과 용이하게 성장이 가능한 졸겔 (sol-gel) 방법을 사용하여 사파이어와 석영 기판 위에 Cu가 도핑된 ZnO 박막을 성장시켰으며, 그것의 구조, 표면 형상, 평균 투과율, 광학 밴드갭 에너지를 계산하였다. 특히, Cu의 몰 비를 0, 0.01, 0.03, 0.05, 0.07, 0.1 mol로 변화시키면서 ZnO:Cu 박막을 성장시켰다. ZnO:Cu 졸은 zinc acetate dihydrate, 2-methoxyethanol (용매), momoethanolamine (MEA, 안정제)을 사용하여 제조하였다. 상온에서 2-methoxyethanol과 MEA가 혼합된 용액에 zinc acetate dihydrate (Zn)을 용해시켰다. 이때 MEA와 Zn의 몰 비는 1로 유지하였다. 이 용액을
$60^{\circ}C$ 가열판 (hot plate)에서 24 h 동안 자석으로 휘젓으며 혼합하여 맑고 균일한 용액을 얻었다. 이 용액을 3000 rpm 속도로 회전하는 스핀 코터기의 상부에 장착된 사파이어와 석영 기판 위에 주사기 (syringe)를 사용하여 한 방울 떨어뜨려 30 s 동안 스핀한 다음에, 용매를 증발시키고 유기물 찌꺼기를 제거하기 위하여$300^{\circ}C$ 에서 10분 동안 건조시킨다. 기판 위에 코팅하는 작업에서 부터 건조 작업까지를 10회 반복한 다음에, 1 h 동안 전기로에 장입하여 석영 기판 위에 증착된 시료는$550^{\circ}C$ 에서, 사파이어 기판은$700^{\circ}C$ 에서 열처리를 수행하였다. Cu의 몰 비 0, 0.01, 0.03, 0.05, 0.07, 1로 성장된 ZnO:Cu 박막에 대한 x선 회절 분석의 결과에 의하면, 모든 ZnO:Cu 박막의 경우에 관측된 34.3o의 피크는 ZnO (002) 면에서 발생된 회절 패턴을 나타낸다. 이것은 JCPDS #80-0075에 제시된 회절상과 일치하였으며, ZnO:Cu 박막이 기판에 수직인 c-축을 따라 우선 배향됨을 나타낸다. 사파이어 기판 위에 증착된 박막의 경우에, Cu의 몰 비가 점점 증가함에 따라(002)면 회절 피크의 세기는 전반적으로 증가하여 0.07 mol에서 최대를 나타내었으나, 석영 기판 위에 증착된 박막의 경우에는 0.05 mol에서 최대를 보였다. 외선-가시광 분광계를 사용하여 서로 다른 Cu의 몰 비로 성장된 ZnO:Cu 박막에서 광학 흡수율 (absorbance) 스펙트럼을 측정하였으며, 이 데이터를 사용하여 평균 투과율을 계산한 결과, 투과율은 Cu의 몰 비에 따라 현저한 차이를 나타내었다. Cu의 몰 비가 0.07 mol일 때 평균 투과율은 80%로 가장 높았으며, 0.03 mol에서는 30%로 최소이었다. 광학밴드갭 에너지는 Tauc 모델을 사용하여 계산하였고, 결정 입자의 형상과 크기와의 상관 관계를 조사하였다. -
Park, Dong-U;Han, Im-Sik;No, Sam-Gyu;Ji, Yeong-Bin;O, Seung-Jae;Seo, Jin-Seok;Jeon, Tae-In;Kim, Jin-Su;Kim, Jong-Su 205
테라헤르쯔(terahertz: THz)파는 0.1~10 THz 의 범위로 적외선과 방송파 사이에 광대역 주파수 스펙트럼을 차지하고 있으며 직진성, 투과성, 그리고 낮은 에너지 (meV)를 가지고 있어 비 파괴적이고 무해한 장점을 지니고 있다. Ti:sapphire laser와 같은 femto-pulse source 등이 많은 발전이 되어 현재 많은 연구와 발전이 이루어지고 있다. femto-pulse source를 이용한 THz 응용에서는 높은 저항, 큰 전자 이동도, 그리고 아주 짧은 전하수명의 기판을 요구하는데 저온에서 성장한 (low-temperature grown : LT) GaAs는 격자 내에 Gallium 자리에 Arsenic이 치환 하면서 AsGa antisite가 발생하여 전하수명을 짧아지는 것을 응용하여 가장 많이 이용되고 있다. 현재 THz 응용분야에서 보다 작고 가격경쟁력이 있는 광통신을 이용한 THz photomixer등이 활발히 연구 하고 있다. 광섬유 내에서 손실과 분산이 최소값을 가지는 부분이 1.55${\mu}m$ 부근이고 In0.53Ga0.47As 기판을 이용하였을 때 여기에 완벽하게 만족하게 된다. 하지만 LT-InGaAs 의 경우 AsGa antisite로 인하여 carrier lifetime은 짧아지지만 높은 n-type 전하밀도를 가지게 된다. 이때 Be을 doping하여 전하밀도를 보상하여 높은 저항을 유지해야 하는데 Be의 활성화를 위해서는 열처리를 필요로 한다. 하지만 열처리를 하면 carrier lifetime이 길어지기 때문에 carrier lifetime과 저항을 적절히 조율해야 한다. 이는 물질자체의 특성이기 때문에 InGaAs는 GaAs보다 낮은 amplitude와 짧은 cut-off frequency를 가진다. 본 연구에서는 보다 높은 저항을 얻기 위하여 molecular beam epitaxy를 이용하여 semi-insulating InP:Fe 기판위에 격자 정합된 InGaAs:Be/InAlAs multi quantum well (MQW)를 온도별 ($250{\sim}400^{\circ}C$ ), 주기별 (50~150)로 성장을 하였고 이때 InGaAs layer의 Be doping level은$2{\times}1018\;cm^{-3}$ , Ex-situ annealing은$550^{\circ}C$ 에서 10분으로 고정 하였다. THz 발생 실험에서는 InGaAs/InAlAs MQW은 4000 pA로 1,000 pA를 가지는 InGaAs epilayer보다 4배 높은 전류 신호를 얻을 수 있었고 모든 샘플이 2 THz에서 cut-off frequency를 가지고 있었다. THz 검출 실험에서는 LT-InGaAs:Be epilayer LT-InGaAs:Be/InAlAs, HT-InGaAs/InAlAs 샘플이 각각 180, 9000, 12000 pA의 전류신호를 가지고 있었고 모든 샘플이 2 THz에서 cut-off frequency를 가지고 있었다. HT-InGaAs/InAlAs MQW를 이용한 검출실험에서는 InGaAs layer가 defect free이지만 LT-InGaAs:Be/ InAlAs MQW 보다 높은 전류 신호를 얻을 수 있었다. 이는 InAlAs layer가 저항만 높이는 것뿐만 아니라 carrier trapping layer로써의 역할도 하는 것으로 사료된다. -
ZnO는 직접천이형 반도체로 약 3.37 eV의 넓은 에너지 band-gap과 60 meV의 비교적 큰 엑시톤 결합 에너지를 가지고 있다. 또한 단결정 성장 가능과 투명성 등 많은 장점들로 인하여 GaN와 대체할 자외선 또는 청색 발광소자나 ITO를 대체할 투명전극 같은 광범위한 광전소자로 큰 주목을 받으며 연구되어 왔다. 이러한 ZnO는 다양한 물질들의 첨가를 통해 인위적으로 특성변화가 가능한데 Mg, Be, Cd 첨가를 통한 에너지 밴드갭의 확장과 수축, Al 첨가를 통한 전기전도성의 증가 등이 그 예이다. 최근에는 밴드갭 조절을 이용한 ZnO-ZnMgO와 같은 이종접합구조가 광소자 등의 응용을 목적으로 많은 연구가 이루어지고 있다. 더불어 나노선이나 나노막대 같은 1차원 구조를 갖는 ZnO 계열 반도체의 연구는 현재 큰 이슈가 되고 있는 나노 크기의 소자 개발에 매우 큰 적용 가능성을 가지고 있다. 우리는 수열합성법을 이용하여 hexagonal ZnO 나노막대를 성장하고 그 표면에 core-shell 형태의
$ZnO-Zn_{1-x}Mg_xO$ (x=0.084) 양자우물을 원자층증착법으로 증착하였다. 본 연구에서는 만들어진 ZnO 나노막대와 ZnO-ZnMgO 나노막대, core-shell ZnO-ZnMgO 양자우물 sample들의 저온(5 K) Photoluminescence 측정을 통하여 광학적 band 구조를 분석하였다. 실험적으로 의도된 양자우물 두께와 다른 실제 형성된 양자무물의 두께를 알아내기 위하여 2차원 hexagonal 양자우물 band 구조에서 self-consistent nonlinear Poisson-Schr$\"{o}$ dinger 방정식 계산과 컴퓨터 시뮬레이션을 이용하였으며, 이 방법으로 계산된 값과 실험값의 비교를 통하여 실제 형성된 양자우물의 두께를 정량적으로 유출할 수 있었다. -
We fabricate and characterize top gate Graphene transistor using aluminum oxide as a gate insulator by atomic layer deposition (ALD). It is found that due to absence of functional group and dangling bonds, ALD of metal oxide is difficult on Graphene. Here we used 4-mercaptopheneol as a functionalization layer on Graphene to facilitate uniform oxide coverage. Contact angle measurement and Atomic force microscopy were used to confirm uniform oxide coverage on Graphene. Raman spectroscopy revealed that functionalization with 4-mercaptopheneol does not induce any defect peak on Graphene. Our device shows mobility values of 4,000
$cm^2/Vs$ at room temperature which also suggest top gate stack does not significantly increase scattering. The noncovalent functionalization method is non-destructive and can be used to grow ultra-thin dielectric for future Graphene applications. -
화합물 반도체 양자점(Quantum dots; QDs)은 높은 효율의 광전자 소자에 적용할 수 있기 때문에 이분야에 대한 연구가 활발히 진행되고 있지만 주로 III-V 족 화합물 반도체에 대한 연구가 주를 이룬 반면 II-VI 족 화합물 반도체에 대한 연구는 아직 미흡하다. 하지만 II-VI 족 화합물 반도체는 III-V 족 화합물 반도체와 비교했을 때 더 큰 엑시톤 결합에너지(exciton binding energy)를 가지는 우수한 특성을 보이고 있으며 이러한 성질을 가지는 II-VI 족 화합물 반도체 중에서도 넓은 에너지 갭을 가지는
$Cd_xZn_{1-x}Te$ 양자점은 녹색 영역대의 광전자 소자로서 활용되고 있다. 현재 대부분의$Cd_xZn_{1-x}Te$ 양자점 구조는 기판과 완충층 (buffer layer) 사이의 작은 격자 부정합(lattice mismatch) 때문에 GaAs 기판을 이룬 반면 Si기판을 이용한 연구는 미흡하다. 하지만 Si 기판은 GaAs 기판에 비해 값이 싸고, 여러 분야에 응용이 가능하며 대량생산이 가능하다는 이점을 가지고 있어 초고속, 초고효율 반도체 광전소자의 제작을 가능케 할 것으로 기대된다. 또한 양자점의 고효율 광전소자에 응용을 위해서는 Si 기판 위에 양자점의 크기를 효율적으로 조절하는 연구 뿐 아니라 양자점의 크기에 따른 운반자 동역학에 대한 연구도 중요하다. 본 연구에선 분자선 에피 성장법(Molecular Beam Epitaxy; MBE)을 이용하여 Si 기판위에 성장한$Cd_xZn_{1-x}Te/ZnTe$ 양자점의 크기에 따른 광학적 특성을 연구하였다. 저온 광 루미네센스 (PhotoLuminescence; PL) 측정 결과 양자점의 크기가 증가함에 따라 더 낮은 에너지영역으로 피크가 이동하는 것을 확인하였다. 그리고 시분해 광루미네센스 측정 결과$Cd_xZn_{1-x}Te/ZnTe$ 양자점의 크기가 증가함에 따라 소멸 시간이 긴 값을 갖는 것을 관찰 하였는데, 이는 양자점의 크기가 증가함에 따라 엑시톤 진동 세기가 감소하였기 때문이다. 또한 온도 의존 광루미네센스 측정 결과 양자점의 크기가 증가함에 따라 열적 활성화 에너지가 증가하는 것을 관찰 하였는데, 이는 양자점의 운반자 구속효과가 증가하였기 때문이다. 이와 같은 결과 Si 기판 위에 성장한$Cd_xZn_{1-x}Te/ZnTe$ 양자점의 크기에 따른 광학적 특성에 대해 이해 할 수 있었다. -
Nam, Giwoong;Kim, Byunggu;Park, Youngbin;Kim, Soaram;Kim, Jin Soo;Son, Jeong-Sik;Leem, Jae-Young 219
The effect of an electron blocking layer (EBL) on V-I curves in GaN/InGaN multiple quantum well is investigated. For the first time, we found that curves were intersected at 3.012 V and analyzed the reason for intersection. The forward voltage in LEDs with an p-AlGaN EBL is larger than without p-AlGaN EBL at low injection current because the Mg doping efficiency for p-GaN layer was higher than that of p-AlGaN layer. However, the forward voltage in LEDs with an p-AlGaN EBL is smaller than without p-AlGaN EBL at high injection current because the carriers overflow from the active layer when injection current increases in LEDs without p-AlGaN EBL and in case of LED with p-AlGaN EBL, the carriers are blocked by EBL. -
Lee, Soo Kyung;Na, Byung Hoon;Choi, Hee Ju;Ju, Gun Wu;Jeon, Jin Myeong;Cho, Yong Chul;Park, Yong Hwa;Park, Chang Young;Lee, Yong Tak 220
Surface-normal transmission electro-absorption modulator (EAM) are attractive for high-definition (HD) three-dimensional (3D) imaging application due to its features such as small system volume and simple epitaxial structure [1,2]. However, EAM in order to be used for HD 3D imaging system requires uniform modulation performance over large area. To achieve highly uniform modulation performance of EAM at the operating wavelength of 850 nm, it is extremely important to remove the GaAs substrate over large area since GaAs material has high absorption coefficient below 870 nm which corresponds to band-edge energy of GaAs (1.424 eV). In this study, we propose and experimentally demonstrate a transmission EAM in which highly selective backside etching methods which include lapping, dry etching and wet etching is carried out to remove the GaAs substrate for achieving highly uniform modulation performance. First, lapping process on GaAs substrate was carried out for different lapping speeds (5 rpm, 7 rpm, 10 rpm) and the thickness was measured over different areas of surface. For a lapping speed of 5 rpm, a highly uniform surface over a large area ($2{\times}1\;mm^2$ ) was obtained. Second, optimization of inductive coupled plasma-reactive ion etching (ICP-RIE) was carried out to achieve anisotropy and high etch rate. The dry etching carried out using a gas mixture of SiCl4 and Ar, each having a flow rate of 10 sccm and 40 sccm, respectively with an RF power of 50 W, ICP power of 400 W and chamber pressure of 2 mTorr was the optimum etching condition. Last, the rest of GaAs substrate was successfully removed by highly selective backside wet etching with pH adjusted solution of citric acid and hydrogen peroxide. Citric acid/hydrogen peroxide etching solution having a volume ratio of 5:1 was the best etching condition which provides not only high selectivity of 235:1 between GaAs and AlAs but also good etching profile [3]. The fabricated transmission EAM array have an amplitude modulation of more than 50% at the bias voltage of -9 V and maintains high uniformity of >90% over large area ($2{\times}1\;mm^2$ ). These results show that the fabricated transmission EAM with substrate removed is an excellent candidate to be used as an optical shutter for HD 3D imaging application. -
Vertical LED (VLED) has been recognized as a way to obtain the high-power LED due to their advantages [1]. However, approximately 4% of the light generated from the active region is extracted, if the light extraction from side walls and back side is neglected because of Fresnel reflection (FR) and total internal reflection (TIR) [2,3]. In this study, the optical simulation of the VLED with the various microstructures was performed. Among them, the microlens having the diameter of 3
${\mu}m$ and the height of 1.5${\mu}m$ shown the best result was chosen, and then, optimized microlens was formed on a GaN template using conventional semiconductor process. Various microstructures were proposed to improve the light extraction efficiency (LEE) of the VLED for the simulation. The LEE was simulated using LightTools based on a Monte Carlo ray tracing. The microstructures with hemisphere, cone, truncated and cylinder pattern having diameter of 3${\mu}m$ were employed on the top layer of the VLED respectively. The improvement of the LEE by using the microstructure is 87% for the hemisphere, 77% for the cone, 53% for the truncated, 21% for the cylinder, compared with the LEE of the flat surface at the reflectance of 85%. The LEE was increased by 88% at the height of 1.5${\mu}m$ , compared with the LEE of the flat surface. We found that the microlens on the top layer is the most suitable for increasing the LEE. In order to apply the proposed microlens on n-GaN surface, we fabricated microlens on a GaN template. A photoresist array having hexagonal-closed packed microlens was fabricated on the GaN template. Then, optimization of etching the GaN template was performed using a dry etching process with ICP-RIE. The dry etching carried out using a gas mixture of Cl2 and Ar, each having a flow rate of 16 sccm and 10 sccm, respectively with RF power of 50 W, ICP power of 900 W and chamber pressure of 2 mTorr was the optimum etching condition as shown in Fig. 2(a). -
GaN 기반 반도체는 넓은 bandgap을 가지고 있어 가시광부터 자외선까지 다양한 광전소자에 유용하게 사용된다. 광전소자중 발광다이오드의 경우 대부분 사파이어 기판위에 성장된다. 하지만 사파이어와 GaN의 격자 불일치 및 열팽창 계수의 차이로 인해 고품질의 GaN를 성장하기가 어렵다. 특히 열팽창 계수의 차이는 GaN 성장 공정이 고온에서 이루어지기 때문에 성장후 상온으로 온도가 떨어질 때 웨이퍼의 bowing을 발생시키고 동시에 dislocation이나 crack과 같은 결함이 생성되 GaN 성장막의 품질을 떨어트린다. 웨이퍼의 크기가 커지면 커질수록 웨이퍼 bowing은 커져 이에 대한 연구는 중요하다. 본 논문에서 2인치 사파이어 기판위에 성장된 GaN의 bow특성을 알아보기 위해 먼저 simulation을 하였고 실제로 성장된 GaN 웨이퍼와 비교를 하였다. c-plane 사파이어 기판위에 성장된 c-plane GaN의 bow특성을 알아보기 위해 성장 온도
$1,100^{\circ}C$ 에서 GaN두께를 1${\mu}m$ 에서 10${\mu}m$ 까지 1${\mu}m$ 씩 변화시켜 가며 simulation을 하였다. GaN두께가 1${\mu}m$ 일때는 bow가 11${\mu}m$ , 6${\mu}m$ 일때는 54.7${\mu}m$ , 10${\mu}m$ 일때는 108${\mu}m$ 를 얻어 GaN두께가 1${\mu}m$ 씩 증가할 때 마다 bow가 약 10${\mu}m$ 씩 증가하였다. 성장온도에 대한 영향을 알아보기 위해$700^{\circ}C$ 에서$1,200^{\circ}C$ 까지$100^{\circ}C$ 씩 증가시켜며 bow특성 simulation을 하였다. 6${\mu}m$ 성장된 GaN의 경우 성장온도가$100^{\circ}C$ 씩 증가할 때 bow는 약 6${\mu}m$ 증가하였다. 실제 성장된 c-plane GaN웨이퍼와 비교하기 위해 GaN을 각각 3${\mu}m$ 와 6${\mu}m$ 를 성장시켰고 high resolution x-ray diffraction장비를 사용하여 bow를 측정한 결과 각각 28${\mu}m$ 와 61${\mu}m$ 였고 simulation결과는 각각 33${\mu}m$ 와 65.5${\mu}m$ 를 얻어 비슷한 결과를 보였다. c-plane 사파이어 기판위에 성장된 c-plane GaN는 방향에 무관하게 동일한 bow 특성을 가지는 반해 r-plane 사파이어 기판위에 성장된 a-plane GaN는 방향에 따라 다른 bow특성을 보인다. a-plane GaN 이방향성적인 bow 특성을 알아보기 위해 simulation을 하였다.$1,100^{\circ}C$ 에서 a-plane GaN을 성장할 때 두께가 1${\mu}m$ 증가할 때마다 bow가 c축 방향으로는 21.7${\mu}m$ 씩 증가하였고 m축 방향으로는 11.8${\mu}m$ 씩 증가하여 매우 큰 이방향성적인 bow 특성을 보였다. 실제 r-plane 사파이어 기판위에 성장된 a-plane GaN의 bow를 측정하였고 simulation 결과와 비교해 보았다. -
GaN는 III-V족 물질로 밴드갭이 3.4 eV으로 가시광선 영역에서 투명하며 우수한 전기적 특성으로 인해 여러 반도체 분야에서 응용되고 있는 물질이다. GaN 박막의 성장 방법으로는 molecular beam epitaxial 방법과 metal organic chemical vapor deposition 방법이 있지만 고비용인 단점이 있다. 이에 비해 sputtering 방법으로 성장시킨 GaN 박막은 비용이 적게 들고 저온에서 성장이 가능하다는 장점이 있다. 이 연구에서는 radio frequency sputter를 사용하여 GaN 박막을 성장하여 구조적, 광학적 특성을 분석하였다. GaN 박막은 각각 단일층의 그래핀과 c-축 사파이어 기판에 증착 하였으며, 이때 기판온도는
$25^{\circ}C$ ,$100^{\circ}C$ ,$200^{\circ}C$ 로 변화를 주었고, N2 분압은 2 sccm, 5 sccm, 10 sccm으로 변화를 주었다. 그래핀과 사파이어 기판에 성장된 각각의 GaN 박막의 결정성을 투과전자현미경 이미지로 측정하여 비교하였다.$4{\times}10^{-3}$ Torr 진공도와 50 W의 방전 전력과 Ar 10 sccm 분위기에서 20 min 동안 증착된 GaN 박막 두께는 70 nm정도를 가지는 것으로 확인하였다. X-ray Diffraction 측정으로 사파이어 기판 및 (002) 방향으로 성장된 GaN의 피크를 확인하였다. 추가적으로 Photoluminescence 스펙트럼은 N2 분압의 변화와 yellow luminescence 영향을 받는 것을 확인하였다. 본 연구를 통하여, 증착된 기판온도와 N2 분압의 변화에 따른 그래핀 및 사파이어 기판에 증착된 GaN 박막의 특성을 비교하였으며, sputtering 방법으로 고품질의 GaN 박막을 성장시킬 수 있는 가능성을 확인하였다. -
본 연구에서는 Si(111) 기판에 성장온도 및 InN 증착양 변화에 따른 InN 양자점(Quantum Dot) 핵성생(Nucleation) 특성에 대해 논의한다. InN 양자점은 Nitrogen-Plasma 소스를 장착한 분자선증착기(MBE)를 이용하여
$0.103{\AA}/s$ 의 성장속도로 성장하였다. 성장온도를$700^{\circ}C$ 에서$300^{\circ}C$ 로 변환하면서 형성한 시료에서 lnN 양자점의 공간밀도는$9.4{\times}10^7/cm^2$ 부터$1.1{\times}10^{11}/cm^2$ 를 나타냈다. 가장 높은 공간밀도인$1.1{\times}10^{11}/cm^2$ 는 기존에 보고된 값 ($7.7{\times}10^{10}/cm^2$ )보다 상대적으로 높은 값을 갖는다 [1,2]. InN 증착양을 93, 186, 및$372{\AA}/s$ 으로 각각 변화시켜 형성하여 양자점의 초기 성장거동을 분석하였다. InN 증착양이 증가함에 따라 양자점의 공간밀도는$4.4{\times}10^{10}/cm^2$ 에$6.4{\times}10^{10}/cm^2$ 까지 증가하였다. 일반적으로 InP 및 GaAs 기판을 기반으로 한 In(Ga)As 양자점은 증착양이 증가함에 따라 밀도는 감소하고 크기는 증가하는 경향을 보이며, 이는 같은 상 (Phase)을 갖는 물질들끼리 결합하려는 경향이 있기 때문이다. 본 실험에서는 기존 결과와 다른 경향을 보이고 있는데, 이는 Si(111) 기판과 InN 사이의 격자부정합이 상대적으로 크기 때문에 InN 양자구조가 커지는 대신 추가로 새로운 핵생성 메커니즘에 의한 것으로 설명할 수 있다. 이러한 InN 증착양에 따른 InN 양자점 성장거동을 표면에너지를 포함한 이론적인 모델을 통해 논의하고자 한다. -
플라즈마를 활용한 미세 패턴의 건식 식각은 반도체 소자 공정에 있어서 가장 중요한 기술 중 하나이다. 한편, 매년 발행되는 ITRS Roadmap 에 따르면 DRAM 의 1/2 pitch 는 감소하는 동시에 Contact A/R (Aspect Ratio) 는 증가하고 있다. 이러한 추세 속에서 기존의 공정을 그대로 활용할 경우 식각물의 프로파일 왜곡 혹은 휨 현상이 발생하고 식각 속도가 저하되며 이러한 특성들이 결과적으로는 생산성의 저하로 이어질 수 있다. 이러한 현상을 최소화하기 위해서는 무엇보다 독립된 plasma parameter 들이 식각물의 프로파일 혹은 식각 속도 등에 어떠한 영향을 주는 지에 대한 학문적 이해가 필요하다. 본 논문에서는 최소 CD (Critical Dimenstion) 100nm, 최대 A/R 30 인 HARC (High Aspect Ration Contact hole) 의 식각 특성이 plasma parameter 에 따라 어떻게 변하는지 확인해 보고자 한다. 산화물의 식각은 대표적인 high density plasma source 중의 하나인 ICP에서 진행하였으며 기존에 알려진 plasma parameter 에 더하여 자장의 인가가 산화물의 식각 특성에 어떠한 영향을 주는지 살펴보고자 전자석을 ICP 에 추가로 설치하여 실험을 진행하였다. 결과적으로, plasma parameter 에 따른 혹은 자장의 세기 변화에 따른 산화물의 식각 실험을 플라즈마 진단 실험과 병행하여 진행함으로써 다양한 인자에 따른 산화물의 식각 메커니즘을 정확하게 이해하고자 하였다. 실험 내용을 요약하면 다음과 같다. 먼저, 전자석의 전류 인가 조건에 따라 축 방향 혹은 반경 방향으로의 자장의 분포가 달라질 수 있음을 확인하였고 플라즈마 진단 결과 축 방향 혹은 반경 방향으로의 자장이 증가하였을 때 고밀도의 플라즈마가 형성될 수 있음은 물론 반경 방향으로의 플라즈마 밀도의 균일도가 향상됨을 확인할 수 있었다. 또한 ICP 조건에서 바이어스 주파수, 압력, 바이어스 파워, 소스 파워, 가스 유량 등의 plasma parameter 가 산화물의 식각 특성에 미치는 영향 및 메커니즘을 규명하였고 이 과정을 통해 최적화된 프로파일을 바탕으로 축 방향 혹은 반경 방향으로 증가하는 자장을 인가하였을 때 (M-ICP 혹은 자화 유도 결합 플라즈마) ICP 대비 산화물의 식각 속도가 증가함은 물론 PR-to-oxide 의 선택비가 개선될 수 있음을 확인할 수 있었다. 자장의 인가에 따른 산화물의 정확한 식각 메커니즘은 향후의 실험 진행을 통해 이해하고 이를 통해 궁극적으로는 산화물의 식각 공정이 나아가야 할 올바른 방향을 제시하고자 한다.
-
ZnO은 hexagonal wurtzite 구조를 갖는 직접 천이형 화합물 반도체로서, 상온에서 3.37 eV 정도의 wide band gap energy를 가지고 있으며, 60 meV의 큰 엑시톤 결합 에너지(exciton binding energy)를 갖는다. 또한 동종 기판이 존재하고 열, 화학적으로 안정한 상태이며 습식 식각이 가능한 장점으로 인해 각광받고 있다. 또한, ZnO 박막은 우수한 전기 전도성을 나타내며 광학적 투명도가 우수하기 때문에 투명전극으로 많이 이용되어 왔고, 태양 전지(solar cell), 가스 센서, 압전소자 등 많은 분야에서 사용되고 있다. 이와 같은 ZnO박막을 안정적인 쇼트키 다이오드 특성을 얻기 위해서는 쇼트키 배리어 장벽의 형성이 필수적이다. Mg을 ZnO에 첨가하여 MgZnO 박막을 형성할 경우, 금속의 일함수와 MgZnO의 전자친화력 차이가 증가하여 더 큰 쇼트키 장벽 형성이 가능하며, 금속의 일함수가 큰 물질을 사용해야 한다. 또한, 박막의 결함이 적은 박막을 형성해야 하는 에피탁셜 박막이 필요하다. SiC는 높은 포화 전자 드리프트 속도(
${\sim}2.7{\times}107$ cm/s), 높은 절연 파괴전압(~3 MV/cm)과 높은 열전도율(~5.0W/cm) 특징을 가지고 있으며, MgZnO/Al2O3의 격자 불일치는 ~19%인 반면에 MgZnO/SiC의 격자 불일치는 ~6%를 가진다. 금속의 일함수가 큰 Ag 금속은 열처리가 될 경우 AgOx가 될 경우 더욱 안정적인 쇼트키 장벽을 형성될 수 있을 것으로 판단된다. 본 연구에서는 쇼트키 접합을 형성하기 위해 금속의 일함수가 큰 Ag 금속을 사용하였으며, Al2O3 기판과 6H-SiC 기판위에 MgZnO(30 at.%) 박막을 증착하였다. 증착 후에 Ag를 증착 한 뒤 급속 열처리를 하였다. 열처리된 MgZnO의 경우 열처리 하지않은 소자보다 약$10^5$ 이상의 우수한 on/off 특성을 보였다. -
유기물/무기물 나노복합체를 이용하여 제작한 비휘발성 메모리 소자는 간단한 공정과 플렉서블 기기 응용 가능성 때문에 많은 연구가 진행되고 있다. 다양한 나노입자를 포함한 고분자 박막에 대한 연구는 많이 진행되었지만, 비휘발성 메모리소자에서 CdSe/InP 나노입자를 사용한 나노복합체의 전기적 안정성과 동작 메커니즘에 대한연구는 미흡하다. 본 연구는 CdSe/InP 코어/쉘 나노입자가 poly (N-vinylcarbazole) (PVK) 박막에 분산되어 있는 나노복합체를 이용하여 메모리 소자를 제작하여 전기적 특성과 안정성을 관찰 하였다. 소자 제작을 위해PVK 고분자를 용매인 클로로벤젠에 용해한 후, 헥산에 안정화 되어있는 CdSe/InP 나노입자를 초음파 교반기를 사용하여 고르게 섞었다. Indium-tin-oxide (ITO)가 증착한 유리 기판을 화학물질로 세척한 후 기판 위에 CdSe/InP 나노입자와 절연성 고분자인 PVK가 혼합된 용액을 스핀코팅 방법으로 도포하여 나노입자가 포함된 고분자 박막층을 형성하여 저항 변화층으로 사용하였다. 형성된 박막 위에 마스크를 사용하여 Al 상부전극을 고진공에서 열 증착하여 비휘발성 메모리 소자를 제작하였다. 제작된 소자의 전류-전압(I-V) 특성을 측정한 결과 동일전압에서 전도도가 좋은 상태 (ON)와 좋지 않은 상태 (OFF)인 두 개의 상태상 존재한다는 것을 확인하였고, CdSe/InP인 나노입자가 포함된 소자와 포함되지 않은 소자의 전기적 특성을 비교 분석하였다. 두 상태의 안정성을 ON 또는OFF 상태의 스트레스를 측정하여 두 상태의 안정성을 확인하였고, 실험결과를 바탕으로 메모리 소자의 동작 메커니즘을 기술하였다.
-
Mun, Su-Bin;Han, Seok-Man;Kim, Dae-Hyeon;Kim, Hyo-Jin;Sin, Jae-Cheol;Jang, Won-Geun;Han, Myeong-Su 234
VOx 박막은 마이크로볼로미터 적외선 센서의 감지재료로 주로 사용된다. 일반적으로 VOx 박막은 RF sputtering 방법으로 증착이 되며, 이 때 저항 값은 수 kohm~수 Mohm, TCR 값은 -1.5~-2.0%/K까지 다양하게 변화되어 나타난다. 이는 산소의 phase가 여러가지로 변화되기 때문에 재현성이 떨어지는 단점이 있으며, 결정성있는 박막을 증착하기 어려운 문제들이 있다. 본 연구에서는 VOx 박막의 재현성 및 재료의 안정성을 위해 ZnO 물질을 첨가하여 sandwich 구조의 나노박막을 증착하여 산소 열처리를 통해 산소의 phase가 어떻게 변화되는가를 XRD 측정을 통해 조사하였다. ZnO 나노박막을 첨가함으로써 갓 증착되었을 때의 XRD는 V2O5 주된 상을 이루고 있었으며, 산소열처리에 의해 VO2상이 나타남을 알 수 있었다. 또한 V2O5 phase가 표면쪽의 얇은 층에서 주로 나타나고, 중간층은 V2O5와 VO2 phase 가 혼합된 형태로 존재함을 X-ray diffraction 분석을 통해 알 수 있었다. 또한 GIXRD 측정을 통해 깊이에 따른 혼합 phase가 주로 VO2에 의해 형성된 것임을 확인할 수 있었다. 또한 산소열처리의 온도 및 시간에 따라 XRD 특성을 조사하였으며, 최적의 열처리 조건을 XRD 피크를 통해 찾고자 하였다. -
공정의 단순함과 낮은 전력을 사용하여 구동이 가능한 장점을 가진 무기물 나노입자를 포함한 무기물/유기물 나노복합체를 사용한 비휘발성 메모리의 전기적 성질에 대한 연구가 많이 진행되고 있다. 다양한 나노입자를 포함한 고분자 박막에 대한 연구는 많이 진행되었지만, InP/CdSe 코어쉘 나노입자가 고분자 박막에 분산되어 있는 나노복합체를 사용하여 제작한 비휘발성 메모리 소자의 전기적 성질과 소자의 안전성에 대한 연구는 미흡하다. 본 연구에서는 고분자 박막 안에 분산되어 있는 InP/CdSe 코어쉘 나노입자를 사용한 메모리 소자를 제작하여 전기적 성질과 소자의 안정성에 대한 관찰을 하였다. 화학적으로 세척된 indium-tin-oxide (ITO)가 코팅된 유리 기판 위에 InP/CdSe 코어쉘 나노입자와 절연성 고분자가 혼합된 용액을 스핀코팅 방법으로 도포하여 박막을 형성하여 활성층으로 사용하였다. 형성된 박막 위에 Al 상부 전극을 고진공에서 열 증착 방식을 이용하여 ITO/InP/CdSe 코어쉘 나노입자가 분산된 절연성 고분자층/Al 구조를 갖는 메모리 소자를 제작하였다. 제작된 소자의 전류-전압 특성을 측정한 결과 동일 전압에서 전도도가 좋은 상태 (low resistance states; LRS)와 좋지 않은 상태 (high resistance states; HRS)인 두개의 상태가 존재하는 걸 확인하였다. LRS 또는 HRS 변화를 일으키는 일정 전압을 가하기 전까지는 각각의 LRS 또는 HRS를 계속 유지하여 비휘발성 메모리 소자로서의 활용 가능성을 보여주었다. LRS 또는 HRS의 안정성을 확인하기 위해 LRS 또는 HRS의 스트레스 실험으로 관측하였다. 제작된 메모리 소자의 실험 결과를 바탕으로 전하수송 메커니즘을 설명하였다.
-
비냉각 적외선 검출기는 산업용 군사용으로 최근 각광을 받고 있다. 이는 주야간 빛이 없는 곳에서도 사물의 열을 감지할 수 있어 인체감지 및 보안감시, 에너지 절감 등에 응용될 수 있는 핵심부품이다. 비냉각 적외선 검출기로는 재료의 저항의 변화를 감지하는 마이크로볼로미터형이 가장 많이 사용된다. 감지재료로는 비정질 실리콘(a-Si)과 산화바나듐(VOx)이 가장 많이 사용된다. VOx 박막은 일반적으로 RF sputtering 방법으로 증착이 되며, 저항이 낮고, 저항의 온도변화 계수(TCR)가 크며 신호 대 잡음 특성이 우수한 반면 산소(oxygen) phase가 다양하여 갓 증착된 상태의 박막은 재현성이 떨어지는 단점이 있다. 본 연구에서는 기존의 V 타겟을 사용한 VOx 박막을 증착하는 방법을 개선하여 ZnO 나노박막을 중간에 삽입하여 저항 특성을 조절할 뿐만 아니라 열처리에 의해 TCR 값을 향상시키고, VO2 phase 가 주로 나타나는 박막 증착 및 공정 방법을 소개한다. RF sputtering 장비를 이용하여 산소와 아르곤 가스의 혼합비를 4.5로 하였으며, VOx 증착 시 플라즈마 Power는 150 W 로 하여 상온에서 증착하였다. 갓 증착된 VOx 다층박막의 XRD 스펙트럼은 V2O5 피크가 주된 상을 이루고 있었으며, 산소열처리에 의해 VO2 상이 주로 나타남을 알 수 있었다. TCR 값은 갓 증착된 샘플에서 -0.13%/K의 값을 얻었으며,
$300^{\circ}C$ 에서 50분간 열처리 후 -3.37%/K 으로 급격히 향상됨을 알 수 있었다. 저항은 열처리 후 약 100 kohm으로 낮아져 검출소자를 위한 조건에 적합한 특성을 얻을 수 있었다. 또한 산소열처리의 온도 및 시간에 따라 TCR 및 표면 거칠기 특성을 조사하였으며, 최적의 열처리 조건을 얻고자 하였다. -
사파이어 단결정은 광학 투명도, 물리적 강도, 충격 저항, 마모 부식, 높은 압력 및 온도 내구성, 생체 호환성 등 다양한 특성을 가지고 있어 다양한 분야에서 사용되고 있으며, 특히 최근에는 백색 또는 청색 LED 소자 분야에서 기판으로 주로 활용되고 있다. 이러한 사파이어 단결정 기판은 공정에서 결정 성장 조건 및 기계적 연마 등의 다양한 요인으로 결정학적 결함이 발생한다. 이러한 결정학적 결함을 제어함으로서 좋은 품질의 단결정 기판을 생산할 수 있다. 이에 따라 각종 결함 제어를 위해서 X-선, EPD, 레이저 편광법 등 다양한 방법으로 결함들을 측정하고 있다. 그 중에서도 X-선 토포그래피는 시료를 비파괴적인 방법으로 단결정의 결함 밀도와 유형 등을 파악하는데 매우 유용한 측정법이며, Lang 토포그래피로 대표되는 X-선 회절 투과법은 기판과 같은 대구경의 시료를 우수한 분해능으로 내부 결함까지 관찰할 수 있는 장점을 지니고 있다. 본 연구에서는 대구경 사파이어 단결정 기판에 내재하는 결정 결함을 확인 및 분석하기 위해 X-선 Lang 토포그래피(X-ray Lang Topography) 장비를 구축하였다. 그리고 4, 6인치 c-면 사파이어 단결정 기판의 (110), (102) 회절면의 X-선 토포그래피 측정을 통해 전위(dislocation), 스크래치(scratch), 표면데미지(surface damage), 트윈(twin), 잔류 응력(strain) 등의 결함의 유형을 식별 및 분석하였으며, 각각의 결함들의 토포그래피 이미지 형성 메커니즘에 대해 분석하였다. 이를 통해 X-선 Lang 토포그래피(X-ray Lang Topography) 장비가 대구경 사파이어 단결정 기판의 결정 결함 평가에 폭넓은 활용이 가능할 것으로 예상된다.
-
For more than four decades, ion-sensitive field-effect transistor (ISFET) sensors that respond to the change of surface potential on a membrane have been intensively investigated in the chemical, environmental, and biological spheres, because of their potential, in particular their compatibility with CMOS manufacturing technology. Here, we demonstrate a new type of ISFET with dual-gate (DG) structure fabricated on ultra-thin body (UTB), which highly boosts sensitivity, as well as enhancing chemical stability. The classic ion-sensitive field-effect transistor (ISFET) has been confronted with chronic problems; the Nernstian response, and detection limit with in the Debye length. The super-coupling effects imposed on the ultra thin film serve to not only maximize sensitivity of the DG ISFET, but also to strongly suppress its leakage currents, leading to a better chemical stability. This geometry will allow the ISFET based biosensor platform to continue enhancement into the next decade.
-
Atomic layer deposition (ALD)에 의해 증착된 알루미늄 산화막(
$Al_2O_3$ )은 고효율 결정질 실리콘 태양전지를 위한 우수한 패시베이션 효과를 보인다.$Al_2O_3$ 은 고정 음전하를 가지고 있기때문에 p-형 태양전지 후면에서 field effect passivation에 의한 효과적인 표면 패시베이션을 형성한다. 하지만 ALD에 의한$Al_2O_3$ 증착은 긴 공정시간이 필요하다. 이는 기존의 태양전지 산업에 적합하지 않다. 본 논문에서는 공정 시간의 단축을 위해 plasma-assisted atomic layer deposition (PA-ALD) 기술을 사용함으로서$Al_2O_3$ 을 증착했다. PA-ALD 기술은 trimethyaluminum (TMA)와 plasma 분위기에서의$O_2$ 가스를 사용하여 표면 반응을 한다.$Al_2O_3$ 층의 특성을 최적화하기 위해 증착 온도를$150{\sim}250^{\circ}C$ 의 범위에서 가변하고, 열처리 온도와 시간을 변화하였다. 결과적으로, 실리콘 웨이퍼를 이용하여$1250^{\circ}C$ 의 공정온도에서 증착한$Al_2O_3$ 은$400^{\circ}C$ 에서 10분 동안의 열처리 온도와 시간에서 1,610${\mu}s$ 의 최고의 유효 반송자 수명을 보였다. -
Surface-enhanced Raman spectroscopy (SERS) is a sensitive approach to detect and to identify a variety of molecules. To enhance the Raman signal, optimization of the gap between nanostructures is quite important. One-dimensional materials such as nanowires, nanotubes, and nanograsses have great potential to be used in SERS due to their unique sizes and shape dependent characteristics. In this study we investigate a simple way to fabricate SERS substrates based on randomly grown copper oxide (CuO) nanowires. CuO nanograss is fabricated on pre-cleaned Cu foils. Cu oxidized in an ammonium ambient solution of 2.5 M NaOH and 0.1 M
$(NH_4)_2S_2O_8$ at$4^{\circ}C$ for 10, 30, and 60 minutes. Then, Cu(OH)2 nanostructures are formed and dried at$180^{\circ}C$ for 2 h. With the drying process, the Cu(OH)2 nanostructure is transformed to CuO nanograss by dehydration reaction. CuO nanograss are grown randomly on Cu foil with the average length of 10${\mu}m$ and the average diameter of a 100 nm. CuO nanograsses are covered by Ag with various thicknesses from 10 to 30 nm using a thermal evaporator. Then, we immerse uncoated and Ag coated CuO nanowire samples of various oxidation times in a 0.001M methanol-based 4-mercaptopyridine (4-Mpy) in order to evaluate SERS enhancement. Raman shift and SERS enhancement are measured using a Raman spectrometer (Horiba, LabRAM ARAMIS Spectrometer) with the laser wavelength of 532 nm. Raman scattering is believed to be enhanced by the interaction between CuO nanograss and Ag island film. The gaps between Ag covered CuO nanograsses are diverse from <10 nm at the bottom to ~200 nm at the top of nanograsses. SERS signal are improved where the gaps are minimized to near 10s of nanometers. There are many spots that provide sufficiently narrow gap between the structures on randomly grown CuO nanograss surface. Then we may find optimal enhancement of Raman signal using the mapping data of average results. Fabrication of CuO nanograss based on a solution method is relatively simple and fast so this result can potentially provide a path toward cost effective fabrication of SERS substrate for sensing applications. -
단일벽 탄소나노튜브(Single-walled nanotubes, SWNTs)는 나노스케일의 크기와 우수한 물성으로 인하여, 전자, 에너지, 바이오 분야로의 응용이 기대되고 있다. 특히 SWNTs의 직경을 제어하게 되면 튜브의 전도성 제어가 훨씬 수월하게 되어, 차세대 나노전자소자의 실현을 앞당길 수 있으며 이러한 이유로 많은 연구들이 현재 행해지고 있다. SWNTs의 직경제어 합성을 위해서는 현재 열화학기상증착법(Thermal chemical vapor deposition; TCVD)이 가장 일반적으로 이용되고 있으며, 합성 촉매와 합성되는 튜브의 직경과의 크기 연관성이 알려진 후로는, 촉매의 크기를 제어하여 SWNTs의 직경을 제어하고자 하는 연구들이 활발하게 보고되고 있다. 특히, 촉매 나노입자의 직경이 1~2 nm 이하로 감소될 경우, SWNTs의 직경 분포가 어떻게 변화할 것인지가 최근 가장 중요한 관심사로 남아 있으나, 이러한 크기의 금속입자는 나노입자의 융점저하 현상이 발현되는 영역이므로, SWNTs의 합성온도 영역에서 촉매 금속입자는 반액체(Semi-liquid) 상태로 존재할 것으로 추측하고 있다. 본 연구에서는 고온의 SWNTs 합성환경에서 금속나노촉매의 유동성을 제한하기 위하여 나노사이즈의 기공이 규칙적으로 정렬된 다공성 물질인 제올라이트를 촉매담지체로 이용하였고, 이 때 다양한 합성변수가 SWNTs의 직경에 미치는 영향을 살펴보고자 하였다. SWNTs의 합성을 위해 실리콘 산화막 기판 위에 제올라이트를 도포한 후, 합성 촉매로서 전자빔증발법을 통하여 수
${\AA}$ 에서 수 nm 두께의 철 박막을 증착하였다. 합성은 메탄을 원료가스로 하여 TCVD법으로 실시하였다. 주요변수로는 제올라이트 종류, 증착하는 철 박막의 두께, 합성온도를 설정하였으며, 이에 따라 합성된 SWNTs의 합성수율 및 직경분포의 변화를 체계적으로 살펴보았다. SWNTs의 전체적인 합성수율의 변화는 SEM 관찰결과를 이용하였으며, SWNTs의 직경은 AFM 관찰 및 Raman 스펙트럼의 분석에서 도출하였다. 실험결과, 제올라이트 종류에 따라서는 명확한 튜브직경 분포의 변화 없이 비교적 좁은 직경분포를 갖는 SWNTs가 합성되었으며, 합성온도가$850^{\circ}C$ 이하로 감소되면 합성수율이 현저히 감소되는 것을 알 수 있었다. 촉매박막의 두께가 1 nm 이상인 경우에서는 직경 5 nm 전후의 나노입자가 형성되었으며, 이때 SWNTs의 합성수율은 높았으나 다양한 직경의 튜브가 합성이 된 것을 확인할 수 있었다. 반면, 촉매입자의 크기가 2 nm 이하에서는 합성수율은 다소 저하되었으나, SWNTs의 직경분포의 폭이 상대적으로 훨씬 좁아지는 것을 알 수 있었다. 추후, 극미세 촉매와 저온합성 환경에서의 합성수율 향상을 위한 합성공정의 개량이 지속적으로 요구된다. -
Jeon, Seung-Han;Song, U-Seok;Jeong, Dae-Seong;Cha, Myeong-Jun;Kim, Seong-Hwan;Lee, Su-Il;Park, Jong-Yun 255
최근 그래핀 연구와 더불어 2차원 구조의 나노소재에 대한 관심이 급증하면서 육각형의 질화붕소(hexagonal boron nitride; h-BN) 박막(nanosheet)이나 붕소 탄화질화물(boron caronitride; BCN) 박막과 같은 2차원 구조체에 대한 연구가 활발히 진행되고 있다. 그 중 BCN은 반금속(semimetal)인 흑연(graphite)과 절연체인 h-BN이 결합된 박막으로 원소의 구성 비율에 따라 전기적 특성을 제어할 수 있다는 장점이 있다. 따라서 다양한 나노소자로의 응용을 위한 연구가 활발히 진행되고 있다. 본 연구에서는 폴리스틸렌(polystyrene, PS)과 보레인 암모니아(borane ammonia)를 고체 소스로 이용하여 열화학 기상증착법을 이용하여 BCN 박막를 SiO2 기판 위에 직접 합성하였다. SEM과 AFM 관측을 통해 합성된 BCN 박막을 확인하였으며, RMS roughness가 0.5~2.6 nm로 매우 낮은 것을 확인하였다. 합성과정에서 PS의 양을 조절하여 BCN 박막의 탄소의 밀도를 성공적으로 제어하였으며, 이에 따라 전기적인 특성이 제어되는 양상을 확인하였다. 또한 합성온도 변화에 따른 BCN 박막의 전기적인 특성이 제어되는 양상을 확인하였다. 추가적으로 같은 방법을 이용하여 BCN 박막을 Ni 위에서 합성하여 SiO2 기판위에 전사 하였다. 합성된 BCN 박막의 구조적 특징과 화학적 조성 및 결합 상태를 투과전자현미경(transmission electron microscopy), X-선 광전자 분광법(X-ray photoelectron spectroscopy)을 통해 조사하였다. -
Carbon nanotubes (CNT) / polyvinylidene fluoride (PVDF) piezoelectric composite films for nanogenerator devices were fabricated by spray coating method. When the CNT/PVDF mixture solution passes through the spray nozzle with small diameter by the compressed nitrogen gas, electric charges are generated in the liquid by a triboelectric effect. Then randomly distributed
${\beta}$ phase PVDF film could be re-oriented by the electric field resulting from the accumulated electrical charges, and might be resulted in extremely one-directionally aligned${\beta}$ phase PVDF film without additional electric field for poling. X-ray diffraction patterns were used to investigate crystal structure of the CNT/PVDF composite films. It was confirmed that they revealed extremely large portion of the${\beta}$ phase PVDF crystalline in the film. Therefore we could obtain the poled CNT/PVDF piezoelectric composite films by the spray coating method without additional poling process. Charge accumulation and resulting electric field generation mechanism by spray coating method were shown in Fig. 1. The capacitance of the CNT/PVDF films increased by adding CNTs into the PVDF matrix, and finally saturated. However, the I-V curves didn't show any saturation effect in the CNT concentration range of 0~4 wt%. Therefore we can control the performance of the devices fabricated from the CNT/PVDF composite film by adjusting the current level resulted from the CNT concentration with the uniform capacitance value. -
In order to selectively remove oil and organic compound from water, silica nanoparticles with hydrophobic coating was used. Since silica nanoparticles are generally hydrophilic, removal efficiency of oil and organic compound, such as toluene, in water can be decreased due to competitive adsorption with water. In order to increase the removal efficiency of oil and toluene, hydrophobic polydimethylsiloxane (PDMS) was coated on silica nanoparticles in the form of thin film. Hydrophobic property of the PDMS-coated silica nanoparticles and hydrophilic silica nanoparticles were easily confirmed by putting it in the water, hydrophilic particle sinks but hydrophobic particle floats. PDMS coated silica nanoparticles were dispersed on a slide glass with epoxy glue on and the water contact angle on the surface was determined to be over
$150^{\circ}$ , which is called superhydrophobic. FT-IR spectroscopy was used to check the functional group on silica nanoparticle surface before and after PDMS coating. Then, PDMS coated silica nanoparticles were used to selectively remove oil and toluene from water, respectively. It was demonstrated that PDMS coated nanoaprticles selectively aggregates with oil and toluene in the water and floats in the form of gel and this gel remained floating over 7 days. Furthermore, column filled with hydrophobic PDMS coated silica nanoparticles and hydrophilic porous silica was prepared and tested for simultaneous removal of water-soluble and organic pollutant from water. PDMS coated silica nanoparticles have strong resistibility for water and has affinity for oil and organic compound removal. Therefore PDMS-coated silica nanoparticles can be applied in separating oil or organic solvents from water. -
Redox cycling in between the two working electrodes in an electrochemical cell can lead a great signal enhancement. In this work, we report on a systematic examination of current amplification along with the decrease in the gap distance of a nanogap device which was fabricated by the combination of photo and chemical lithography [1]. The gap distance was controlled by the chemical lithographic process of surfacecatalyzed growth of metallic layer on pre-defined electrodes with wider initial gap. Enhancement of the redox current of ferri/ferrocyanide was observed upon gap distance reduction and the current is amplified about a thousand times in this redox system when the gap distance was decreased from 200 nm to 30 nm. The experimental results were discussed on the basis of the cyclic voltammetry (CV), atomic force microscopy (AFM) and scanning electron microscopy (SEM).
-
The attractive features of photosynthetic reaction center proteins for energy application make them useful in solar energy conversion to hydrogen fuel or electrical energy. Almost unity charge separation quantum yield and its rapid speed of ~1ns, absorbance region in visible light (480~740 nm) and high proportion of photosynthetically active solar energy of 48.5% allowed photosystem1 to exploited as a bio-material for photo-energy devices. Directionality of photosystem1 in electron transfer can solve main problem in two-step water splitting process where back reaction deteriorates the overall efficiency. In the study, photosystem1 was extracted from spinach and the photo-induced excited electron in the reaction center was utilized in various field of light energy application. First, hydrogen evolving system realized by photodeposition of platinum at the end of the electron transfer chain, with combining specific semiconductor to oxidize water in the first step of Z-scheme. The evaluation by gas-chromatography demonstrated hydrogen evolution through the system. For the further application of photoelectrical material on electrode, photosystem1 have been controlled by copper ion, which is expected to assemble photosystem in specific orientation followed by maximized photoelectrical ability of film. The research proposed concrete methods for combining natural protein and artificial materials in one system and suggested possibility of designing interface between biological and inorganic materials.
-
Recently, Point-of-care (POC) testing microdevices enable to do the patient monitoring, drug screening, pathogen detection in the outside of hospital. Immunochromatographic strip (ICS) is one of the diagnostic technologies which are widely applied to POC detection. Relatively low cost, simplicity to use, easy interpretations of the diagnostic results and high stability under any circumstances are representative advantages of POC diagnosis. It would provide colorimetric results more conveniently, if the genetic analysis microsystem incorporates the ICS as a detector part. In this work, we develop a reverse transcriptase-polymerase chain reaction (RT-PCR) microfluidic device integrated with a ROSGENE strip for colorimetric influenza H1N1 virus detection. The integrated RT-PCR- ROSGENE device is consist of four functional units which are a pneumatic micropump for sample loading, 2
${\mu}L$ volume RT-PCR chamber for target gene amplification, a resistance temperature detector (RTD) electrode for temperature control, and a ROSGENE strip for target gene detection. The device was fabricated by combining four layers: First wafer is for RTD microfabrication, the second wafer is for PCR chamber at the bottom and micropump channel on the top, the third is the monolithic PDMS, and the fourth is the manifold for micropump operation. The RT-PCR was performed with subtype specific forward and reverse primers which were labeled with Texas-red, serving as a fluorescent hapten. A biotin-dUTP was used to insert biotin moieties in the PCR amplicons, during the RT-PCR. The RT-PCR amplicons were loaded in the sample application area, and they were conjugated with Au NP-labeled hapten-antibody. The test band embedded with streptavidins captures the biotin labeled amplicons and we can see violet colorimetric signals if the target gene was amplified with the control line. The off-chip RT-PCR amplicons of the influenza H1N1 virus were analyzed with a ROSGENE strip in comparison with an agarose gel electrophoresis. The intensities of test line was proportional to the template quantity and the detection sensitivity of the strip was better than that of the agarose gel. The test band of the ROSGENE strip could be observed with only 10 copies of a RNA template by the naked eyes. For the on-chip RT-PCR-ROSGENE experiments, a RT-PCR cocktail was injected into the chamber from the inlet reservoir to the waste outlet by the micro-pump actuation. After filling without bubbles inside the chamber, a RT-PCR thermal cycling was executed for 2 hours with all the microvalves closed to isolate the PCR chamber. After thermal cycling, the RT-PCR product was delivered to the attached ROSGENE strip through the outlet reservoir. After dropping 40${\mu}L$ of an eluant buffer at the end of the strip, the violet test line was detected as a H1N1 virus indicator, while the negative experiment only revealed a control line and while the positive experiment a control and a test line was appeared. -
Osteoblast is one of cells related with osseointegration and many research have conducted the adhesion of osteoblast onto the surface of implant. In the osseointegration, biocompatibility of the implant and cell adhesion to the surface are important factors. The researches related to cell adhesion have a direction from micro-scaled surface roughness to nano-scaled surface roughness with advancing nanotechnology. A cell reacts and sense to stimuli from extracellular matrix (ECM) and topography of the ECM [1]. Thus, for better osseointegration, we should provide an environment similar to ECM. In this study, we synthesize TiO2 nanowires using hydrothermal reaction because TiO2 provides inertness to titanium on its surface and enables it used as an implant material for the orthopedic treatment such as fixation of the bone fracture [2]. Ti substrate is immersed into NaOH aqueous solution. The solution are heated at
$140{\sim}200^{\circ}C$ for various time (10~720 minutes). After heat treatment, we take out the sample and immerse it into HCl aqueous solution for 1 hour. The acid treated sample is heated again at$500^{\circ}C$ for 3 hours [3]. Then, we culture osteoblast on the TiO2 nanowires. For investigating cell adhesion onto nanostructured surface, we conduct several tests such as MTT assay, ALP (Alkaline phosphatase) activity assay, measuring calcium expression, and so on. These preliminary results of the cell culture on the nanowires are foundation for investigating cell-material interaction especially with nanostructure interaction. -
Here we report an integrated microdevice consisting of an efficient passive mixer, a magnetic separation chamber, and a capillary electrophoretic microchannel in which DNA barcode assay, target pathogen separation, and barcode DNA capillary electrophoretic analysis were performed sequentially within 30 min for multiplex pathogen detection at the single-cell level. The intestine-shaped serpentine 3D micromixer provides a high mixing rate to generate magnetic particle-pathogenic bacteria-DNA barcode labelled AuNP complexes quantitatively. After magnetic separation and purification of those complexes, the barcode DNA strands were released and analyzed by the microfluidic capillary electrophoresis within 5 min. The size of the barcode DNA strand was controlled depending on the target bacteria (Staphylococcus aureus, Escherichia coli O157:H7, and Salmonella typhimurium), and the different elution time of the barcode DNA peak in the electropherogram allows us to recognize the target pathogen with ease in the monoplex as well as in the multiplex analysis. In addition, the quantity of the DNA barcode strand (~104) per AuNP is enough to be observed in the laser-induced confocal fluorescence detector, thereby making single-cell analysis possible. This novel integrated microdevice enables us to perform rapid, sensitive, and multiplex pathogen detection with sample-in-answer-out capability to be applied for biosafety testing, environmental screening, and clinical trials.
-
We report on the preparation of polydimethylsiloxane (PDMS) coated multi-walled carbon nanotubes (MWNTs) followed by their dispersion in various solvents. To disperse MWNTs without acids of surfactants, which are the commonly used methods, hydrophobic PDMS coating was selected. It was determined that the PDMS coated MWNTs are more dispersed in diverse solvents such as dimethyl formamide (DMF) and acetone than bare MWNTs. In case of DMF solvent, dispersion of MWNT was improved by 40 % upon PDMS-coating of MWNT, which was confirmed by UV/Vis absorption spectroscopy. In this work, the PDMS coated MWNTs dispersed solution was also used for the fabrication of film, which is conductive, transparent and superhydrophobic because of the reduced aggregation and increased water repellency of MWNTs.
-
In green plants, energy generation is accomplished through light-harvesting photosystem, which utilize abundant visible light and multi-stepwise redox reaction to oxidize water and reduce NADP+, transferring electrons efficiently with active cofactors1. Inspired by natural photosynthesis, artificial solar water-splitting devices are being designed variously. However, the several approaches involving immobilization2, conjugation3, and surface modification4 still have limitations. We have made artificial photosynthesis templates by self-assembling tyrosine-based peptide to mimick photosystem II. Porphyrin sensitizer absorbing blue light strongly was conjugated with the templates and they were hybridized with cobalt oxide through the reduction of cobalt ions in an aqueous solution. The formation of hybrid templates was characterized using TEM, and their water oxidation performance was measured by fluorescence oxygen probe. Our results suggest that the bio-templated assembly of functional compounds has a great potential for artificial photosynthesis.
-
Go, Yong-Hun;Jeong, Dae-Seong;Jo, Ju-Mi;Adhikari, Prashanta Dhoj;Cha, Myeong-Jun;Jeon, Seung-Han;Jeong, U-Seong;Park, Jong-Yun 282
그래핀(Graphene)은 열 전도도가 높고 전자 이동도(200 000 cm2V-1s-1)가 우수한 전기적 특성을 가지고 있어 차세대 전자재료로써 유망한 후보로 간주되어 왔다. 최근에는 아크 방출(Arc discharge method), 화학적 기상 증착법(Chemical vapor deposition; CVD), 이온-조사법(Ion-irradiation) 등을 이용한 이종원자(Hetero atom)도핑과 화학적 처리를 이용한 기능화(Functionalization)등의 방법으로 그래핀의 전도도를 향상시킬 수 있었다. 그러나 이러한 방법들은 기판의 표면을 거칠게 하며, 그래핀에 많은 결함들이 발생한다는 단점이 있다. 이러한 단점을 극복하기 위해 자가 조립 단층막법(Self-Assembled Monolayers; SAMs)을 이용하여 기판을 기능화한 후 그 위에 그래핀을 전사하면, 자가 조립 단층막의 기능기에 따라 그래핀의 일함수를 조절 가능하고 운반자 농도나 도핑 유형을 변화시켜 소자의 전기적 특성을 최적화 할 수 있다 [1-3]. 본 연구에서는 PET(polyethylene terephthalate) 기판에 SAMs를 이용하여 유연하고 투명한 그래핀 전극을 제작하였다. 자외선 오존처리 (UV ozone treatment)를 이용하여 PET 기판 표면 위에 하이드록실 기(Hydroxyl group; -OH)를 기능화 화였고 이를 접촉각 측정(Contact angle measurement)을 통해 확인하였다. 또한 3-Aminopropyltriethoxysilane(APTES)와 톨루엔 (toluene)을 이용하여 PET 기판 표면 위의 하이드록실 기 위에 아민 기(Amine group; -NH2)를 기능화 하였고 이를 X-선 광전자 분광법(X-ray photoelectron spectroscopy: XPS)으로 분석하였다. 이렇게 만들어진 PET기판 표면 위에 화학적 기상 증착법을 이용하여 합성한 대면적의 균일한 그래핀을 전사하였다. NH2그룹에 의해 그래핀에 도핑 효과가 나타난 것을 라만 분광법(Raman spectroscopy)과 전류-전압 특성곡선(I-V characteristic curve)을 이용하여 확인하였다. 본 연구 결과는 유연하고 투명한 기판 위에 안정적이면서 패턴이 가능하기 때문에 그래핀을 기반으로 하는 반도체 소자에 적용 가능할 것이라 예상된다. -
중 에너지 이온 산란 분석법(Medium Energy Ion Scattering Spectrometer, MEIS)은 50~500 keV로 이온을 가속 후 시료에 입사시켜 시료의 원자와 핵간 충돌로 산란되는 일차이온의 에너지를 측정하여 시료를 분석하는 기법으로, 원자층의 깊이 분해능으로 초박막의 표면 계면의 조성과 구조를 분석 할수 있는 유용한 미세 분석기술이다. 본 실험에서 에너지 70~100 keV의 He+ 이온을 사용하여 Pulse Width 1 ns의 Pulsed ion beam을 만들어 Start 신호로 사용하고 Delay-line-detector에 검출된 신호를 End 신호를 이용한 TOF-MEIS System을 개발하였다. 활용 가능한 분석시편으로 Ultra thin film 시편으로 1, 1.5, 2, 2.5, 3, 4 nm의 HfO2, 1.8, 4nm의 SiO2 시편을 분석 하였으며 Ultra Shallow Junction 시편으로 As Doped Si, Cs Doped Si 시편 및 Composition, Core/shell 구조의 Q-dot 시편으로 CdSe, CdSe/ZnS등 다양한 분석 실험을 진행 하였다. Composition, Core/shell 구조의 Q-dot 시편은 Diamond Like Carbon(DLC)의 Substrate에 Mono-layer로 형성하여 분석하였다.
-
Recently, hexagonal boron nitride (h-BN), which is III-V compound of boron and nitride by strong covalent sp2 bonds has gained great interests as a 2 dimensional insulating material since it has honeycomb structure with like graphene with very small lattice mismatch (1.7%). Unlike graphene that is semi-metallic, h-BN has large band gap up to 6 eV while providing outstanding properties such as high thermal conductivity, mechanical strength, and good chemical stability. Because of these excellent properties, hBN can potentially be used for variety of applications such as dielectric layer, deep UV optoelectronic device, and protective transparent substrate. Low pressure and atmospheric pressure chemical vapor deposition (LPCVD and APCVD) methods have been investigated to synthesize h-BN by using ammonia borane as a precursor. Ammonia borane decomposes to polyiminoborane (BHNH), hydrogen, and borazine. The produced borazine gas is a key material that is a used for the synthesis of h-BN, therefore controlling the condition of decomposed products from ammonia borane is very important. In this paper, we optimize the decomposition of ammonia borane by investigating temperature, amount of precursor, and other parameters to fabricate high quality monolayer h-BN. Synthesized h-BN is characterized by Raman spectroscopy and its absorbance is measured with UV spectrophotometer. Topological variations of the samples are analyzed by atomic force microscopy. Scanning electron microscopy and Scanning transmission Electron microscopy are used for imaging and analysis of structures and surface morphologies.
-
Sin, Yong-Seung;Jang, Hyeon-Sik;Im, Jae-Yeong;Im, Se-Yun;Lee, Jong-Un;Lee, Jae-Hyeon;Wang, Junyi;Heo, Geun;Kim, Tae-Geun;Hwang, Seong-U;Hwang, Dong-Mok 288
Lithium-ion battery (LIB) is one of the most important rechargeable battery and portable energy storage for the electric digital devices. In particular, study about the higher energy capacity and longer cycle life is intensively studied because of applications in mobile electronics and electric vehicles. Generally, the LIB's capacity can be improved by replacing anode materials with high capacitance. The graphite, common anode materials, has a good cyclability but shows limitations of capacity (~374 mAh/g). On the contrary, silicon (Si) and germanium(Ge), which is same group elements, are promising candidate for high-performance LIB electrodes because it has a higher theoretical specific capacity. (Si:4200 mAh/g, Ge:1600 mAh/g) However, it is well known that Si volume change by 400% upon full lithiation (lithium insertion into Si), which result in a mechanical pulverization and poor capacity retention during cycling. Therefore, variety of nanostructure group IV elements, including nanoparticles, nanowires, and hollow nanospheres, can be promising solution about the critical issues associated with the large volume change. However, the fundamental research about correlation between the composition and structure for LIB anode is not studied yet. Herein, we successfully synthesized various structure of nanowire such as Si-Ge, Ge-Carbon and Si-graphene core-shell types and analyzed the properties of LIB. Nanowires (NWs) were grown on stainless steel substrates using Au catalyst via VLS (Vapor Liquid Solid) mechanism. And, core-shell NWs were grown by VS (Vapor-Solid) process on the surface of NWs. In order to characterize it, we used FE-SEM, HR-TEM, and Raman spectroscopy. We measured battery property of various nanostructures for checking the capacity and cyclability by cell-tester. -
Kim, Tae-Heon;;Choe, Sun-Hyeong;Seo, Yeong-Min;Lee, Jong-Cheol;Hwang, Dong-Hun;Kim, Dae-Won;Choe, Yun-Jeong;Hwang, Seong-U;Hwang, Dong-Mok 289
While there are plenty of studies on synthesizing semiconducting germanium nanowires (Ge NWs) by vapor-liquid-solid (VLS) process, it is difficult to inject dopants into them with uniform dopants distribution due to vapor-solid (VS) deposition. In particular, as precursors and dopants such as germane ($GeH_4$ ), phosphine ($PH_3$ ) or diborane ($B_2H_6$ ) incorporate through sidewall of nanowire, it is hard to obtain the structural and electrical uniformity of Ge NWs. Moreover, the drastic tapered structure of Ge NWs is observed when it is synthesized at high temperature over$400^{\circ}C$ because of excessive VS deposition. In 2006, Emanuel Tutuc et al. demonstrated Ge NW pn junction using p-type shell as depleted layer. However, it could not be prevented from undesirable VS deposition and it still kept the tapered structures of Ge NWs as a result. Herein, we adopt$C_2H_2$ gas in order to passivate Ge NWs with carbon sheath, which makes the entire Ge NWs uniform at even higher temperature over$450^{\circ}C$ . We can also synthesize non-tapered and uniformly doped Ge NWs, restricting incorporation of excess germanium on the surface. The Ge NWs with carbon sheath are grown via VLS process on a$Si/SiO_2$ substrate coated 2 nm Au film. Thin Au film is thermally evaporated on a$Si/SiO_2$ substrate. The NW is grown flowing$GeH_4$ , HCl,$C_2H_2$ and PH3 for n-type,$B_2H_6$ for p-type at a total pressure of 15 Torr and temperatures of$480{\sim}500^{\circ}C$ . Scanning electron microscopy (SEM) reveals clear surface of the Ge NWs synthesized at$500^{\circ}C$ . Raman spectroscopy peaked at about ~300$cm^{-1}$ indicates it is comprised of single crystalline germanium in the core of Ge NWs and it is proved to be covered by thin amorphous carbon by two peaks of 1330$cm^{-1}$ (D-band) and 1590$cm^{-1}$ (G-band). Furthermore, the electrical performances of Ge NWs doped with boron and phosphorus are measured by field effect transistor (FET) and they shows typical curves of p-type and n-type FET. It is expected to have general potentials for development of logic devices and solar cells using p-type and n-type Ge NWs with carbon sheath. -
자연계의 많은 생물들은 의사소통이나 분위기 표현, 위장 등을 하기위해 자신의 색을 바꾸는 것으로 알려져 있으며, 현재 많은 연구자들이 이러한 자연 현상으로부터 영감을 받아 생체모방 구조와 메커니즘을 이용한 바이오센서를 개발하고 있다. 하지만 기존의 컬러센서는 수용체 개발에 있어 복잡한 디자인, 어려운 합성 방법 및 낮은 감도와 저선택성 등의 한계점을 가지고 있다. 이에 본 연구에서 우리는 바이러스(M13-박테리오파지)를 기반으로 한 신개념 고감도 고선택성 컬러센서를 개발하고자 한다. 우리가 개발하고자 하는 컬러센서는 자가 조립방법으로 만들어진 나노 구조체로 형성되어 있으며, 다양한 종류의 화학물질이나 오염물질을 감지할 수 있다. 이 컬러센서는 아주 낮은 농도의 휘발성 유기화합물(volatile organic compounds)을 감지해 색변화를 보였으며, 다양한 독성 물질이나 방향족을 가진 화학 물질을 감지할 수 있었다. 따라서 우리가 개발한 컬러 센서는 국가의 안보나 국민의 건강을 증진시키기에 아주 유용할 것으로 보인다.
-
Finding renewable and clean energy resources is essential research to solve global warming and depletion of fossil fuels in modern society. Recently, complex harvesting of energy from multiple sources is available in our living environments using a single device has become highly desirable, representing a new trend in energy technologies. We report that when simultaneously driving the fusion and composite cells of two or more types, it is possible to make an affect the other cells to obtain a greater synergistic effect. To understand the coupling effect of photovoltaic and piezoelectric device, we fabricate the serially integrated hybrid cell (s-HC) based on organic solar cell (OSC) and piezoelectric nanogenerator (PNG). The size of increased voltage peaks when OSC and PNG are working on is larger than the case when only PNG is working. This voltage difference is the Voc change of OSC, not the voltage change of PNG and current density difference between these two cases is manifested more clearly. When the OSC and PNG are working in s-HC at the same time, piezoelectric potential (VPNG) is generated in ZnO and theoretical total voltage is sum of voltage of an OSC (VOSC) and VPNG. However, electrons from OSC are influenced by piezoelectric potential in ZnO and current loss of OSC in whole circuit decreases. As a result, VOSC increases temporarily. Current shows the similar behavior. PNG acts a resistance in the whole circuit and current loss occurs when the electrons from OSC pass through the PNG. But piezoelectric potential recover current loss and decrease the resistance of PNG. Our PNG can maintain piezoelectric potential when the strain is held owing to the LDH layer while general PNG cannot maintain piezoelectric potential. During the section that strain is held, voltage enhancement effect is maintained and same effect appeared even turn off the light. Actually at this time, electrons in ZnO nanosheets move to LDH and trapped by the positive charges in this layer. After this strain is held, piezoelectric potential of ZnO nanosheets is disappeared but potential difference which is developed by negative charge dominant LDH layer is remained. This potential acts similar role like piezoelectric potential in ZnO. Electrons from the OSC also are influenced by this potential and the more current flows.
-
전도성 고분자인 PEDOT은 PSS와 활용도를 높게 하기 위해 PSS와 함께 쓰이며, 다양한 분야에서 넓게 활용되고 있다. 하지만 박막 형성시 전도성이 작은 문제점이 있기 때문에 이를 개선할 필요성이 있다. 이에 본 연구에서는 용매를 사용한 PEDOT:PSS 박막의 처리 과정을 통하여 물성의 변화를 관찰하였으며, 전도성 향상을 관찰 하였다. 이를 위해 4-point probe장비를 이용하여 면저항을 측정하였으며, 동시에 Fe-SEM을 사용하여 박막의 무께를 알아 보았다. 또한 분자 수준의 관찰을 위해 Raman spectroscopy를 이용하였으며 동시에 FT-IR과 XPS장비를 사용하였다.
-
태양전지는 무기태양전지와 유기태양전지 등이 연구 되고 있는데 [1] 그 중 유기물질의 장점(높은 수율, solution phase processing, 저비용으로 전력 생산)과 무기재료의 장점(높은 전자 이동도, 넓은 흡수 범위, 우수한 환경 및 열 안정성)을 융합함으로써 장기적 구조안정성의 확보와 광전변환의 고 효율화를 동시에 달성하기 위한 유기무기 하이브리드 태양전지가 최근 큰 관심을 끌고 있다[2]. 본 연구에서는 hybrid photovoltaics에 유기물 MDMO-PPV와 전도성 고분자 PEDOT:PSS를 무기물 GaN 위에 spin coating 하여 두께에 다른 효율을 측정하였다. 유기물 MDMO-PPV는 p-형으로 클로로벤젠, 톨루엔과 같은 유기 용매에 잘 녹으며 HOMO 5.33eV, LUMO 2.97eV, energy band gap 2.4eV이며 99.5%의 순도 물질을 사용하였다. 또한 정공 수송층(hole transport layer, HTL)으로 PEDOT:PSS를 사용하였으며, HOMO 5.0eV, LUMO 3.6eV, energy band gap 1.4eV를 가지며 증류수나 에탄올과 같은 수용성 용매에 잘 녹는 특성을 가지고 있다. 무기물은 III-V 족 물질 n-GaN(002)을 사용하였고 valence band energy 1.9eV, conduction band energy 6.3eV, energy band gap 3.4eV, 높은 전자 이동도와 높은 포화 속도, 광전자 소자에 유리한 광 전기적 특성을 가지고 있다. 기판으로는 GaN와 격자 부정합도와 열팽창계수 부정합도가 큰 Sapphire (Al2O3) 이종 기판을 사용하였다. 전극으로 Au를 사용하였으며 E-beam증착하였다. Reflector로서 Al를 thermal evaporator로 증착하였다 [3]. 실험 과정은 두께에 따른 효율을 알아보기 위해 MDMO-PPV를 900~1,500 rpm으로 spin coating 하였고, 열처리에 따른 효율을 알아보기 위해 열처리 온도 조건을
$110{\sim}170^{\circ}C$ 의 변화를 주었다. FE-SEM으로 표면과 단면을 관찰하였으며 J-V 특성을 알아보기 위해 각 샘플마다 solar simulator를 사용하여 측정하였고 그 결과를 논의하였다. -
p-type Si(100)기판위에 Al2O3 박막을 증착하고 Si/SiO2 박막을 연속 증착하여 태양전지를 제작하였다. Si/SiO2 박막을 연속으로 증착하면 양자 구속이 일어나고 이로 인한 유효밴드 갭이 증가하게 되고, tunnel effect와 계면에서의 passivation 효과를 기대할 수 있다. 이런 효과들을 이용하여 고효율 태양전지를 기대 할 수 있다. 본 연구에서는 Remote Plasma Atomic Layer Deposition(RPALD)를 이용하여 Al2O3를 증착하였고 RF-Magnetron Sputter와 e-beam Evaporator 장비를 이용하여 Si/SiO2을 증착하였다. 전극으로는 Ti/Ag와 Al을 이용하였다. Solar simulator 장비를 이용하여 cell의 전기적 특성 평가를 평가하였고(Fig. 1) QE 측정장비를 통해 파장대의 따른 광학적 측정을 하였다(Fig. 2). ellipsometer 장비와
${\alpha}$ -step 장비로 박막과 전극의 두께를 측정하였고 4-point prove 장비를 이용하여 면저항, 저항율을 측정 평가하였다. 또한 I-V, C-V 측정 결과 터널링 현상이 일어나는 것을 확인 하였으며, Si/SiO2 다중 박막을 연속 증착 할수록 cell 효율이 더 좋게 나온다는 것을 확인하였다. -
GZO/Ag/GZO 다층 투명 전도막은 투명 산화물 전극 사이에 빛이 투과할 수 있는 수준의 매우 얇은 금속을 삽입하여 낮은 면저항과 높은 투과율을 구현하는 기술로 금속의 유연성과 낮은 비저항, 산화물은 높은 투과도와 안정성을 동시에 이용할 수 있는 투명전도막이다. 본 연구에서는 RF 마그네트론 스퍼터와 전자빔 증착을 이용하여 GZO 박막 사이에 Ag 박막을 삽입한 GZO/Ag/GZO 구조의 박막을 제작하였다. Ag 박막의 두께와 공정 압력이 박막에 미치는 영향을 연구하였으며, 급속 열처리에 따른 GZO/Ag/GZO 박막의 특성을 분석하였다. Ag 삽입 박막 두께와 GZO/Ag/GZO 박막의 열처리 온도 최적화를 통하여
$2.2{\times}10-5{\Omega}{\cdot}cm$ 의 가장 낮은 비저항과 88.9%의 투과율을 나타내었다. -
$CO_2$ 를$CH_4$ 와 열 및 전기화학적인 반응을 통해 고농도의 CO 및$H_2$ 로 구성된 합성가스로 효율적으로 전환시키기 위해, 반응가스 주입용 간극형 노즐을 가진 비이송식 직류 열플라즈마 토치 시스템을 설계, 제작하고 다양한 조건에서 이 두 가스의 개질 실험을 수행하였다. 설계 제작된 간극형 노즐과 리액터 내 고온 반응 영역을 활용한$CO_2$ 및$CH_4$ 반응가스의 효율적인 처리를 통하여, 최고 70% 이상의$CO_2$ 및$CH_4$ 의 전환율과 최고 80% 이상의 CO 및$H_2$ 선택도를 달성할 수 있음을 확인하였다. 또한, 상기 조건의 경우, 플라즈마 입력 전력 10.6 kW 대비 49 lpm 의 반응가스 처리량을 통하여 얻은 것으로 최고 2.5 mmol/kJ 이상의 Specific Energy Requirement (SER) 조건도 만족할 수 있음을 보였다. 특히, 제안된 막대 음극-반응 가스 주입구를 가진 양극 노즐 플라즈마 토치의 경우,$CH_4$ 반응가스를 음극에 직접 닿지 않도록 간극을 통해 주입하게 함으로써, 반응 가스 분해에 의한 음극 등 전극 부식을 최소화하면서도 고에너지 전자가 풍부한 아크 컬럼에 의해$CO_2$ 및$CH_4$ 의 전환 반응을 효율적으로 일으킬 수 있어 효율적인$CO_2$ 및$CH_4$ 개질을 위한 열플라즈마 토치 시스템의 개발이 기대된다. -
최근 지구온난화 및 원유 공급 감소 또는 고갈로 에너지 효율성 높고 저탄소 녹색성장을 주도할 수 있는 철도산업에 대한 관심이 고조되고 있다. 초고속 자기부상철도는 에너지 효율이 높은 경제적인 교통수단으로써, 단위 수송당(인-km) 온실가스 배출량이 자동차의 38%, 항공기의 17%에 불과하고, 같은 궤도운송시스템인 고속철도에 비해서도 77%에 불과하고 단위수송당 에너지 소비율이 항공기의 80% 수준에 불과하므로, 화석에너지의 고갈이 예상되는 미래에 장거리 고속 이동 수단으로써 중요성이 확대되고 있다. 또한, 비접촉 추진 방식이므로 바퀴 접촉식 고속철도에 비해서 유지보수 비용이 저렴하고(34%에 불과) 고속철도에 비해 10dB 이상 소음 발생이 적은 수명주기 비용 및 환경측면에서 기존 철도시스템에 비해서 경쟁력이 있으므로 시급한 개발과 활용이 요구되고 있다. 본 연구에서는 초고속 자기부상철도 연구와 관련된 국내외 연구동향과 함께, 국가연구개발사업으로 추진중인 초고속 자기부상철도 핵심기술개발사업에 대해 살펴보았다. 또한, 미래기술로 각광을 받고 있는 튜브트레인 기술에 대해서도 알아보았다.
-
우리나라 최초의 거대과학 장치인 포항방사광가속기(PLS)는 지난 16년(1994~2010) 동안 국내외 이용자에게 제3세대 방사광을 제공했다. 최초 2기의 빔라인을 시작으로 꾸준하게 빔라인 증설과 성능개선을 위해 노력해 왔다. 지속해서 늘어나는 방사광 이용자 수와 더욱더 좋은 수준의 방사광 요구에 부응하기 위하여 2009년부터 3년 동안 가속장치의 성능향상사업(PLS-II)을 마쳤다. PLS-II는 PLS 대비에너지와 빔전류는 3 GeV, 400 mA로 늘리는 반면 빔의 크기는 크게 줄이고 빔안정성을 개선한 고품질 X-선 방사광 발생장치이다. 2012년부터 16기의 삽입장치 빔라인을 포함한 30기의 빔라인을 가동하여 이용자 지원을 하고 있으며 초전도케비티 설치를 포함한 목표 성능의 확보에도 많은 노력을 기울이고 있다. 현재는 6 nm-rad의 빔에 미턴스, 3-GeV전자빔, 약 0.5
${\mu}m-rms$ 빔안정도를 가진 200 mA Top-up 운전으로 빔을 제공 하고 있으며 2014년 말에는 저장전류 400 mA급의 PLS-II 목표치로 운전할 계획이다. 본 발표에서는 포항가속기의 25년 역사를 돌아보고 가속장치의 건설에 얽힌 이야기, 중요장치 그리고 운전과 빔제공에 관한 내용, 특히 핵심 운전가치인 빔안정성을 개선하고 유지하기 위한 노력을 빔운전 측면과 진공을 포함한 엔지지어링 측면에서 언급하고자 한다. PLS 건설부터 현재 운용 중인 30기의 빔라인에서 수행된 연구 성과의 통계에 대하여 훑어보고 X-선 산란과 광전자분광을 이용한 구조, 성분 및 물성분석, 그리고 이미징 등의 분야에서 나온 탁월한 연구 결과를 살펴본다. 앞으로 건설될 신규 빔라인과 빔라인의 향후 운영 방향을 소개한다. 마지막으로 지금 포항가속기연구소에서 건설 중인 제4세대 가속기(X-선 자유전자레이저) 프로젝트의 개요 및 건설 현황과 함께 앞으로 기대되는 새로운 과학에 대하여도 소개하고자 한다. -
Icy dust particles in interstellar clouds are considered to play a catalytic role in molecular evolution in space. Atoms and simple molecules constituting the ice mantles of dust particles may be transformed into more complex molecules under the irradiation of UV and cosmic rays. This seminar will present our recent study results for chemistry of ice surfaces, with the emphases on the mechanistic features of elementary reactions and the implications for interstellar molecular evolution. The types of reactions studied include molecule diffusion in ice, proton and hydroxide transfers, and some UV-induced reactions wih astrobiological relevance.
-
발광다이오드, 태양전지, 광센서, 바이오센서, 나노발전기 등을 포함한 여러 종류의 광전자 소자들의 성능을 향상시키기 위한 새로운 기술적 시도들이 제안되어 왔다. 반도체기반 나노구조는 넓은 표면적과 독특한 특성을 가지고 다양한 기능성의 부여가 용이하며, 주로 나노패턴형성 및 식각에 의한 top-down 방법과 성장/합성에 의한 bottom-up 방법들에 의해 제작되어 왔다. 최근, 단순성, 저비용 공정을 바탕으로 소자 표면상에 나노구조를 형성하여 성능을 개선하기 위한 연구가 활발히 이루어지고 있다. 또한 다층박막을 통한 무반사 코팅을 대체할 수 있는 moth-eye 효과를 이용한 생체모방형 서브파장 무반사 나노구조에 대한 관심이 증가하고 있다. 본 발표에서는 실리콘, 화합물, 산화물을 포함한 반도체 나노구조들의 설계 및 제작을 통해 구조적, 광학적 특성을 측정, 분석하고 이들의 다양한 광전자소자 응용에 대한 연구결과를 발표하고자 한다.
-
메탄가스와 이산화탄소는 지구온난화 가스이기 때문에 배출규제가 점차 강화될 것으로 전망되고 있다. 또한 이들 가스는 매립지 또는 바이오 공정을 통해 발생되는 가스이기 때문에 단순히 배출을 억제하는 데 그치지 않고 보다 적극적으로 활용해야할 필요성이 있다. 현재 메탄과 이산화탄소를 동시에 활용하는 기술로는 촉매공정을 통해 메탄과 이산화탄소를 수소와 일산화탄소로 전환하는 방법이 대표적이나, 본 공정은
$800{\sim}900^{\circ}C$ 의 고온조건을 필요로 하고 고압조건에서 다량으로 생성되는 탄소에 의한 촉매 활성도의 저하문제로 인해 해당 기술의 실제 보급에 어려움이 있는 것으로 알려져 있다. 한편, 플라즈마를 활용한 메탄가스 개질(reforming) 기술은 고온 플라즈마인 경우 60~70년 전부터 상용화 사례가 있으며, 저온 플라즈마의 경우는 약 10여 년 전부터 개질반응의 공정온도를 낮추려는 연구를 중심으로 기초연구가 수행되어왔다. 이들 플라즈마를 활용한 메탄개질 기술은 메탄의 직접분해, 부분산화, 수증기 개질 및 건식개질 등으로 분류되는 데, 최근 지구온난화가스인 이산화탄소의 처리에 대한 관심이 높아지면서 이산화탄소를 활용하는 건식개질 기술에 대한 관심이 높아지고 있는 상황이다. 현재 플라즈마 건식개질기술에서 주된 이슈는 높은 전력비용이고, 이를 낮추기 위해 촉매를 활용하거나 플라즈마 발생을 최적화하려는 연구가 진행되고 있다. 본 발표에서는 플라즈마를 활용한 건식개질 기술의 장단점, 실용화 가능성 및 향후의 과제를 다루고 있으며, 이를 위해 기계연구원에서의 연구결과 및 국내외 연구실의 결과를 살펴보았다. -
천연가스를 화학적 전환에 의해 부가가치를 높이기 위해서는 리포밍에 의해 합성가스(CO/H2)를 경유하는 간접전환경로가 현재로서는 가장 현실적인 방법이라 할 수 있다. 천연가스를 이용한 합성가스 제조기술은 수증기개질법(SRM), 이산화탄소 개질법(CDR, dry reforming), 부분산화법, 촉매 부분 산화법, 자열개질법 등으로 구분되며, 최근에는 각각의 제조방법의 장점을 고려하여 혼합개질법 또는 일련의 리포머 조합 방법이 개발되고 있다. CDR은 촉매 하에서 메탄과 이산화탄소의 직접접촉에 의해 반응이 일어나며, 수소와 일산화탄소의 비가 같은 합성가스가 제조된다. SRM에 비하여 고온에서 반응이 일어나고 전환율이 더 낮으므로 에너지 소비가 상대적으로 높다. 하지만, SRM과 함께 사용하면 합성가스 비율을 F-T합성이나 메탄올 합성에 적절한 비율로 조절이 가능한 장점이 있으며, 온실가스를 저감시킬 수 있는 전환기술로도 각광받고 있다. 본 발표에서는 최근의 CDR을 이용한 가스로부터 합성석유(GTL)와 메탄올을 고효율로 생산하는 기술 개발 동향에 대해서 소개하고자 한다.
-
광촉매 활용 기술은 수질 및 대기 중의 난분해성 오염 물질 처리 등의 환경 분야에서부터 항균 및 초친수성 기능을 활용한 소재 분야, 그리고 태양광을 이용한 물분해 수소 제조 및 이산화탄소의 전환 등의 인공 광합성 연구 분야까지 그 응용분야가 대단히 넓은 기술이다. 본 강연에서는 이러한 광촉매의 반응 원리와 대표적인 응용분야인 환경 정화 분야 및 에너지 분야에서의 광촉매 기술의 활용, 그리고 현재 광촉매 관련 연구 분야의 주요 관심사 및 미래 성장을 위한 과제 등을 포괄적으로 다루고자 한다. 광촉매 반응은 반도체의 따간격 에너지 흡수에 따라 전자와 정공(+전하를 가진 전자와 같은 거동을 하는 입자)가 발생한 뒤에 일어나는 계면에서의 전자전달 반응을 기초한다. 발생한 정공과 전자는 각각 산화와 환원 반응을 유발하며 이러한 산화, 환원반응을 통해 다양한 분야로의 응용이 가능하다. 환경 정화 분야의 경우, 정공이 물 혹은 공기 속에 존재하는 수분과 반응하여 생성되는 OH 라디칼 (
$OH{\cdot}$ )의 강력한 산화력을 주로 이용하게 된다. OH 라디칼에 의한 다양한 난분해성 유기물질의 산화분해 반응을 활용하여 고도처리공정이 가능하게 되며, 수계 난분해성 유기오염물질의 제거뿐만 아니라 대기 중에 존재하는 VOCs, 악취물질 등의 분해도 가능하며, 아울러 바이러스나 박테리아와 같은 세균을 제거할 수 있는 것으로 알려져 있다. 한편, 물 분해 수소제조 및 이산화탄소의 전환과 같은 에너지 분야 응용의 경우, 전도대의 전자를 활용한 환원반응에 기초한다. 앞서 언급한 다양한 응용분야에서 활용될 수 있는 광촉매의 종류 또한 매우 다양하며, 이사화티탄(TiO2)는 대표적인 고효율 상용 광촉매이다. 아울러, 원하는 응용 분야에서의 광활성이 높은 새로운 광촉매의 제조 및 평가가 꾸준히 진행되고 있으며, 그 가운데 태양광의 가장 많은 영역을 차지하고 있는 가시광 활성을 갖는 광촉매 개발에 관한 연구가 활발히 수행되고 있다. 이에, 현재까지 개발된 다양한 가시광 광촉매 시스템에 대한 소개 및 각 광촉매 응용분야에서 최근 새롭게 대두되고 있는 이슈들에 대하여 중점적으로 고찰하고자 한다. -
대기 환경의 오염에 크게 영향을 주는 자동차용 배기가스를 줄이기 위함과 강화되고 있는 배기가스 규제를 만족시키기 위한 최첨단의 기술을 개발하기 위하여 전세계 많은 연구진이 연구 개발을 진행하고 있는 상황에서, 가솔린 차량과 디젤차량분야 에서 배기가스 저감을위한 후처리 장치용 촉매 개발동향을 설명하고자 한다. 본 발표에서는 가솔린, 디젤 차량 적용 촉매의 기본 원리 및 규제 대응 신기술 개발 동향으로 TWC, DOC, DPF, SCR, LNT등의 기술과 후처리 시스템의 개발 동향을 설명한다.
-
Atomic layer deposition (ALD) and molecular layer deposition (MLD) are based on sequential, self-limiting surface reactions that produce atomic layer controlled and conformal thin film growth. ALD can deposit inorganic films and MLD can deposit films containing organics. ALD and MLD can be used together to fabricate a wide range of hybrid organic-inorganic alloy films. The relative fraction of inorganic and organic constituents can be defined by controlling the ratio of the ALD and MLD reaction cycles used to grow the film. These hybrid films can be tuned to obtain desirable mechanical, electrical and optical properties. This talk will focus on the growth and properties of metal alkoxide films grown using metal precursors and various organic alcohols that are known as "metalcones". The talk will highlight the tunable mechanical properties of alucone alloys grown using Al2O3 ALD and alucone MLD and the tunable electrical conductivity of zincone alloys grown using ZnO ALD and zincone MLD with DEZ and hydroquinone as the reactants.
-
Atomic layer deposition (ALD), utilizing self-limiting surface reactions, could offer promising perspectives for future efficient energy conversion devices. The capabilities of ALD for surface/interface modification and construction of novel architectures with sub-nanometer precision and exceptional conformality over high aspect ratio make it more valuable than any other deposition methods in nanoscale science and technology. In the context, a variety of researches on fabrication of active materials for energy conversion applications by ALD are emerging. Among those materials, one-dimensional nanotubular titanium dioxide, providing not only high specific surface area but also efficient carrier transport pathway, is a class of the most intensively explored materials for energy conversion systems, such as photovoltaic cells and photo/electrochemical devices. The monodisperse, stoichiometric, anatase, TiO2 nanotubes with smooth surface morphology and controlled wall thickness were fabricated via low-temperature template-directed ALD followed by subsequent annealing. The ALD-grown, anatase, TiO2 nanotubes in alumina template show unusual crystal growth behavior which allows to form remarkably large grains along axial direction over certain wall thickness. We also fabricated dye-sensitized solar cells (DSCs) introducing our anatase TiO2 nanotubes as photoanodes, and studied the effect of blocking layer, TiO2 thin films formed by ALD, on overall device efficiency. The photon convertsion efficiency ~7% were measured for our TiO2 nanotubebased DSCs with blocking layers, which is ~1% higher than ones without blocking layer. We also performed open circuit voltage decay measurement to estimate recombination rate in our cells, which is 3 times longer than conventional nanoparticulate photoanodes. The high efficiency of our ALD-grown, anatase, TiO2 nanotube-based DSCs may be attributed to both enhanced charge transport property of our TiO2 nanotubes photoanode and the suppression of recombination at the interface between transparent conducting electrode and iodine electrolytes by blocking layer.
-
Atomic Layer Deposition (ALD) has remarkably developed in semiconductor and nano-structure applications since early 1990. Now, the advantages of ALD process are well-known as controlling atomic-level-thickness, manipulating atomic-level-composition control, and depositing impurity-free films uniformly. These unique properties may accelerate ALD related industries and applications in various functional thin film markets. On the other hand, one of big markets, Display industry, just starts to look at the potential to adopt ALD functional films in emerging display applications, such as transparent and flexible displays. Unlike conventional ALD process strategies (good quality films and stable precursors at high deposition processes), recently major display industries have suggested the following requirements: large area equipment, reasonable throughput, low temperature process, and cost-effective functional precursors. In this talk, it will be mentioned some demands of display industries for applying ALD processes and/or functional films, in terms of emerging display technologies. In fact, the AMOLED (active matrix organic light emitting diode) Television markets are just starting at early 2013. There are a few possibilities and needs to be developing for AMOLED, Flexible and transparent Display markets. Moreover, some basic results will be shown to specify ALD display applications, including transparent conduction oxide, oxide semiconductor, passivation and barrier films.
-
Advanced electronic application areas have strongly required new materials due to the continuous shrinking dimensions of their devices. Specially, the development and use of metal precursors for atomic layer deposition has been extensively focused on application to electronic devices. Thus the systematic design and synthesis of metal compounds with relevant chemical and physical properties, such as stability, volatility, and resistance to air and moisture are very important in the vacuum deposition fields. In many case, organic ligands for metal precursors are especially focused in the related research areas because the large scale synthesis of the metal complexes with excellent properties exclusively depends on the potential usefulness of the ligands. It is recommended for metal complexes to be in monomeric forms because mononuclear complexes generally show high vapor pressures comparing with their oligomeric structure such as dimer and trimer. Simple metal alkoxides complexes are involatile except several examples such as Ti(OiPr)4, Si(OEt)4, and Hf(OtBu)4. Thus the coordinated atom of alkoxide ligands should be crowded in its own environment with some substituents by prohibiting the coordinated atoms from bonding to another metal through oxygen-bridging configuration. Alkoxide ligands containing donor-functionalized group such as amino and alkoxy which can induce the increasing of the coordinative saturation of the metal complexes and the decreasing of the intermolecular interaction between or among the metal compounds. In this presentation, we will discuss the development of metal compounds which adopted donor-functionalized alkoxide ligands derived from their alcohols for electronic application. Some recent results on ALD using metal precursors such as tin, nickel, ruthenium, and tungsten developed in our group will be disclosed.
-
Atomic layer deposition (ALD) is known for its self-limiting reaction, which offers atomic-level controllability of the growth of thin films for a wide range of applications. The self-limiting mechanism leads to very useful properties, such as excellent uniformity over a large area and superior conformality on complex structures. These unique features of ALD provide promising opportunities for future electronics. Although the ALD of Al2O3 film (using trimethyl-aluminum and water as a metal precursor and oxygen source, respectively) can be regarded as a representative example of an ideal ALD based on the completely self-limiting reaction, there are many cases deviating from the ideal ALD reaction in recently developed ALD processes. The nonconventional aspects of the ALD reactions may strongly influence the various properties of the functional materials grown by ALD, and the lack of comprehension of these aspects has made ALD difficult to control. In this respect, several dominant factors that complicate ALD reactions, including the types of metal precursors, non-metal precursors (oxygen sources or reducing agents), and substrates, will be discussed in this presentation. Several functional materials for future electronics, such as higher-k dielectrics (TiO2, SrTiO3) for DRAM application, and resistive switching materials (NiO) for RRAM application, will be addressed in this talk. Unwanted supply of oxygen atoms from the substrate or other component oxide to the incoming precursors during the precursor pulse step, and outward diffusion of substrate atoms to the growing film surface even during the steady-state growth influenced the growth, crystal structure, and properties of the various films.
-
In this talk, I will briefly review recent results of my group related to application of atomic layer deposition (ALD) for fabricating environmental catalysts and organic solar cells. ALD was used for preparing thin films of TiO2 and NiO on mesporous silica with a mean pore size of 15 nm. Upon depositing TiO2 thin films of TiO2 using ALD, the mesoporous structure of the silica substrate was preserved to some extent. We show that efficiency for removing toluene by adsorption and catalytic oxidation is dependent of mean thickness of TiO2 deposited on silica, i.e., fine tuning of the thickness of thin film using ALD can be beneficial for preparing high-performing adsorbents and oxidation catalysts of volatile organic compound. NiO/silica system prepared by ALD was used for catalysts of chemical conversion of CO2. Here, NiO nanoparticles are well dispersed on silica and confiend in the pore, showing high catalytic activity and stability at 800oC for CO2 reforming of methane reaction. We also used ALD for surface modulation of buffer layers of organic solar cell. TiO2 and ZnO thin films were deposited on wet-chemically prepared ZnO ripple structures, and thin films with mean thickness of ~2 nm showed highest power conversion efficiency of organic solar cell. Moreover, performance of ALD-prepared organic solar cells were shown to be more stable than those without ALD. Thin films of oxides deposited on ZnO ripple buffer layer could heal defect sites of ZnO, which can act as recombination center of electrons and holes.
-
SPOES(Self Plasma Optical Emission Spectroscopy)는 반도체 및 LCD 제조 장비의 Foreline에 장착되는 센서로써, Foreline에 흐르는 Gas를 이온화시켜 이때 발생되는 빛을 분광시켜 공정의 상태 및 장비의 상태등을 종합적으로 점검할 수 있는 센서입니다. SPOES의 최대 장점은 공정 장비에 영향을 주기 않으면서 공정을 진단할 수 있고, 장비의 메인챔버에서 플라즈마 방전이 발생하지 않는 RPS (Remote Plasma System)등에 적용이 가능하며, 설치 및 분해이동과 운용이 용이한 장점이 있습니다. 하지만, SPOES는 오염성 가스 및 물질에 의한 오염에 취약한 단점이 있습니다. 예컨대, 플라즈마 방전에 의한 부산물들이 SPOES의 내부에 있는 윈도우의 렌즈에 부착되어 감도를 저하시켜, SEOES의 수명을 단축시킵니다. 또한 오염 물질이 SPOES 내부의 방전 CHAMBER에 증착되어 플라즈마 방전 효울을 저하시켜 센서의 효율을 저하시킵니다. 예를들면, 장비의 공정 챔버에서 배출되는 탄소와 같은 비금속성 오염물질과 텅스텐과 같은 금속성 오염물질이 SPOES의 방전 CHAMBER 내벽과 윈도우에 증착되어 오염을 유발합니다. 오염이 진행된 SPOES는 방전 CHAMBER의 오염으로 CHAMBER의 유전율을 변화시켜, 플라즈마 방전 효율의 저하를 가져오고, 윈도우의 오염은 빛의 투과율을 저하시켜, OES 신호의 감도를 저하시켜, SPOES 감도를 저하시키는 요인으로 작용합니다. 이러한 문제를 해결하기위한 방법으로 능동형 오염 방지 기술을 채용 하였습니다. 능동형 오염 방지 기법은 SPEOS의 방전 챔버에서 플라즈마 방전시 발생하는 진공의 밀도차를 이용하는 기술과 방전 챔버와 연결된 BYPASS LINE에 의해 발생되는 오염물질 자체 배기 시스템, 그리고 고밀도 플라즈마 방전을 일으키는 멀티 RF 기술 및 고밀도 방전을 일으키는 챔버 구조로 구성 되어 있습니다. 능동형 오염 방지 기법으로 반도체 공정에서 6개월 이상의 LIFETIME을 확보 할 수 있고, 고밀도 플라즈마로 인한 UV~NIR 영역의 감도 향상등을 확보 할 수 있습니다.
-
반도체 및 디스플레이 소자를 생산 하기 위하여 다양하고 많은 공정 기술이 사용 되며 그 중에서 플라즈마를 이용하는 제조공정이 차지 하는 부분은 상당한 부분을 차지 하고 있습니다. 전체 반도체 공정 중 48%가 진공공정이며, 진공공정 중 68% 이상이 플라즈마를 이용하고 있으며, 식각과 증착 장비 뿐만 아니라 세정과 이온증착 에 이르기 까지 다양하며 앞으로도 더욱 범위가 늘어 날 것으로 보입니다. 이러한 플라즈마를 이용한 제조 공정들은 제품의 생산성을 향상 하기 위하여 오염제어 기술을 비롯한 공정관리기술 그리고 고기능 센서기술을 이용한 공정 모니터링 및 제어 기술에 이르기 까지 다양한 기술들을 필요로 합니다. 플라즈마를 이용한 제조 장비는 RF파워모듈, 진공제어모듈, 공정가스제어모듈, 웨이퍼 및 글래스의 반송장치, 그리고 온도제어 모듈과 같이 다양한 장치의 집합체라 할 수 있습니다. 플라즈마의 생성과 이를 제어 하기 위한 기술은 제조장비의 국산화를 위한 부단한 노력의 결실로 많은 부분 기술이 축적되어 왔고 성과를 거두고 있습니다. 그러나 고기능 모니터링 센서 기술 개발은 그 동안 활발 하게 이루어져 오고 있지 않았으며 대부분 외산 기술에 의존해 왔습니다. 세계 반도체 시장은 현재 300 mm 웨이퍼 가공에서, 추후 450 mm 시장으로 패러다임이 변화될 예정이며, 미세화 공정이 더욱 진행 됨에 따라 반도체 제조사들의 관심사가 "성능 중심의 반도체 제조기술"로부터 "오류 최소를 통한 생산성 향상"에 더욱 주목 하고 있습니다. 공정미세화 및 웨이퍼 대구경화로 인해 실시간 복합 센서를 이용한 데이터 처리 알고리즘 및 자동화 소프트웨어의 기능이 탑재된 장비를 요구하고 있습니다. 주식회사 레인보우 코퍼레이션은 플라즈마 Chemistry상태를 정성 분석 가능한 OES (Optical Emission Spectroscopy)를 이용한 EPD System을 상용화 하여 고객사에 공급 중이며, 플라즈마의 광 신호를 실시간으로 고속 계측함과 동시에 최적화된 알고리즘을 이용하여 플라즈마의 이상 상태를 감지하며 이를 통하여 제조 공정 및 장비의 개선을 가능하게 하여 고객 제품의 생산성을 향상 하도록 하는 기술을 개발 하고 있습니다. 본 심포지엄에서는 주식회사 레인보우 코퍼레이션이 개발 중인 "실시간 고속 플라즈마 광 모니터링 기술" 의 개념을 소개하고, 제품의 응용 범위와 응용 방법에 대하여 설명을 하고자 합니다.
-
반도체 미세화, Glass 대면적화에 따른 산포관리 및 불량방지 필요(공정이격관리), 설비 데이터만으로는 Sensitivity가 낮아 공정 관리 어려움에 따른 대안 필요, 향후 추가 센서에 대한 접목이 용이한 SW Frame 필요, 양산적용을 위한 설비 및 FAB Host의 자동화 연계 개발 필요, 이종데이터의 통합를 통한 최적의 진단 및 관리가 필요합니다(SCM:툴박스). 즉, 기존의 장비 Parameter가 아닌 실제 공정시 Chamber로부터 얻을 수 있는 물리, 전기, 화학적인 데이터를 적합한 이종(異種) 센서를 직접 부착하여 이들 데이터를 통합 관리 분석 및 실시간 Monitoring을 통한 공정 진단 및 실시간 진단을 실행하는 솔루션입니다. 실 공정 시 적용이 유리한 OES 데이터를 주요 인자로 이외의 기타 데이터를 추가로 통합하여 특화된 분석환경과 공정 모니터링을 통하여 TAT (Turn Around Time)를 줄이고, MTBC (Mean Time Between Clean)를 늘림으로써 궁극적으로 칩메이커의 제품의 가격 경쟁력을 확보 할 수 있는 기능이며, 설비사 입장에서는 자사설비의 지능형 시스템을 위한 제반 기술이기도 합니다.
-
정교한 생산 공정에 있어서 공정의 갑작스런 변동(Shift)나 점진적인 변화(Drift)에 대해서 얼마나 적절하게 대응하느냐는 생산 제품의 품질과 수율에 상당한 영향을 미친다. 이에 본 과제에서는 반도체 생산 공정에 따른 측정 결과를 분석하여 최상의 공정조건(Recipe)를 유지하기 위한 알고리즘을 개발하고, 개발된 알고리즘의 유효성 판단을 위한 시뮬레이션 툴을 개발하였다. 또한, 다양한 현장 조건을 충족할 수 있도록 사용자 임의의 데이타 구조를 정의하고, 기준 정보를 등록할 수 있도록 유연성이 부여된 사용자 UI를 개발하였다. 생산 설비로부터 공정 관련 데이타를 수집하고, 측정 설비로부터 계측데이타를 수집한 후, 사용자가 설계한 APC 로직에 의해 실시간 공정 제어가 가능한 시스템을 개발하여, 현장 엔지니어가 다양한 APC 로직을 설계하고 구현할 수 있도록 하였다. 현장 엔지니어용 툴은 Graphical Workflow 형태로 개발되었으며, 엔지니어가 복잡한 프로그래밍을 하지 않아도 직관적으로 설계/구현할 수 있도록 하였다. 분석을 위한 리포트 화면을 이용하여, 공정/측정 데이타에 대한 조회기능을 제공하고, Trend, Pair, X-bar 등의 다양한 분석용 챠트를 이용하여 파라미터 분석 기능을 제공하였다. 본 과제에서 증착 장비용 제어 알고리즘을 적용하여 테스트하였으며, 30% 이상의 Cpk 개선 효과를 얻을 수 있었다.
-
Nature utilizes various of the colorization process. Some species of birds can express their mood of tempers by changing their collagen structures on skin. For example, turkey can change their skin color by expansion of the collagen structures, which are associated with the distinct color changes. Here, we developed bioinspired virus-based colorimetric sensors which can be genetically tuned for target molecule. Using M 13 bacteriophage, we fabricated responsive self-assembled color matrices composed of quasi-ordered fiber bundle structures. These virus matrices can exhibit color change by stimuli through fiber bundle structure modulation. Upon exposure of volatile organic compounds, the resulting multi-colored matrices exhibited distinct color changes with different ratios that can be recognized by the naked eyes. Using the directed evolutionary approaches, we genetically engineered the virus matrix to incorporate binding motif for explosive detection (i.e., trinitrotoluene (TNT)). Through utilizing a common handheld device (i.e., iPhone), we could distinguish TNT molecules down to 20 ppb in a selective manner. Our novel biomimetic virus colorimetric sensor can overcome current limitation for low response selectivity.
-
Vertically-aligned silicon nanostructure arrays (SNAs) have been drawing much attention due to their useful electrical properties, large surface area, and quantum confinement effect. SNAs are typically fabricated by chemical vapor deposition, reactive ion etching, or wet chemical etching. Recently, metal-assisted chemical etching process, which is relatively simple and cost-effective, in combination with nanosphere lithography was recently demonstrated for vertical SNA fabrication with controlled SNA diameters, lengths, and densities. However, this method exhibits limitations in terms of large-area preparation of unperiodic nanostructures and SNA geometry tuning independent of inter-structure separation. In this work, we introduced the layerby- layer deposition of polyelectrolytes for holding uniformly dispersed polystyrene beads as mask and demonstrated the fabrication of well-dispersed vertical SNAs with controlled geometric parameters on large substrates. Additionally, we present a new means of building in vitro neuronal networks using vertical nanowire arrays. Primary culture of rat hippocampal neurons were deposited on the bare and conducting polymer-coated SNAs and maintained for several weeks while their viability remains for several weeks. Combined with the recently-developed transfection method via nanowire internalization, the patterned vertical nanostructures will contribute to understanding how synaptic connectivity and site-specific perturbation will affect global neuronal network function in an extant in vitro neuronal circuit.
-
Molecular electronics has been the subject of intese research for many years because of the fundamental interest in molecular charge transport and potential applications, such as (bio)nanosensors and molecular memory devices. Molecular electronics requires a method for making reliable eletrical contacts to singlemolecules. To date, several approaches have been reported: scanning-probe microscopy, mechanical break junctions, nano patterning, and direct deposition of electrode on a self-assembled monolayers. However, most methods are laborious and difficult for large-scale application and more importantly, cannot control the number of moleucles in the junction. Recently, DNA has been used as a template for metallic nanostructures (e.g., Ag, Pd, and Au nanowires) through DNA metallization process. Furthermore, oligodeoxynucleotides have been tethered to organic molecules by using conventional organic reactions. Collectively, these techniques should provide an efficient route toward reliable and reproducible molecular electronic devices with large-scale fabrication. Therefore, I will present a paradigm for the fabrication of moleuclar electronic devices by using micrometer-sized DNA-singe organic molecule and DNA triblock structures.
-
The design and chemical synthesis of multifunctional nanomaterials have been providing potential applications in biomedical fields such as molecular imaging and drug delivery. Recently, bio-derived and/or synthetic nanostructured materials capable of modulating the immune system have been also issues of interest in immunology-related nanomedicine fields. In this talk, the recent research results on the development of nanostructured materials for enhanced immunity would be presented. I will introduce the chemical strategy for the combination of nanostructured materials and bioactive compounds to improve both anti-cancer immunity and vaccine delivery efficiency.
-
현재 상용화되어 있거나 상용으로 개발하는 연료전지시스템의 큰 특징은 작동온도로 구분할 수 있다. 저온형 연료전지는 낮은 작동온도로 운전이 용이하고 출력밀도가 높아 자동차용 및 가정용 등으로 사용되고 있는 반면, 작동온도가 높은 고온형 연료전지의 경우는 높은 발전효율과 고온 폐열의 이용 등의 목적으로 주로 전력사업용 연료전지로 개발되고 있다. 본 발표에서는 주로 발전용에 사용되고 있는 우리나라의 고온형 연료전지의 개발 이력 및 현재의 산업화 현황에 대해 정리하여 보고드리고자 한다.
-
Electrochemical phenomena underpin a broad spectrum of energy, chemical, and information technologies such as resistive memories and secondary batteries. The optimization of functionalities in these devices requires understanding electrochemical mechanisms on the nanoscale. Even though the nanoscale electrochemical phenomena have been studied by electron microscopies, these methods are limited for analyzing dynamic electrochemical behavior and there is still lack of information on the nanoscale electrochemical mechanisms. The alternative way can be an atomic force microscopy (AFM) because AFM allows nanoscale measurements and, furthermore, electrochemical reaction can be controlled by an application of electric field through AFM tip. Here, I will summarize recent studies to probe nanoscale electrochemical reaction in battery applications by AFM. In particular, we have recently developed electromechanical based AFM techniques for exploring reversible and irreversible electrochemical phenomena on the nanoscale. The present work suggests new strategies to explore fundamental electrochemical mechanisms using the AFM approach and eventually will provide a powerful paradigm for probing spatially resolved electrochemical information for energy applications.
-
위성의 발사, 천이궤도, 운영궤도 등에서 위성체에 주어지는 극한 온도와 진공상태에서 위성체와 열 제어시스템이 요구 조건을 만족시키는가를 확인하기 위하여 열진공시험을 수행한다. 열진공시험은 기본적으로 고진공 환경 하에서 심우주의 극저온 온도 모사가 가능해야 한다. 현재 산업용으로 일반적으로 사용하고 있는 냉동기의 경우는 최저
$-70^{\circ}C$ 까지 도달 가능하므로 심우주모사에 적당하지 않아, 주로 액체질소 및 기체질소를 이용한 냉각장치를 사용하고 있다. 본 논문에서는 진공하에서 심우주의 극저온 및 고온의 열환경을 모사할 수 있는 방법 및 장치의 개념 설계에 대해 알아보고자 한다. -
위성체는 우주공간의 고진공 상태와 태양 복사열에 의한 고온 및 극저온이 반복되는 가혹한 환경으로 인해 주요 부품의 기능장애가 초래되므로 발사전 지상에서 열진공 시험장비를 이용한 열진공시험을 수행한다. 우수한 성능의 위성체 부품의 검증을 위해서 열환경 시험 요구에 따라 균일한 복사열이 매우 중요하나, 시험 조건을 비롯하여 여러 원인으로 인하여 열전달의 불균일성이 발생하게 된다. 이로 인해 시스템에 큰 영향을 미칠 수 있으므로, 시험 조건에 의한 열전달량을 고려하여 적절한 히터파워를 선정하고 챔버 내에 적절한 방열판과 챔버 슈라우드의 열교환이 간섭이 없도록 장비를 운용해야 한다. 본 연구에서는 상용프로그램인 FLUENT를 이용하여 열진공 챔버 내부 벽면의 불균일한 복사열에 따른 비정상 열전달 특성에 대하여 수치해석을 수행한 뒤 시편의 온도 분포 및 열전달 특성에 대해 비교분석하였다.
-
스테인레스강 진공용기의 기체방출률을 낮추기 위해 구연산을 사용하여 화학세척한 후 진공 중 산화처리(VTO)하였다. 1E-11 mbar 이하의 극고진공에 도달하기 위해서는 진공펌프의 성능 뿐 아니라 진공 용기 자체로부터 지속적으로 방출되는 수소의 양을 줄이는 것이 중요하다. 스테인레스 강의 경우 잘 형성된 표면의 크롬산화막이 수소 방출을 억제하는데 효과가 있다고 알려져 있다. 구연산은 스테인레스 강의 표면 철 성분을 감소시키므로 상대적으로 크롬 비율을 증가한다. 이렇게 표면의 크롬 비율이 증가한 상태로 VTO 처리하면 보다 순수하고 균일한 크롬산화막이 형성될 것으로 기대할 수 있다. 본 발표에서는 구연산 +VTO 처리한 스테인레스 강(SST304) 진공 용기의 기체방출률 측정결과에 대해 논의하고자 한다.
-
A residual gas analyzer (RGA) system has been developed in this laboratory. Characteristics of the RGA system parts such as ion source, quadrupole mass filter and sensitivity are introduced. Some efforts have been made to improve performance of the two types of ion sources, open ion source (OIS) and closed ion source (CIS). A metal mesh was placed onto the electron beam entrance of the CIS anode tube to block the filament field penetration. Sensitivity of the CIS ion sources with and without the mesh was compared by mass spectra of SF6 gas (97% He base) introduced into the CIS anode through a needle valve. About ten-times improvement in the RGA sensitivity was observed for the CIS with the mesh in the electron entrance. Computer simulation showed an axi-symmetric anode potential distribution and improved focusing of the electron beam inside the anode tube with the mesh.
-
Jo, Bok-Rae;An, Jong-Rok;Sin, Jung-Gi;Bae, Mun-Seop;Kim, Ju-Hwang;Jo, Yang-Gu;Lee, Deuk-Jin 105.1
Schottky Emitter (SE)는 미국 FEI의 L. W. Swanson 그룹이 개발하여 상용화시킨 전자원이며, 고분해능 전자현미경용 전자원 시장에서 가장 큰 점유율을 차지하고 있다. 상온에서 작동하는 cold field emitter (CFE)에 비해 휘도(brightness)가 10~100배 정도 낮으나, 10-10 Torr 영역의 초고진공에서도 수시간 미만의 방출전류 안정성을 가진 CFE에 비해 수개월이상 안정된 방출전류를 전자현미경에 제공하므로, 반도체 측정, 검사 등과 같이 고분해능과 안정성이 동시에 요구되는 분야에서는 SE전자원은 필수 요소가 되어있다. 현재 SE 전자원은 일본, 미국, 영국의 4개사가 과점하고 있는 상태이다. SE 전자원이 안정되게 작동하기 위해서는 10~10 Torr 영역의 초고진공 환경이 요구된다. 한국 전자현미경 업체는 국책과제 등을 통해 SE 전자총을 개발해 왔으나, 진공기술과 광학계 설계기술이 부족하여 안정된 SE 전자총의 개발에 성공하지 못하였다. 본 발표에서는 10~10 Torr 영역에서 200 microA 이상의 전류를 안정되게 방출하는 SE 전자총의 전자빔 방출 및 진공특성을 보고한다. 시뮬레이션을 통해 구한 전차총의 전자원 위치 변화, 건렌즈 초점거리, 수차 등의 광학특성을 보여준다. 전자총을 전자현미경 경통에 탑재하고 제어하기 위해서는 전자총뿐만 아니라 전자현미경 전체의 광학특성을 이해할 필요가 있다. 전자총을 현미경에 통합 제어하기 위한 기술과제에 대해서도 간략히 보고한다. -
배기속도 2500 L/s, 최고진공도 10-10 mbar의 구현을 목표로 하는 대용량 복합 분자펌프 설계를 위한 3차원 유동해석을 실시하였다. 진공도가 10-5 mbar 이상이 되는 고진공도에서는 Knudsen 수가 102 이상이 되어러 분자간 충돌을 거의 무시할 수 있게 되며, 이때의 유체해석 방법으로서는 통상 희박기체 해석법으로 많이 쓰이는 Direct simulation Monte Carlo 방법보다, 충돌이 없는 분자의 자유운동을 모사하는 Monte Carlo 방법이 더 적합할 수 있다. 본 연구에서는 다단계 rotor와 stator로 구성되는 복합분자 내 유동장에 Monte Carlo 해석법을 적용하여 유동해석을 실시하였다. 다양한 변수의 조합에 대한 수치적 해석에서, 복합분자펌프의 성능에 영향을 미치는 중요한 설계변수는 rotor-stator의 날개각, 유동방향 회전축의 두께 변화 등, 진행방향 분자의 모멘텀에 직접적인 영향을 미치는 변수들임이 확인되었다.
-
When strong static magnetic field is applied to the TMP, it is expected that the presence of the magnetic field might retard the velocity of the blades which results in the change of the pumping speed of the TMP. However, such effect of the magnetic field on the TMP has not been well characterized. Thus, under the strong magnetic field, monitoring pumping speed as well as generated heat, pressure, and vibration of the TMP may be an important issue to understand the magnetic field tolerance of the TMP and the development of magnetic shielding technique for the key components of the pump. For this purpose, magnetic field generation system to the vertical direction by a circular current source was firstly designed and suggested [K. Baik et al., 44th Annual Conf. KVS, 22(1), 153, (2012)]. In the current study, another magnetic field generation systems are presented to apply the magnetic field to the horizontal and radial directions by the rectangular current sources and the permanent magnets respectively. Such systems were made to generate at least 50 Gauss of magnetic field along the vertical direction and at least 25 Gauss of magnetic field along the horizontal or radial direction. Current study introduces the evaluation system of the magnetic field along the vertical, horizontal, and radial directions and presents the measured experimental results of the magnetic field when such systems are combined with the equipment where TMP will be installed.
-
본 논문은 반도체 및 평판 디스플레이 생산공정에서 가동되고 있는 건식 진공펌프들의 정밀 상태진단 및 예지 보수를 위한 pump monitoring system (PMS)의 제품화에 필요한 프로그램의 개발 내용을 소개한다. 본 연구에서 소개하는 건식 진공펌프들의 정밀 상태진단 및 예지보수기법은 PCT 특허 2 건으로 이미 등록된 내용이며, 본 논문은 이들 기법의 실제 구현에서 직면하는 기술적 문제점과 극복 방안을 제시한다. 본 논문에서는 현재 반도체 공정에 사용되고 있는 건식 진공펌프들로부터 측정하는 다중 상태변수들의 조사 결과를 소개한다. 이들 상태변수 측정치들이 갖는 개략적 특성을 통계적 분포함수로 분석한 결과를 우선 보인다. 특히 펌프 구동모터들의 소비 전류신호는 두 평균값에 대한 분포 즉 두 종의 분포함수를, 그리고 온도, purge gas 유량, 배기구 압력 등은 정상적 평균값에 대한 한나의 분포를 보였다. 따라서 구동모터들의 소비전류의 분포 즉 두 상하 수준('low and high' current level)에 따라 batch data를 구분하는 방법의 개발이 필요하였다. 본 연구에서는 step 함수형 eigenvector를 적용하여 소비전류 신호의 상하수준 천이 영역과 방향을 동시에 인식할 수 있는 기법을 개발 적용하였으며, 3300회의 배출가스 부하에 변화에 대한 천이 영역과 방향을 인식에 하나의 실패도 보이지 않음을 확인하였다. 기존의 측정 상태변수에는 회전기계류의 정밀 상태진단 및 예지보수에 핵심적인 기계진동 측정용 진동센서를 포함하고 있지 않은 기술적 문제점이 발견되었다. 기존 진동센서들의 높은 가격 뿐 아니라 진동센서의 출력신호를 저/중/고역 주파수 대역의 실효치로 환산하는 기술적 한계 때문에 진공펌프 상태진단에 아직 사용하고 있지 않고 있다. 본 연구에서는 진동선서 비용의 저감화 방안뿐 아니라 로터 회전 대역(250Hz 이하 저주파 영역), 베어링 진동 대역(250 Hz~2.5 kHz의 중간 주파수 영역), 그리고 기어 진동 대역(2.5 kHz~10 kHz 주파수 영역)별 실효치를 실 시간 측정할 수 있는 진동측정 모듈의 제품화 모델을 개발하였다. 개발 제품의 성능 뿐 아니라 현장 시험결과를 소개한다. 마지막으로 본 연구팀이 개발한 PCT 특허 2 건에 포함된 건식 진공펌프들의 정밀 상태진단 및 예지보수기법에 대한 현장 시험결과를 간략히 소개한다.
-
Song, Gi-Baek;Park, Hyeong-Dal;Li, Yonggui;Cha, Seong-Su;Lee, Byeong-No;Kim, Yu-Jong;Lee, Byeong-Cheol 111.1
전자가속기는 대표적인 방사선 발생장치 중의 하나로서, 오랜 세월 동안 연구개발 되어왔으며 의료 및 산업현장에서 활용되어 왔고 그 이용분야도 매우 폭넓다. 전자가속기는 최근 들어 기술이 확산되고 성능이 고도화되면서 그 활용과 함께 전자가속기 개발 및 연구가 많이 진행되고 있다. 이러한 연구개발에 있어 전자가속기의 가속관 제작은 가장 기본이 되는 중요한 부분 중에 하나이다. 가속관제작에 있어서 RF parameter들인 resonance frequency, bandwidth, 10 GHz 범위의 higher order modes와 quality factor는 가속관에서 중요한 특성이다. 본 연구에서는 9, 6 MeV 에너지를 가지는 2856 MHz의 고주파 전자가속기의 가속관 설계, 제작 및 튜닝에 있어서 network analyzer를 이용하여 RF parameter를 측정하여 가속관의 특성을 분석한다. -
고주파 전자가속기는 고출력 RF 시스템으로 구동된다. 이러한 고주파 전자가속기에서 고출력 RF 시스템은 종종 고출력 방전으로 인해 가속관에 손상을 입힐 수 있기 때문에 조심스럽게 RF conditioning을 진행 하여야 한다. 일반적으로 RF conditioning은 아주 긴 시간을 필요로 하고, RF 출력을 서서히 높여가며 진행할 필요성이 있다. 한국원자력연구원에서는 9 MeV와 6 MeV 에너지를 출력하기 위해서는 가속관으로 RF 입력을 약 5.5 MW까지 RF conditioning을 진행하여야 한다. 따라서, 본 연구에서는 Klystron 최대 출력이 약 5.5 MW로 한국원자력연구원에서 개발된 S-band (2,856 MHz) RF 전자가속관에 RF conditioning을 진행 하였다. 가속관의 진공을 약 1.0e-7을 유지하면서 반복률을 10 Hz부터 180 Hz로 증가시켰고, RF 입력 파워는 약 6 MW까지 RF conditioning을 진행 하였다. 그 결과 짧은 시간에 RF commissioning을 진행할 수 있었다.
-
Gang, Sang-Baek;No, Yeong-Ho;Yu, Jae-Gyeong;Go, Deuk-Yong;Park, Seong-Je;Go, Jun-Seok;In, Sang-Ryeol 112.1
국내 반도체 생산 업체는 전세계 반도체 시장에서 높은 점유율을 차지하고 있음에도 불구하고, 핵심 생산 장비는 대부분 수입에 의존하고 있는 상태이다. 특히, 고용량/대면적/초정밀 제품을 지향하는 개발추세에 따라 핵심 생산 장비에서 크라이오펌프의 활용도가 크게 증가하고 있다. 이에 기존의 G-M 냉동기 크라이오펌프에서 구조가 간단하고 장수명 및 저진동의 장점을 가진 맥동관 냉동기 크라이오펌프 대체 적용 개발 및 국산화를 도모하고자 한다. 본 연구에서는 지식경제부 제조기반산업원천 기술사업 "급속재생형 저진동 크라이오펌프 기술 개발" 사업을 통해 개발된 16.5 inch/10 inch 크라이오펌프 시제품 및 완제품 제작 과정 및 성능 시험결과, 맥동관 냉동기 장점, 국산화 전략 등에 대해 소개 하고자 한다. -
Im, Jong-Yeon;In, Sang-Yeol;Im, Seong-Gyu;Jeong, Wan-Seop;Baek, Gyeong-Min;Choe, Gyeong-Min;Nam, Seung-Hwan;Hong, Seung-Su;Go, Deuk-Yong 112.2
지식경제부의 청정제조기반 산업원천개발사업의 일환으로 진행 중인 "초고진공펌프 개발" 과제 중 제 3세부 과제인 "고진공펌프종합특성평가시스템 설계, 진단기술 개발" 과제에서 5년 동안 진행되고 있는 전반적인 연구수행결과 및 구축 완료된 종합특성평가시스템을 활용한 고진공펌프의 상용화를 위한 신뢰성 평가에 관하여 소개한다. 고진공펌프개발 총괄 과제의 대명제는 "국제적 신뢰성을 가지는 상용화 제품의 완성"이며, 10(3) mbar~10(-10) mbar 영역의 국내 진공펌프 개발 수준의 선진화를 위한 모든 특성평가장치의 국제적 신뢰성이 있는 완벽한 성능평가의 구현을 포함한다고 볼 수 있으며, 전체 사업 추진기간 동안 제품 개발 주체인 산업체에 상용화를 위한 신뢰성 기반기술의 완벽한 구현의 제공에 있다고 할 수 있다. 이러한 고진공펌프의 신뢰성 평가는 저진공펌프의 신뢰성 평가기술에 기반을 두고 있으며, 기 개발 완료된 특성평가뿐만 아니라 실질적으로 나노종합팹센터의 공정현장에서 판단할 수 있는 내구성진단 및 최종 제품의 기계적 신뢰성 진단기술의 복합적인 요인들의 집합적인 분석에 기인하고 있다고 판단할 수 있다. 상용화 단계의 내구성 및 신뢰성 확보를 위한 전제 조건은 대외적으로 공표할 수 있는 시험평가 데이터와 개발 주체에서 기밀 수준으로 유지해야만 하는 민감한 자료의 상시 생산 infra의 구축으로 볼 수 있다. 이러한 진공펌프개발이라는 과제의 대명제를 완성하기 위한 연구개발 등 최종 상용화에 필요한 국제 신뢰성, 공정대응성 확보 기술개발 등 핵심사업 추진내용을 소개하고자 한다. -
우주궤도환경은 고진공 환경이며, 태양복사열에 의한 고온환경 및 극저온환경이 반복되는 가혹한 환경이다. 위성체의 성공적인 임무 수행을 위해 지상에서 철저한 우주환경시험을 거쳐 기능 및 작동상태를 점검해야 하며, 이를 위해서는
$10^{-6}$ Torr 이하의 고진공 및$-180^{\circ}C$ 이하의 극저온 모사가 가능한 열진공챔버와 같은 우주환경모사장비가 반드시 필요하다. 한국항공우주연구원에서는 다양한 크기의 열진공챔버를 보유, 가동 주이며, 챔버 내부의 진공도를 측정하기 위한 진공게이지의 교정을 매년 실시하고 있다. 또한 교정기관을 통한 교정 이외, 보유하고 있는 표준챔버를 이용한 자체 교정을 실시하여, 진공도의 신뢰성 점검 및 보증을 하고 있다. 본 논문에서는 표준챔버를 이용한 고진공게이지 교정 방법을 소개하며, 다년간의 교정결과를 검토하여 교정주기에 대한 견해를 기술하고자 한다. -
위성체가 임무를 수행하는 우주환경은 지상 환경과 달리 고진공, 고온 및 극저온의 가혹한 환경 이다. 이에
$10^{-5}$ Torr 이하의 고진공과$-180^{\circ}C$ 이하의 극저온 및 고온의 환경조건을 지상에서 모사하기 위해서는 열진공챔버와 같은 우주환경모사장비가 사용된다. 위성체를 열진공챔버에 설치하고 우주환경모사 시험의 월할한 진행을 위하여 열진공챔버 내 레일을 설치하여 열진공시험 준비 및 열진공시험이 수행되어진다. 현재 위성체 연구개발의 발전으로 다양한 기능 및 장비의 추가로 인하여 위성체가 대형화 되어지고 있다. 이에 보다 안전한 시험 준비 및 수행을 위하여 현재 운용되어지고 있는 열진공챔버의 개선이 필요하다. 이에 본 연구에서는 상용유한요소해석 프로그램 MSC.PATRAN/NASTRAN을 사용하여 대형 위성체의 우주환경모사 시험을 위한 열진공챔버 내 레일에 대한 구조 안선성을 평가 하고자 한다. -
4세대 방사광 가속기에 설치되는 out-vacuum 언듈레이터 진공용기는 내경 5.2 mm, 길이 5 m인 매우 얇고 긴 형태로 제작된다. 좁은 간격의 언듈레이터 진공용기를 지나는 전자의 진행을 용이하게 하기 위해서는 높은 내부 진공도와 매끄러운 내부 표면이 요구된다. 현재 시제품으로 제작된 언듈레이터 진공용기의 요구 진공도는 Pave <
$5{\times}10^{-7}$ mbar이며, 내부 표면 거칠기는 Ra < 150 nm 이하이다. 언듈레이터 진공용기는 알루미늄을 압출하여 제작한 후 초정밀 기계가공으로 제작하였다. 본 논문에서는 현재까지 수행한 공정 개발 결과를 정리하여 보고하고자 한다. -
10 Gev의 빔에너지를 가지는 4세대 방사광 가속기의 hard X-ray구간에는 약 200 m의 언듈레이터 홀이 건설 중이며, 내부에는 길이 5 m의 언듈레이터와 1m의 언듈레이터 사이구간이 연속적으로 배치되어 있다. 이 구간에는 언듈레이터를 비롯하여 phase shifter, quadrupole, BPM 등이 설치되는 진공용기가 있으며, 이 진공용기는 최적화된 환경을 제공하기 위해서 매우 얇고 긴 형태로 제작되며 각 구간마다 빔손실이 발생하지 않도록 설계가 되어야 한다. 여기에서는 현재 실험 및 설계 중인 언듈레이터 챔버와 사이구간의 진공시스템에 대하여 소개하고, 현재까지 수행한 결과와 앞으로의 진행방향에 대해 다루고자 한다.
-
포항가속기연구소 저장링에는 Dipole Magnet, Wiggler, Undulator 등 다양한 방사광발생장치를 설치하여 각종 실험에 사용하고 있다. 그중 ADC사에서 제작한 In- vacuum Undulator (이하, ADC-IVU)는 고휘도의 X-선 빔을 생성하여 생체고분자(단백질, DNA 등) 단결정의 X-선 회절 데이터를 획득하는 실험을 수행한다. 현재, ADC-IVU의 Rf finger 등 일부장치의 성능개선을 위한 장치 해체, 교체 작업과 재조립 및 정렬작업, 구동시험을 거치고 최종 초고진공 진공 달성을 위한 탈가스처리, NEG 활성화작업등을 마무리 하였다. 본 발표에서는 ADC-IVU의 성능개선 작업에 대한 전반적인 사항과 진공작업 및 그 결과를 내용으로 한다.
-
최근 그래핀, hexagonal boron nitride (h-BN) 및
$MoS_2$ (molybdenum disulfide)와 같은 2차원 결정 물질들은 무어의 법칙 (Moore's Law)를 뛰어넘어 계속적인 소자의 소형화를 가능케 하고 또한 대면적, 저비용 소자 개발을 가능케 하는 우수한 특성을 가진 차세대 반도체 트랜지스터 소재로 각광받고 있다.$MoS_2$ 는 bulk 상태일 때는 1.2 eV의 indirect 밴드갭을 가지지만 단층형태일 때는 1.8 eV의 direct 밴드갭을 가지며 dielectric screening 기법 등을 통해 mobility를 향상시킬 수 있는 것으로 연구된 바 있다. 본 연구에서는 화학기상증착(chemical vapor deposition, CVD)법을 이용하여$MoS_2$ 박막을 형성하기 위한 기초연구인 Mo전구체의 특성 평가 및 적합한 공정조건 개발 연구를 수행하였다. 사용한 전구체는$Mo(CO)^6$ (Molybdenum hexacarbonyl)이고, 온도 및 압력, 반응기체($H_2S$ , Hydrogen sulfide) 유량 등의 공정 조건 변화에 따른 거동을 Fourier transform infrared spectroscopy (FT-IR) 시스템을 사용하여 측정하였다. 또한$Mo(CO)^6$ 의 분자구조를 상용 프로그램인 Gaussian으로 시뮬레이션 하여 실제 FT-IR 측정 결과값과 비교 분석하였다. 화학기상증착법을 이용한$MoS_2$ 증착조건 최적화를 위하여 다양한 온도, 유량, 압력, 및 기판 종류에 대하여 증착 실험을 수행하였으며, 증착된 샘플은 scanning electron microscope (SEM), Raman spectroscopy를 이용하여 분석하였다. -
우주 궤도환경은
$10^{-5}$ torr 이하의 고진공 및$100^{\circ}C$ 의 고온과$150^{\circ}C$ 이하의 극저온 환경으로 특징지어지며, 위성체 및 위성체 부품은 이와 같은 우주 궤도환경에서의 성능검증이 필수적이다. 지상에서 이와 같은 환경을 모사하기 위해서는 열진공챔버가 사용되며, 열진공 챔버는 진공배기계와 열제어 시스템으로 구성된다. 특히 위성체 또는 위성부품의 열환경을 모사하기 위해 기체 질소를 이용한 폐회로 열제어 시스템이 사용된다. 폐회로 열제어 시스템은 슈라우드, 극저온 블로워, 히터 등으로 구성이 된다. 열제어 시스템의 신뢰성을 높이기 위해서는 핵심 부품인 극저온 블로워의 이중화가 필요하다. 본 논문에서는 위성체 및 위성체 부품의 열진공 시험에 사용되는 열진공 챔버 열제어 시스템의 핵심인 극저온 블로워의 이중화를 위한 기구 설계 및 제어로직 설계 등이 포함되어 있다. -
반도체 소자의 소형화로 신개념 화학증착공정 구현을 위한 장비와 화학증착소재의 개발이 활발이 연구되고 있다. 특히 증착소재의 물리적 화학적 특성을 파악하고 가장 적합한 소재를 선택하기 위한 연구도 변행되고 있다. 많은 연구자들이 소재 평가를 위해 가스크로마토그래피, 질량분석기, 적외선 분광기 등을 이용한 화학증착소재의 특성을 파악하기 위해 노력하고 있다. 하지만 실제 화학기상증착(Chemical Vapor Deposition)법과 원자층증착(Atomic Layer Deposition)법 공정에서 웨이퍼 표면에서의 화학증착소재의 흡착거동에 대한 연구는 거의 전무한 실정이다. 따라서 본 연구에서는 개선된 Attenuated Total Reflectance(ATR)분광계를 이용하여 표면에 흡착된 소재의 흡착거동에 대해 분석을 수행하였다. 평가에 사용된 화학증착소재는 C-Zr (Tris (dimethylamino) cyclopentadienyl zirconium)이며, Fourier Transform Infrared Spectroscopy (FT- IR)시스템 내에 설치된 ATR 분광계 표면에 흡착된 C-Zr 증착소재를 다양한 공정조건(온도 및 반응가스, 플라즈마 파워 등)에서의 거동 변화를 연구하였다.
-
인공위성 및 우주발사체가 해당 궤도 및 고도에서 정상적으로 기능을 수행할 수 있는지 확인하기 위해 진공챔버 내부에 시험 대상을 설치하고 내부 압력을 낮추어 해당 궤도와 고도를 모사하게 된다. 반복적인 진공 시험을 수행하다 보면, 진공 챔버 내에 인지하지 못한 수분이 잔류하는 경우가 발생하는데, 이 때의 챔버 내부 압력 변화는 수분이 존재하지 않는 경우와는 매우 다른 양상을 보인다. 본 연구에서는 진공챔버 내부에 수분이 존재하는 경우를 모사하여, 포화증기압에서 액체의 잠열 이상으로 외부로부터 열을 받는 구간과 그렇지 못한 경우에 대한 현상을 살펴보고 이에 따른 챔버 내부 압력 변화에 대해 고찰하였다.
-
제3세대 방사광 가속기의 저장링에 사용하는 알루미늄합금 진공용기를 압출방법으로 제작하여 시험하였다. 기체방출률과 표면거칠기를 줄일 목적으로 압출 시 분위기 기체를 제어하거나 압출 후 내표면 처리를 하였다. 몇 가지 제작 공정을 마친 후 수행한 진공시험의 결과를 진공도, 기체방출률, 표면조도로 비교하여 보고한다.
-
Min, Gwan-Sik;Lee, Seung-Su;Yun, Ju-Yeong;Sin, Yong-Hyeon;Cha, Deok-Jun;Gang, Du-Hong;Seong, Gi-Hun;Kim, Seong-Cheol;O, Eun-Sun;Kim, Jin-Tae 122.2
플라즈마 전해산화(plasma electrolytic oxidation) 기술을 이용하여 제작한 산화 피막은 피막의 하층부(기지 금속과 접해 있는 부분)는${\alpha}$ -phase의 산화물이 대부분을 이루고 있으며, 기지 금속과의 접착성도 뛰어나다. 하지만 피막의 표면이 거칠고, 다공성을 띄는 특징을 보인다. 본 연구에서는 피막의 거칠기와 다공성을 제어하기 위한 방법으로 전해액에 포함된 불순물(Si, P 등) 조성비의 변화에 초점을 맞췄으며, 불순물(Si, P 등)의 조성비를 변화시켜 가면서 실험을 진행하였다. 실험에는 60 Hz, 35 kW(700 V, 50 A)의 power supply가 사용되었다. 또한, 실험의 결과로 제작된 시편의 내전압(10 V/s), 내플라즈마(200 W, 10 min, Ar 5 sccm, 200 mTorr), 내화학성(HCl 36.46 wt%, 120 min) 테스트를 진행하였으며, 실험 결과를 바탕으로${\phi}300$ 대면적 시편의 제작도 완료하였다. -
Min, Gwan-Sik;Song, Je-Beom;Yun, Ju-Yeong;Sin, Yong-Hyeon;Cha, Deok-Jun;Hwang, Yun-Seok;Heo, Yun-Seong;Kim, Jin-Tae 123.1
반도체 공정에서는 사용하는 power source의 형태는 pulse-DC이다. Pulse-DC는 DC power에 비해 증착율이 좋고, 박막의 특성도 우수한 특성을 가진다. 이러한 장점에도 불구하고 pulse-DC나 DC power는 플라즈마 내 이온이 가지는 에너지가 크고, 이온화율도 낮다. 이러한 단점을 극복하기 위해 등장한 power source가 modulated pulsed power이다. Modulated pulsed power는 이온이 가지는 에너지가 DC power의 1/2 수준이며, 이온화율은 4배 이상 높은 특징을 가진다. 본 연구에서는 modulated pulsed power를 사용하여 Cr 박막을 Si wafer 위에 증착하여 박막의 특성을 관찰하였다. 연구에 사용된 power는 5 kV (800 V, 12.5 A), 20~120 KHz, 3 step까지 설정이 가능한 장비이며, base pressure$1.5{\times}10^{-6}$ Torr에서 실험이 진행되었고, 실험에 사용된 불활성 기체는 Ar을 사용하였다. -
Etching endpoint detection with plasma impedance monitoring (PIM) is demonstrated for small area dielectric layers inductive coupled plasma etching. The endpoint is determined by the impedance harmonic signals variation from the I-V monitoring system. Measuring plasma impedance has been examined as a relatively simple method of detecting variations in plasma and surface conditions without contamination at low cost. Cluster analysis algorithm is modified and applied to real-time endpoint detection for sensitivity enhancement in this work. For verification, the detected endpoint by PIM and real-time cluster analysis is compared with widely used optical emission spectroscopy (OES) signals. The proposed technique shows clear improvement of sensitivity with significant noise reduction when it is compared with OES signals. This technique is expected to be applied to various plasma monitoring applications including fault detections as well as end point detection.
-
Recent experimental evidence that fluorinated graphene creates local magnetic moments around F adatoms has not been supported by semilocal density-functional theory (DFT) calculations where the adsorption of an F adatom induces no magnetic moment in graphene. Here, we show that such an incorrect prediction of the nonmagnetic ground state is due to the self-interaction error inherent in semilocal exchange-correlation functionals. The present hybrid DFT calculation for an F adatom on graphene predicts not only a spin-polarized ground state with a spin moment of
${\sim}1{\mu}_B$ , but also a long-range spin polarization caused by the bipartite nature of the graphene lattice as well as the induced spin polarization of the graphene states. The results provide support for the experimental observations of local magnetic moments in fluorinated graphene. -
오늘날 유기고분자기반 태양전지는 다른 태양전지와 비교될 정도로 낮은 광변환효율로 인해 효율향 상을 위한 많은 연구들이 진행되어 왔다. 그중 패터닝을 통한 광포집률과 charge carrier 수집효율이 증가되었다는 많은 보고들이 있었다. 따라서 우리는 200~1,400 nm polystyrene bead를 합성하여 air-liquid interfacial 방법을 이용해 2차원 육방조밀구조를 갖는 template를 형성하고 Nanosphere lithography (NSL)를 이용하여 대면적으로 균일한 poly(3,4-ethylenedioxythiophene) poly(styrenesulfonate) (PEDOT:PSS)를 패턴화하였다. 균일한 패턴형성을 측정하기위해 Field Emission Scanning Electron Microscopy (FE-SEM), image를 얻었으며, Atomic Force Microscopy (AFM)를 통해 형성된 패턴의 낙차 높이를 얻었고, Near IR-UV-Vis을 통해 bead size 변화에따라 얻어진 PEDOT:PSS 패턴의 반사율을 측 정하였다.
-
Hwang, Jin Heui;Kwon, Sangku;Park, Joonbum;Lee, Jhinhwan;Kim, Jun Sung;Lyeo, Ho-Ki;Park, Jeong Young 128.1
We investigated the correlation between electrical transport and mechanical stress in$Bi_2Te_2Se$ by using a conductive probe atomic force microscopy in an ultra-high vacuum environment. Uniform distribution of measured friction and current were observed over a single quintuple layer terrace, which is an indication of the uniform chemical composition of the surface. By measuring the charge transport of$Bi_2Te_2Se$ surface as a function of the load applied by a tip to the sample, we found that the current density varies with applied load. The variation of current density was explained in light of the combined effect of the changes in the in-plane conductance and spin-orbit coupling that were theoretically predicted. We suppose that the local density of states is modified by tip-induced strain, but topological phase still remains. We exposed a clean topological insulator surface by tip-induced indentation. The surface conductance on the indented$Bi_2Te_2Se$ surface was studied, and the role of surface oxide on the surface conductance is discussed. -
Crucially, effective catalysts must be capable of efficiently catalyzing the protonation of adsorbed CO to adsorbed CHO or COH. One of the strategies is alloying with metals with higher oxygen affinity and Au-Zn alloy is one of the best candidates. At first, we made Au-Zn alloy using vacuum evaporating method. Zn was deposited on the Au(211) surface and the amount was estimated by X-ray photoelectron spectroscopy (XPS) using the relative sensitivity of Au 4f and Zn 3d. We investigated CO adsorption on a clean Au(211) and Au-Zn alloy using temperature-programmed desorption (TPD) and XPS. From the TPD results, we can conclude that the presence of the particular step sites at the Au(211) surface imparts stronger CO bonding and Zn atoms are sitting on the step sites at the Au(211) when Zn is deposited. The XPS results show the oxygen atoms of CO bond Zn atoms on Au-Zn surface. It should be an evidence that alloying Zn atoms that has high oxygen affinity into an electrocatalyst may allow CHO* to bind to the surface through both the carbon and oxygen atoms.
-
Atomically-thin graphene is the ideal model system for studying nanoscale friction due to its intrinsic two-dimensional anisotropy. Here, we report the reduced nanoscale friction of epitaxial graphene on SiC, investigated with conductive-probe atomic force microscopy/friction force microscopy in ultra-high vacuum. The measured friction on a buffer layer was found to be 1/8 of that on a monolayer of epitaxial graphene. Conductive probe atomic force microscopy revealed a lower conductance on the buffer layer, compared to monolayer graphene. We associate this difference in friction with the difference in total lateral stiffness. Because bending stiffness is associated with flexural phonons in two-dimensional systems, nanoscale frictional energy should primarily dissipate through damping with the softest phonons. We investigated the influence of hydrogen intercalation on the nanoscale friction. We found that the friction decreased significantly after hydrogen intercalation, which is related to loose contact between the graphene and the substrate that results in a lower bending stiffness.
-
Electron injection enhancement at OLED (organic light-emitting diodes) cathode side has mostly been achieved by insertion of a low work function layer between metal electrode and emissive layer. We investigated the interfacial chemical reactions and electronic structures of alkaline-earth metal (Ca, Ba)/Alq3 [tris(8-hydroxyquinolinato)aluminium] and Ca/BaF2/Alq3 using in-situ X-ray & ultraviolet photoelectron spectroscopy. The alkaline-earth metal deposited on Alq3 generates two energetically separated gap states in sequential manner. This phenomenon is explained by step-by-step charge transfer from alkali-earth metal to the lowest unoccupied molecular orbital (LUMO) states of Alq3, forming new occupied states below Fermi level. The BaF2 interlayer initially prevents from direct contact between Alq3 and reactive Ca metal, but it is dissociated into Ba and CaF2. However, as the Ca thickness increases, the Ca penetrates the interlayer to directly participate in the reaction with underlying Alq3. The influence of the multiple gap state formation by the interfacial chemical reaction on the OLED performance will be discussed.
-
We made attempts to improve photocatalytic activity of
$TiO_2$ nanoparticles under visible light exposure by combining two additional treatments. N-doping of$TiO_2$ by ammonia gas treatment at$6 00^{\circ}C$ increased absorbance of visible light. By coating thin film of polydimethylsiloxane (PDMS), and subsequent vacuum-annealing at$800^{\circ}C$ ,$TiO_2$ , became more hydrophilic, thereby enhancing photocatalytic activity of$TiO_2$ . Four types of$TiO_2$ samples were prepared, bare-$TiO_2$ , hydrophilic-modified$TiO_2$ ($h-PDMS/TiO_2$ ), N-doped$TiO_2$ ($N/TiO_2$ ) and hydrophilic-modified and N-doped$TiO_2$ ($h-PDMS/N/TiO_2$ ). Adsorption capability was evaluated under dark condition and photocatalytic activity of$TiO_2$ was evaluated by photodegradation of MB under blue LED (400 nm<${\lambda}$ ) irradiation. N-doping on$TiO_2$ was characterized using XPS and hydrophilic modification of$TiO_2$ surface was analyzed by FT-IR spectrometer. It was found that N-doping and hydrophilic modification both had positive effect on enhancing adsorption capability and photocatalytic activity of$TiO_2$ at the same time. Particularly, N-doping enhanced visible light absorption of$TiO_2$ , whereas hydrophilic surface modification increased MB adsorption capacity. By combining these two strategies, photocatalytic acitivity under visible light irradiation became the sum of individual effects of N-doping and hydrophilic modification. -
Chu, Jae Hwan;Kwak, Jinsung;Kwon, Tae-Yang;Park, Soon-Dong;Go, Heungseok;Kim, Sung Youb;Park, Kibog;Kang, Seoktae;Kwon, Soon-Yong 130.2
Today, chemical vapor deposition (CVD) of hydrocarbon gases has been demonstrated as an attractive method to synthesize large-area graphene layers. However, special care should be taken to precisely control the resulting graphene layers in CVD due to its sensitivity to various process parameters. Therefore, a facile synthesis to grow graphene layers with high controllability will have great advantages for scalable practical applications. In order to simplify and create efficiency in graphene synthesis, the graphene growth by thermal annealing process has been discussed by several groups. However, the study on growth mechanism and the detailed structural and optoelectronic properties in the resulting graphene films have not been reported yet, which will be of particular interest to explore for the practical application of graphene. In this study, we report the growth of few-layer, large-area graphene films using rapid thermal annealing (RTA) without the use of intentional carbon-containing precursor. The instability of nickel films in air facilitates the spontaneous formation of ultrathin (<2~3 nm) carbon- and oxygen-containing compounds on a nickel surface and high-temperature annealing of the nickel samples results in the formation of few-layer graphene films with high crystallinity. From annealing temperature and ambient studies during RTA, it was found that the evaporation of oxygen atoms from the surface is the dominant factor affecting the formation of graphene films. The thickness of the graphene layers is strongly dependent on the RTA temperature and time and the resulting films have a limited thickness less than 2 nm even for an extended RTA time. The transferred films have a low sheet resistance of ~380${\Omega}/sq$ , with ~93% optical transparency. This simple and potentially inexpensive method of synthesizing novel 2-dimensional carbon films offers a wide choice of graphene films for various potential applications. -
Choe, Jae-Gyeong;Heo, Jae-Hun;Kim, Seong-Dae;Mun, Dae-Yeong;Yun, Du-Hui;Ju, Gi-Su;Gwak, Jin-Seong;Ju, Jae-Hwan;Kim, Seong-Yeop;Park, Gi-Bok;Kim, Yeong-Un;Yun, Ui-Jun;Jeong, Hyeon-Sik;Gwon, Sun-Yong 132.1
현재 고품위GaN 박막 성장은 사파이어 기판이 주로 사용되며, 사파이어 기판 상에 저온에서 질화물 완충층을 선성장한 후 고온에서 GaN 박막을 성장하는 2단계 공정법을 일반적으로 택하고 있다. 본 연구에서는 새롭게 주목받고 있는 신소재인 그래핀을 본 실험실에서 기개발한 확산이용형성법을 이용하여 사파이어 기판에 직접 코팅하여 이를 완충층으로 사용한 후, MOCVD를 이용하여 저온 완충 층의 성장없이 고온에서 직접 성장한 GaN 박막에 관한 연구를 진행하였다. 매우 얇은 두께인 ~0.6 nm의 그래핀을 완충층으로 도입함으로써 GaN의 성장모드가 3차원 모드에서 2차원 모드로 바뀜을 확인 할 수 있었고, 그래핀 완충층의 두께가 점점 두꺼워짐에 따라 고온 성장한 GaN 박막의 구조적, 광학적 특성이 향상되어 기존의 2단계 성장법으로 얻은GaN 박막의 특성에 비견할 만큼 향상됨을 확인할 수 있었다. 그래핀상에 성장한 GaN 박막과 2단계 성장법으로 성장한 GaN 박막 상에 동일한 InGaN/GaN 다중양자우물구조를 형성하여 유사한 내부양자효율을 얻을 수 있게 되어, 그래핀을 완충 층으로 한 GaN 박막의 광전 소자에의 응용가능성을 확인 할 수 있었다. -
Jang, Won-Jun;Lee, Eui-Sup;Kim, Howon;Yoon, JongKeon;Chang, Yunhee;Kim, Yong-Hyun;Kahng, Se-Jong 132.2
Electrons in graphene make ballistic transport with very high mobility (${\sim}2{\times}105 $ cm2V-1s-1), which holds promises for applications in fast electronic devices. However, such expectations have been hampered by the semi-metallicity or zero bandgap of graphene, which makes it impossible to completely turn off graphene transistor devices. Here, we report the observations of local bandgap modulations in Moir$\acute{e}$ patterned graphene on metal substrates using scanning tunneling microscopy and spectroscopy. The Moir$\acute{e}$ patterned graphene was made by combinations of self-assembly processes, and they showed additional electronic states that could be interpreted as sub-band states. Our experimental observations could be explained with orbital transitions of carbon atoms from sp2 to sp3, as supported by our density functional theory calculation results. Our findings will add new poweful components for device applications. -
We present the low temperature (
${\leq}1,000^{\circ}C$ ) vacuum sublimation behavior of an e-beam evaporative deposited on a SiC film and a method to reduce the vacuum sublimation through an ion beam process. The density of the SiC film deposited using the e-beam evaporation method was ~60% of the density of the bulk source material. We found that the sublimation became appreciable above${\sim}750^{\circ}C$ under$1.5{\times}10^{-5}$ torr pressure and the sublimation rate increased with an increase in temperature, reaching ~70 nm/h at$950^{\ circ}C$ when the coated sample was heated for 5 h. When the film was irradiated with 70 keV N+ ions prior to heating, the sublimation rate decreased to ~23 nm/h at a fluence of$1{\times}10^{17}\;ions/cm^2$ . However, a further increase in fluence beyond this value or an extended heating period did not change (decrease or increase) the sublimation rate any further. -
Copper oxide is a multi-functional material being used in various research areas including catalysis, electrochemical materials, oxidizing agents etc. Among these areas, we have synthesized and utilized graphene based copper oxide nanocomposites (CuOx/Graphene) for the catalytic applications (C-N cross coupling reaction). Briefly, Cu precursors were anchored on the graphite oxide(GO) sheets being exfoliated and oxidized from graphite powder. Two different crystalline structures of Cu2O and CuO on graphene and GO were prepared by annealing them in Ar and O2 environments, respectively. The morphological and electronic structures were systemically investigated using FT-IR, XRD, XPS, XAFS, and TEM. Here, we demonstrate that the catalytic performance was found to depend on oxidative states and morphological structures of CuOx graphene nanocomposites. The relationship between the structure of copper oxides and catalytic efficiency toward C-N cross coupling reaction will be discussed.
-
Graphene and graphene oxide (GO) have been modified with palladium nanoparticles (Pd NPs) to develop high performance catalysts for the Sonogashira cross coupling reaction. To understand catalytic performance of Pd NPs on graphene (Pd/G) and Pd NPs on GO (Pd/GO), we monitored their morphological and electronic structural changes before/after Sonogashira reaction using FT-IR, XRD, XPS, and XAFS. Here, we demonstrate that both Pd/G and Pd/GO show high catalytic efficiency toward the Sonogashira reaction, but only Pd/GO revealed excellent recyclability. The remarkable catalytic efficiency of both catalysts is attributed to the high degree of the Pd NP dispersions on supports and thus smaller Pd NPs can provide highly reactive low coordinated Pd atoms. However, we attributed the excellent recyclability of Pd/GO to the presence of oxygen functionalities on GO, which can provide nucleation sites for the detached Pd atoms during the Sonogashira reaction and prevent agglomeration of the Pd NPs since the oxygen functional groups are very reactive to mobile Pd adatoms.
-
6" Multi-crystal Silicon wafer has etched suing a remote - type RF Dielectric barrier discharge (RF DBD) at atmospheric pressure. DBD source is composed of Al electrode and coated Al2O3 dielectric as function of Ar/NF3 gas combination and input power used 13.56 MHz power supply. Ar gas flow rate is changed from 2 to 10 Slm, and NF3 flow rate is changed from 0.2~1 slm. At the result, NF3 flow rate Si etching rate also increase whit the increasing of NF3 flow rate But at 2 slm etching rate was decrease. In this experience, Max etching rate is 2.3
${\mu}m/min$ when the scan time is 45 sec. -
The native silicon-oxide (SiOx) layer at the metal/Silicon interface acts as an electrical resistance to the metal contact of devices. Various methods are proposed for removing this layer, such as sputtering before metal contact formation or high temperature annealing. We studied the chemical evolution of the Au/SiOx/Si system during the annealing at
$500^{\circ}C$ using a spatially resolved photoelectron emission method. Scanning photoelectron emission microscopy (SPEM) and core level spectra from local area of the sample show the inhomogeneous oxidation and formation of silicide of Au, as well as valence band spectra reveals the role of Au atoms during the dissociation process of SiOx. -
Strong metal-support interaction effect is an important issue in determining the catalytic activity for heterogeneous catalysis. In this work, we report the catalytic activity of
$Au/TiO_2$ ,$Au/Al_2O_3$ , and$Au/Al_2O_3-CeO_2$ nanocatalysts under CO oxidation fabricated by arc plasma deposition (APD), which is a facile dry process with no organic materials involved. These catalytic materials were characterized by transmission electron microscopy (TEM), energy-dispersive X-ray spectroscopy (EDS) and$N_2$ -physisorption. Catalytic activity of the materials has measured by CO oxidation using oxygen, as a model reaction, in a micro-flow reactor at atmospheric pressure. Using APD, the catalyst nanoparticles were well dispersed on metal oxide powder with an average particle size (3~10 nm). As for catalytic reactivity, the result shows$Au/Al_2O_3-CeO_2$ nanocatalyst has the highest catalytic activity among three samples in CO oxidation, and$Au/TiO_2$ , and$Au/Al_2O_3$ in sequence. We discuss the effects of structure and metal-oxide interactions of the catalysts on catalytic activity. -
The patterning and doping technique enables graphene to replace the metal electrode as a charge injection layer in the pentacene based thin film transistor. However, it is known that pentacene molecules form lying-down coordination on the graphene surface. Pentacene thin film showed that the highly occupied molecular orbital is 0.2~0.4 eV lower in the standing up coordination than in the lying down coordination. Here, we report the formation of standing-up coordination and lowered HOMO level of the pentacene layer grown on the graphene layer doped with CYTOP.
-
The effect of substrate on catalytic activity of CO oxidation with transition metal Platinum nanoparticles on doped and undoped TiO2 was investigated. Titanium dioxide was doped chemically with non-metal anions including nitrogen and fluorine. Undoped TiO2 was synthesized via simple conventional sol-gel route. Thin films of titania were developed by spin coating technique and the characterization techniques SEM, XRD, UV-Vis Absorption Spectroscopy and XPS were carried out to examine the morphology of films, crystal phase, crystallites, optical properties and elemental composition respectively. XPS analysis from doped TiO2 confirmed that the nitrogen site were interstitial whereas fluorine was doped into TiO2 lattice substitutionally. Catalytic activity systems of Pt/doped-TiO2 and Pt/undoped-TiO2 were fabricated to reveal the strong metal-support interaction effect during catalytic activity of CO oxidation reactions. By arc plasma deposition technique, platinum nanoparticles with mean size of 2.7 nm were deposited on the thin films of doped and undoped titanium dioxide. The CO oxidation was performed with 40 Torr CO and 100 Torr O2 with 620 Torr He carrier gas. Turn over frequency was observed two to three folds enhancement in case of Pt/doped TiO2 as compared to Pt/TiO2. The electronic excitation and the oxygen vacancies that were formed with the doping process were the plausible reasons for the enhancement of catalytic activity.
-
최근 실 기반 microfluidics device는 많은 응용 가능성을 보이고 있다. 예를 들면 의료진단, 환경측정 그리고 식품 안정성 분석 등의 분야에서 사용이 가능하다. 이러한 가능성을 가진 반면 해결해야 하는 문제점들이 존재한다. 실 한가닥에 Capillary force에 의해 빨려 올라오는 액체의 속도를 조절하기 힘들다는 것이다. 속도 조절은 실 기반 microfluidics에서는 매우 중요한 역할을 하는 것이므로 이는 굉장히 치명적일 수 있다. 본 그룹은 울의 젖음성을 변화시킴으로써 그 속도를 조절하였다. 울은 본래 소수성의 성질을 가졌으며 본 그룹의 표면처리를 통하면 친수성을로 바뀌는 것을 확인하였다. 표면처리의 종류와 정도에 따라 친수성을 띄는 정도가 달라지는 것을 확인하였다. 결과적으로는 표면처리에 따라 서로 액체를 빨아들이는 속도가 다른 울들을 microfluidics device에 응용할 수 있다는 결론을 지을 수 있다.
-
Nanomechanical and electrical properties of vanadium dioxide (VO2) thin films across thermal-driven phase transition are investigated with ultra-high vacuum atomic force microscopy. VO2 thin films have been deposited on the n-type heavily doped silicon wafer by pulsed laser deposition. X-ray diffraction reveals that it is textured polycrystalline with preferential orientation of (100) and (120) planes in monoclinic phase. As the temperature increases, the friction decreased at the temperature below the transition temperature, and then the friction increased as increasing temperature above the transition temperature. We attribute this observation to the combined effect of the thermal lubricity and electronic contribution in friction. Furthermore, the dependence of nanoscale conductance on the local pressure was indicated at the various temperatures, and the result was discussed in the view of pressure-induced metal-insulator transition.
-
Km, Wondong;Byun, Iksu;Hwang, Inrok;Park, Bae Ho;Baek, Jaeyun;Shin, Hyun-Joon;Shiu, Hung Wei;Chen, Chia-Hao 144.1
Recently, we have developed the local oxidization and hydrogenization method for graphene layer using atomic force microscope(AFM) tip at room temperature and ambient pressure. With this method we could create locally oxidized or hydrogenized area on the graphene layer with various size from nanometer to micrometer scale, by controlling the amplitude and polarity of the voltage supplied between conducting AFM tip and the graphene layer. We investigated the chemical states of functionalized C atoms in the graphene layer using scanning photoelectron microscopy. By measuring C 1s core level X-ray Photoemission Spectra of the C atoms and suitable fitting process carried on the measured spectra, we could obtain the fraction of oxidization and hydrogenization under various condition, and the evolution of each chemical state during thermal annealing process. -
Kim, Hansun;Jung, Min Wook;Myung, Sung;Jung, Daesung;Lee, Sun Sook;Kong, Ki-Jeong;Lim, Jongsun;Lee, Jong-Heun;Park, Chong Yun;An, Ki-Seok 144.2
With the synthesis of graphene sheets as large-scale and high quality, it is essentially important to develop suitable graphene patterning process for future industrial applications. Especially, transfer or patterning method of CVD-grown graphene has been studied. We report simple soft lithographic process to develop easily applicable patterning method of large-scale graphene sheets by using chemically functionalized polymer stamp. Also important applications, the prototype capacitors with graphene electrode and commercial polymer dielectrics for the electrostatic-type touch panel are fabricated using the developed soft lithographic patterning and transfer process. -
A prerequisite for the development of graphene-based field effect transistors (FETs) is reliable control of the type and concentration of carriers in graphene. These parameters can be manipulated via the deposition of atoms, molecules, and polymers onto graphene as a result of charge transfer that takes place between the graphene and adsorbates. In this work, we demonstrate a unique and facile methodology for the homogenous and stable p-type doping of graphene by hybridization with ZnO thin films fabricated by MeV electron beam irradiation (MEBI) under ambient conditions. The formation of the ZnO/graphene hybrid nanostructure was attributed to MEBI-stimulated dissociation of zinc acetate dihydrate and a subsequent oxidation process. A ZnO thin film with an ultra-flat surface and uniform thickness was formed on graphene. We found that homogeneous and stable p-type doping was achieved by charge transfer from the graphene to the ZnO film.
-
The band-gap opening in graphene is a key factor in developing graphene-based field effect transistors. Although graphene is a gapless semimetal, a band-gap opens when graphene is formed into a graphene nanoribbon (GNR). Moreover, the band-gap energy can be manipulated by the width of the GNR. In this study, we propose a site-specific synthesis of a width-tailored GNR directly onto an insulating substrate. Predeposition of a diamond-like carbon nanotemplate onto a SiO2/Si wafer via focused ion beam-assisted chemical vapor deposition is first utilized for growth of the GNR. These results may present a feasible route for growing a width-tailored GNR onto a specific region of an insulating substrate.
-
반도체 디바이스의 집적화로 인하여 약간의 상태변화에 의하여 Chip의 불량이 발생하고 있다. 이로 인하여 일정한 플라즈마 상태를 유지 하는 것이 중요 한데 일정한 플라즈마 상태를 유지하기 위한 조건 중에 중요한 것이 채임버 Wall의 상태에 따른 변화 이다. 반도체 양산 장비에서 채임버 wall 상태를 직접 관찰하기는 어렵기 때문에 OES를 통한 많은 간접 분석방법의 개발이 이루어지고 있다. 본 연구에서는 간접 분석 방법 중 Actinometry 기법을 통하여 wall 상태를 분석하는 내용을 소개 하고 있으며 Argon gas를 통하여 전자온도, EEDF를 그려줄 수 있다는 내용을 담고 있다.
-
Jeon, Cheolho;Hwang, Han-Na;Lee, Wang-Geun;Jung, Yong Gyun;Kim, Kwang S.;Park, Chong-Yun;Hwang, Chan-Cuk 146.2
Copper is considered to be the most promising substrate for the growth of high-quality and large area graphene by chemical vapor deposition (CVD), in particular, on the (111) facet. Because the interactions between graphene and Cu substrates influence the orientation, quality, and properties of the synthesized graphene, we studied the interactions using angle-resolved photoemission spectroscopy. The evolution of both the Shockley surface state of the Cu(111) and the${\pi}$ band of the graphene was measured from the initial stage of CVD growth to the formation of a monolayer. Graphene growth was initiated along the Cu(111) lattice, where the Dirac band crossed the Fermi energy (EF) at the K point without hybridization with the d-band of Cu. Then two rotated domains were additionally grown as the area covered with graphene became wider. The Dirac energy was about -0.4 eV and the energy of the Shockley surface state of Cu(111) shifted toward the EF by ~0.15 eV upon graphene formation. These results indicate weak interactions between graphene and Cu, and the electron transfer is limited to that between the Shockley surface state of Cu(111) and the${\pi}$ band of graphene. This weak interaction and slight lattice mismatch between graphene and Cu resulted in the growth of rotated graphene domains ($9.6^{\circ}$ and$8.4^{\circ}$ ), which showed no significant differences in the Dirac band with respect to different orientations. These rotated graphene domains resulted in grain boundaries which would hinder a large-sized single monolayer growth on Cu substrates. -
투어멀린는
$XY_3Z_6(Si_6O_{18})(BO_3)_3$ 화학 방정식을 가진 광물로써 조성에 따라 alkali 투어멀린, calcic 투어멀린, X-site vacant 투어멀린 등으로 불린다. 투어멀린 특성과 화학성분에 따라 종을 구분하는데, 주된 종들은 엘바이트, 리디코타이트, 드라바이트, 우바이트, 숄이 있다. 일반적으로 적색 투어멀린의 발색 원인은 Mn, Fe 그리고 Cu의 함량에 따라 색상의 차이를 나타낸다. 본 연구에서 우리는 10MeV 에너지와$1{\times}10^{17}cm^2$ 조건에서 전자빔을 수행 한 후 투어멀린의 컬러 변화를 관찰하였다. 자외선-가시광선 분광분석결과 모든 시료는 전자빔 조사 후 530 nm의$Mn^{3+}$ 부근의 흡수 peak들이 증가하는 것이 관찰되었다. 이는$Mn^{2+}$ 에서$Mn^{3+}$ 이동 때문이여,$Mn^{3+}$ 는 Y-site에서 O(1)H-O(3)H 축에 따라 Jann-taller 변형으로 안정된 구조를 가지게 된다. 따라서 전자빔 조사 후 적색으로 변하게 되는 것이다. 또한 전자빔 조사 후 컬러가 모두 변했지만 상온에 뒀을 때 변화 된 컬러가 원래의 색으로 되돌아가는 향상을 보였다. 이는 전자빔 조사 후 전자가 튕겨져 나가서 불안정한 상태로 존재하고 있다가 상온의 열에 의한 에너지에 통해 다시 안정된 상태로 되돌아오는 결과로 볼 수 있다. 또한 우리는 WD-XRF를 통해 미량의 Mn 원소함량 차이에 따라 전자빔 조사 시 컬러 변화에 미치는 영향에 대해 확인할 수 있었다. 그리고 적외선 분광분석에서는 4,300-4,600$cm^{-1}$ 사이에 특징적인 밴드들이 관찰되었다. -
We prepared hydrophobic PDMS-coated porous silica as pre-concentration adsorbent for chemical warfare agents (CWAs). Since CWAs can be harmful to human even with a small amount, detecting low-concentration CWAs has been attracting attention in defense development. Porous silica is one of the promising candidates for CWAs pre-concentration adsorbent since it is thermally stable and its surface area is sufficiently high. A drawback of silica is that adsorption of CWAs can be significantly reduced due to competitive adsorption with water molecule in air since silica is quite hydrophilic. In order to solve this problem, hydrophobic polydimethylsiloxane (PDMS) thin film was deposited on silica. Adsorption and desorption of chemical warfare agent (CWA) simulants (Dimethylmethylphosphonate, DMMP and Dipropylene Glycol Methyl Ether, DPGEM) on bare and PDMS-coated silica were studied using temperature programed desorption (TPD) with and without co-exposing of water vapor. Without exposure of water vapor, desorbed amount of DMMP from PDMS-coated silica was twice larger than that from bare silica. When the samples were exposed to DMMP and water vapor at the same time, no DMMP was desorbed from bare silica due to competitive adsorption with water. On the other hand, desorbed DMMP was detected from PDMS-coated silica with reduced amount compared to that from the sample without water vapor exposure. Adsorption and desorption of DPGME with and without water vapor exposing was also investigated. In case of bare silica, all the adsorbed DPGME was decomposed during the heating process whereas molecular DPGME was observed on PDMS-coated silica. In summary, we showed that hydrophobic PDMS-coating can enhance the adsorption selectivity toward DMMP under humid condition and PDMS-coating also can have positive effect on molecular desorption of DPGME. Therefore we propose PDMS-coated silica could be an adequate adsorbent for CWAs pre-concentration under practical condition.
-
Noble metal silicides are widely used in silicon based microelectronic and optoelectronic devices. Among them, as compared to other silicides, structural and electronic properties of platinum silicide (PtSi) are found to be less sensitive to change in its dimensions. PtSi is known to overcome the junction spiking problems of Al-Si contacts. Present study is regarding the spatial evolution of platinum silicide in Pt/SiOx/Si. Scanning photoelectron emission microscopy (SPEM) was used for this purpose. SPEM images were obtained for pristine samples and after an annealing at
$500^{\circ}C$ for 1 hr. Core-level spectra were recorded at different points in SPEM images contrasted by the intensity of Pt 4f7/2. Both Pt 4f and Si 2p spectra reveal the formation of PtSi after annealing. However, in contrast to earlier reports, PtSi formation is found to be non-uniform confirmed by the SPEM images and from the core level spectra taken at different intensity points. -
친 환경적 소재인 ETFE (Ethylene Tetra Fluoro Ethylene)는 내화학성과 단열성을 가지며 탁월한 빛투과성, 자정효과 및 초경량 소재의 특성을 가진 열가소성 불소수지로서 서울시 신청사, Water Cube라고 많이 알려진 베이징 올림픽 수영 경기장 같은 대형 건축물의 외벽에 사용될 만큼 최근 세계 각국의 건축가들에게 각광을 받고 있다. 본 실험에서는 영구자석을 이용한 고밀도 플라즈마로 높은 점착성과, 균일한 박막 및 대면적 공정이 가능한 RF-magnetron sputtering방법으로 기판인 Slide glass위에 ETFE를 증착하여 접촉각의 변화와 구조적 및 광학적 특성에 대하여 측정하였다. 접촉각 측정결과 35w에서
$15^{\circ}$ 이하의 친수 특성을 나타내었고, 자외선 파장에서의 투과율 측정 결과 87% 이상의 높은 투과율을 나타내었다. AFM (Atomic Force Microscope) 측정결과 35 W에서 1.6 nm의 RMS (Root mean square)값이 측정 되었다. ETFE 특성은 비가 오면 자동으로 이물질이 씻겨 내려 주기적으로 청소를 해줘야하는 유리보다 유지관리비가 적게 될 것으로 사료되고, 높은 자외선 투과율을 보임으로 온실에서 균형 잡힌 식물 성장을 위한 화학약품의 소모를 줄여 줄 것으로 사료된다. -
가속기 건설과 운영 기반기술을 접목시켜 일반적인 진공 소재로 많이 사용되는 스테인리스강에 두꺼운 크롬산 피막을 형성하여 극 고진공용 우주환경 모사용으로 적용 가능한 표면처리에 대해서 알아보았다. 산 처리제를 사용한 부동태피막 형성 방법, 전해연마에 의한 방법, 전해연마 부동태 피막형성 후 다시 산 처리제에 침전하는 방법을 비교하였다. 본 발표에서는 인위적으로 강하고 두꺼운 크롬산 피막을 형성하는 방법을 제시하고 그 방법에 따른 크롬 산화층 두께 변화를 관찰하였다.
-
We elucidate the mechanism of the self-assembled organic layer formation at the organic/metal interface of hexaaza-triphenylene-hexacarbonitrile (HATCN)/Au(111) by first-principles calculations and Lowtemperature scanning tunneling microscope (STM). In this work, we used HATCN to deposit organic material which is well known as an efficient OLED charge generation material. Low-temperature STM measurements revealed that self-assembled hexagonal porous structure is formed at terraces of Au(111). We also found that the hexagonal porous structure has chirality and forms only small (<1000
$nm^2$ ) phaseseparated chiral domains that can easily change their chiral phase in subsequence STM images at 80 K. To explain the mechanism of these observation, we calculated the molecular-molecular and molecule-surface interaction energies by using density functional theory method. We found that the change of their chiral phase resulted from the competition between the two energies. These results have not only verified our experimental observations, but also revealed the delicate balance between different interactions that caused the self-assembed structures at the surface. -
The goals of this study are to elucidate the plasma effects on DNA molecules to apply some plasma based applications and also to find out the mechanisms of plasma-induced DNA damage in biomolecule. Nonthermal atmospheric pressure plasma has much potential for medical, agricultural and food applications for the future. The atmospheric pressure plasma jet (APPJ) contains radicals, charged particles, low energy electrons, excited molecules and UV light. It has been started doing experiments using APPJ at the early 21th. And some recent results showed that APPJ has a possibility to apply to new fields like mentioned above. But it is kind of at the very early stages of plasma based application. It is definitely necessary much of theoretical and experimental studies to further understanding to use nonthermal atmospheric pressure plasma in biomedical, agriculture and food parts. Here we introduce a new experimental system to study plasma effects on biomolecules. And we will show some recent results of LEE-induced DNA damage using electron irradiation apparatus under ultra-high vacuum.
-
Strain-relaxed SiGe layer on Si substrate has numerous potential applications for electronic and opto- electronic devices. SiGe layer must have a high degree of strain relaxation and a low dislocation density. Conventionally, strain-relaxed SiGe on Si has been manufactured using compositionally graded buffers, in which very thick SiGe buffers of several micrometers are grown on a Si substrate with Ge composition increasing from the Si substrate to the surface. In this study, a new plasma process, i.e., the combination of PIII&D and HiPIMS, was adopted to implant Ge ions into Si wafer for direct formation of SiGe layer on Si substrate. Due to the high peak power density applied the Ge sputtering target during HiPIMS operation, a large fraction of sputtered Ge atoms is ionized. If the negative high voltage pulse applied to the sample stage in PIII&D system is synchronized with the pulsed Ge plasma, the ion implantation of Ge ions can be successfully accomplished. The PIII&D system for Ge ion implantation on Si (100) substrate was equipped with 3'-magnetron sputtering guns with Ge and Si target, which were operated with a HiPIMS pulsed-DC power supply. The sample stage with Si substrate was pulse-biased using a separate hard-tube pulser. During the implantation operation, HiPIMS pulse and substrate's negative bias pulse were synchronized at the same frequency of 50 Hz. The pulse voltage applied to the Ge sputtering target was -1200 V and the pulse width was 80 usec. While operating the Ge sputtering gun in HiPIMS mode, a pulse bias of -50 kV was applied to the Si substrate. The pulse width was 50 usec with a 30 usec delay time with respect to the HiPIMS pulse. Ge ion implantation process was performed for 30 min. to achieve approximately 20 % of Ge concentration in Si substrate. Right after Ge ion implantation, ~50 nm thick Si capping layer was deposited to prevent oxidation during subsequent RTA process at
$1000^{\circ}C$ in N2 environment. The Ge-implanted Si samples were analyzed using Auger electron spectroscopy, High-resolution X-ray diffractometer, Raman spectroscopy, and Transmission electron microscopy to investigate the depth distribution, the degree of strain relaxation, and the crystalline structure, respectively. The analysis results showed that a strain-relaxed SiGe layer of ~100 nm thickness could be effectively formed on Si substrate by direct Ge ion implantation using the newly-developed PIII&D process for non-gaseous elements. -
외부 Ballast Capacitor를 이용한 Voltage Doubler 전원장치를 이용하여 Micro size의 대기압 플라즈마를 발생장치를 개발하였다. 2개의 외부 Capacitor를 병렬로 연결하여 충전한 다음 외부 Capacitor를 직렬로 연결하여 전압을 2배압 시킨 상태에서 방전이 일어나도록 하였다. Capacitor의 충 방전 제어는 Switch Device인 Insulated Gate Bipolar Transistor (IGBT)를 사용하였다. 개발된 대기압 플라즈마는 외부 Capacitor와 인가전압을 독립적으로 변화시킬 수 있기 때문에 방전 시 전류 전압을 독립적으로 제어할 수 있으며 용도에 따라 Glow 방전에서 Arc 방전까지 제어가 가능하다. 본 연구에서는 900 V의 1.22 nF 외부 Capacitor 방전과 400 V의 10 nF 외부 Capacitor 방전을 비교하였다. 방전 시 전압파형과 전류파형은 서로 다르지만 소비된 방전에너지는 340
${\mu}J$ 로 동일하다. ICCD camera와 Spectrometer를 이용하여 비교 분석을 실시하였다. 방전 image 및 Optical Emission Spectroscopy 분석을 이용하여 플라즈마의 온도, 밀도 등을 시간적, 공간적으로 분석하였다. -
현재 산업에서 상압 플라즈마는 생물의학, 표면처리, 용접 및 절단, 화학적 오염제거 등 여러 분야에서 각광받고 있으며 그 잠재력 또한 매우 크다. 통상적으로 글로우 방전은 생물의학, 표면처리, 화학적 오염제거 등에 주로 쓰이고 아크 방전은 용접 및 절단에 응용된다. 이처럼 상압 플라즈마는 여러 가지 방전으로 분류되고 그 특성에 맞게 응용되고 있는데 이러한 산업 여러 분야에 적절히 응용하기 위해서는 각 플라즈마에 대한 진단과 특성 분석이 선행적으로 이루어져야 한다. 또한, 전원회로의 특성에 따라 플라즈마 특성에 어떤 영향을 미치는지에 대한 연구도 매우 활발히 진행되고 있다. 본 연구에서는 침 대 면 전극구조에서 고전압 dc전원이 RC시정수에 따라 repetition frequency가 변하는 전원 회로의 여러 parameter에 따라 스트리머-스파크 방전의 전기적 특성이 어떻게 변하는지 연구한다. 또한, 시뮬레이션을 통해 실험에 대한 예측, 비교를 목표로 한다.
-
Characteristics of Linear Microwave Plasma Using the Fluid Simulation and Langmuir Probe DiagnosticsMicrowave는 일반적으로 300 [MHz]~30 [GHz] 사이의 주파수를 가지는 전파로 1 [m] 이하의 파장을 가진다. Microwave를 이용한 플라즈마의 경우 낮은 이온 에너지, 효율적인 전자 가열, 넓은 동작압력 범위, 높은 밀도 등의 장점을 가지고 있어 PECVD(Plasma Enhanced Chemical Vapor Deposition)에 적합한 플라즈마 소스라고 할 수 있다. 또한 Microwave는 파장의 길이가 증착이 이루어지는 진공 챔버의 길이보다 매우 작기 때문에 대면적 적용성이 용이하므로 현재 많은 연구가 이루어지고 있다. 본 연구에서는 Fluid Simulation을 통해 Maxwell's equation, continuity equation, electromagnetic wave equation 등을 이용하여 Microwave의 파워 및 압력에 따른 플라즈마 parameter를 계산하고, 자체 제작한 Linear microwave plasma 장치에서 정전 탐침(Langmuir Probe)을 이용하여 플라즈마 Parameter를 측정하였다. 또한 Simulation 결과와 실험결과를 비교 분석하였다.
-
저온 플라즈마는 물리적인 연구로부터 사용되는 DC glow 방전에서 반도체 공정장비에 이르기까지 많은 분야에 사용되고 있다. 이러한 플라즈마 연구 및 응용은 기본적인 플라즈마 진단에 바탕을 두고 있다. 특히 플라즈마의 전자밀도, 전자온도, 플라즈마 Potential 등은 공정에 중요한 변수이다. 이러한 플라즈마 변수들을 측정하기 위해서 일반적으로 Langmuir probe를 많이 사용하고 있다. 최근에는 Cutoff probe에 대한 연구도 많이 진행되고 있다. 본 연구에서는 두 가지 탐침측정방법을 통해 플라즈마변수를 진단한다. 그리고 각각의 진단방법에 대한 장단점을 실증적으로 비교하고 검증하며, 그 결과에 따라 탐침의 구조를 개선한다. 또한, 전자에너지 분포함수(EEDF)도 S/W, H/W적으로 분석을 하였다.
-
플라즈마 시뮬레이션을 수행하기 전에 현 컴퓨터의 계산 능력범위 내에서 물리적으로 타당하게 설명할 수 있는 수치 해석 방법을 먼저 정한다. 예를 들면, 가스 반응이 많고 각 가스 반응 중에 중요가스 반응을 빠르고 선택적으로 선별하고자 할 때, 혹은 외부 입력 변화에 따른 플라즈마 종의 온도 또는 밀도를 대략적으로 파악하고자 할 때는 공간적인 분포를 고려하지 않는 0차원 global 모델링을 이용한다. 압력이 높고 충돌이 빈번한 경우에는 플라즈마를 유체적인 관점에서 기술이 가능하므로, 볼츠만 방정식에서 속도에 관한 0차, 1차, 2차 모멘텀을 이용하여 유도된 유체 방정식을 이용한다. 반대로 압력이 낮고 충돌이 거의 없는 경우에는 플라즈마 입자를 개별적으로 추적하는 입자 전산 모사 방법을 이용한다. 지금까지는 앞에서 언급한 예와 같이, 개별 플라즈마 상태에 맞는 시뮬레이션 코드를 각각 만들어야 했고, 각 코드를 개별적으로 유지 보수해야 했다. 하지만, 개별적으로 코드를 유지 보수를 해야 할 경우에는, 동일한 기능을 하는 함수를 반복적으로 각 코드에 입력해야 하는 불편함이 따르고, 각 수치해석 방법의 장점을 모은 하이브리드 방법과 같은 전사모사를 개발할 때 각 기능을 통합해야 하는 어려움이 따른다. 또한 지금까지 개발된 대부분의 플라즈마 코드는 외부 입력에 대해 유연하지 못한 대처로 새로운 가스 반응을 추가하거나 새로운 수치해석 방법을 추가할 경우에는 코드를 전체적으로 수정해야 하는 어려움이 있었다. 따라서 코드를 통합적으로 관리할 수 있고, 외부 입력에 대해 유연하게 대처할 수 있는 시뮬레이터가 필요했다. 여기에서는 객체 지향 언어인 C++ 언어를 이용하여, 사용자 입력에 대해 유연하게 대처할 수 있고, 복잡한 화학 반응을 특정 수치 해석 방법에 상관없이 통합적으로 관리할 수 있는 코드를 개발하였다.
-
플라즈마 시뮬레이션을 이용하여 디스플레이 공정용 안테나 유도 결합 플라즈마 시스템에서의 플라즈마 변수들에 대한 공간분포를 살펴 보았다. 디스플레이 공정용 챔버 규격은 8세대, 안테나의 turn수는 4turn을 기본으로 하여 안테나 코일의 분할을 각각 4개 분할, 9개 분할로 구분하여 시뮬레이션을 진행한 결과 안테나 배치의 차이에 의한 플라즈마 밀도, 온도, 전위차의 공간분포의 균일도의 차이를 확인 할 수 있었다.
-
고분자유기물로 사용되는 발광층에 탄소나노튜브를 합성하여 AC로 구동되는 고분자유기물소자를 제작하였다. 고분자유기물소자는 총 4개의 층(ITO/CRS/탄소나노튜브를 함유한 MEH-PPV/Al)으로 구성하였다. ITO가 코팅된 유리기판 위에 발광층을 보호하는 역할을 하는 절연층[cyanoethyl pullulan(CRS)], 유기발광물질인 poly[2-methoxy-5-(2-ethyl-hexyloxy)-1,4-phenylene-vinylene](MEH-PPV)에 탄소나노튜브의 함량을 조절하여 발광층으로 사용하였으며, 절연층과 발광층은 스핀코우터를 이용하여 증착하다. 마지막으로 thermal evaporator을 이용하여 Al을 증착하였다. 고분자유기물소자는 발광층에 함유된 탄소나노튜브에 함량에 따른 전압, 전류 그리고 밝기 특성을 분석하였다. 탄소나노튜브가 0.015wt% 함유된 고분자유기물소자에서 최대 밝기 특성과 낮은 소비전력을 얻을 수 있었다. 고분자유기물에 탄소나노튜브를 합성된 효과를 알아보기 위하여 임피던스분석을 통하여 고분자유기물소자의 저항, 캐패시턴스, 기생저항을 알아보았다. 고분자유기물소자의 캐패시턴스의 변화는 탄소나노튜브와 고분자 유기물(polymer-CNT matrix) 에서 생성되는 블록들이 매우 얇은 유전층을 구성할 것으로 예상되며 이는 micro-capacitance로 고분자유기물소자의 구동에 영향을 미치는 것으로 예상된다. AC구동 고분자유기물소자에 탄소나노튜브를 함유하여 높은 효율을 얻을 수 있는 장점으로 차세대 디스플레이나 조명으로 탄소나노튜브의 쓰임새를 기대해 본다.
-
테슬라 코일은 니콜라 테슬라가 고안한 변압기로, 교류 아크 방전을 통한 플라즈마의 발생을 관찰할 수 있는 기기이다. 고전압 트랜스를 사용해 저전압을 고전압으로 변환하고, 변환된 에너지를 콘덴서에 충전시켜 스파크 갭 사이에 절연파괴를 일으켜 아크 방전을 통한 플라즈마를 발생시킨다. 이 때 콘덴서와 1차코일 사이에 폐회로가 형성되고, 1차 코일과 2차 코일 사이에 전자기장이 생긴다. 공진주파수가 맞을 때 만들어진 전자기장으로 에너지가 전달되고, 2차 코일에서 증폭된 에너지는 충전된 탑로드 끝에서 아크 방전을 통하여 플라즈마의 형태로 방출된다. 본 프레젠테이션에서는 한동글로벌 학교 고등학생들이 50시간에 걸쳐 직접 제작한 테슬라 코일의 자세한 스펙, 만든 부품들과 그를 만드는 데 사용한 물품들, 설계도들, 날짜별로 기록한 테슬라 코일의 자세한 제작 과정과 후기, 만든 테슬라 코일의 작동과 이를 이용한 추가적인 실험 내용을 발표하고자 한다.
-
산업 및 기술의 발전에 의해 많은 신소재들이 개발되고 있다. 그 중에서 SiC는 고온재료, LED, 반도체 등의 우주선 표면재료, 핵융합로 구조재료, 고온 씰, 히터 등 여러 산업분야에서 관심을 가지면서 다양한 가스를 이용한 합성법이 개발되어 있다. 최근에는 분말의 형태 및 크기를 용도에 맞게 개발해서 사용하고 있는 상황이다. 그런데 각 합성법에 따른 합성원리에 대해서는 여러 가지 주장이 있다. 그 중에서 몇 가지 합성법에 대해서 고찰하고 합성의 원리를 추론한다. 그리고 그 중의 한 가지인 CH3SiCl3 가스를 이용한 SiC 나노분말 합성과 SiC의 결정성장 과정에서 나타나는 whisker의 형성을 확인했다. 정교한 SiC 분말합성은 일반적으로 sol-gel, 플라즈마(DC, AC 및 ICP 등) 등을 이용한 방법이 개발되어 있다. 이와 같이 정교한 SiC 나노분말 등은 실리콘 유기 화합물 중합체(trichloromethylsilane, polycarbosilane 등)의 열분해를 통해 합성 할 수 있으며, 열분해 는 약 1,000{\sim}1,500^{\circ}C의 온도 영역에서 일어난다. 이 과정에서 고분자의 열분해 및 재결합 이 동반되고 부산물로서 HCl, CH4 등의 유해가스를 같이 생성한다. 합성된 SiC 나노분말은 전형적인
${\beta}-SiC$ 로 XRD의 관찰결과 (111), (220), (311)의 방향성을 갖는 것을 확인했으며 평균입자의 크기는 약 30 nm 정도다. -
본 연구에서는 gas를 이용한 PECVD 공법중 이온화 에너지가 높고 대면적 코팅이 용이한 Hybrid 코팅 장비에서 Linear Ion-Gun 이용하여 탄화수소 계열의 gas인
$C_2H_2$ 와 Si을 함유한 TMS (tetramethylsilane,$Si(CH_3)_4)$ gas를 이용하여 저마찰, 고경도 특성을 갖는 Si-DLC 코팅에 대한 연구를 수행하였다. Si-DLC 코팅에 앞서 전처리 공정으로 Linear Ion-Gun에 Ar gas를 주입하고 고전압의 DC 전원을 인가하여 제품 표면의 건식세정 및 표면 활성화를 진행 후,$C_2H_2$ 와 TMS gas를 Linear Ion-Gun에 주입하여 Si-DLC 코팅 공정을 진행하였다. Si-DLC 코팅시$C_2H_2$ gas 주입량을 고정하고 TMS 가스 유량을 5~20sccm으로 조절하여 Si 함유량에 따른 Si-DLC 코팅막의 특성을 분석하였다. 이렇게 코팅된 Si-DLC의 박막 특성 분석으로 마찰계수 측정을 위해 ball-on-disk 타입의 tribometer를 사용하였으며, 박막 경도 측정은 Nano-indenter를 이용하여 분석을 진행하였다. 그 결과 Si을 포함하지 않는 DLC의 경우 마찰계수가 ~0.2를 가지는 반면, Si-DLC의 경우 Si 함유량이 약 1.5at%일 때, 마찰계수 ~0.04 저마찰의 우수한 특성을 지니며, 박막의 경도는 22[Gpa]로 고경도의 Si-DLC 코팅을 확인할 수 있었다. -
최근에 우수한 광학적 특성과 높은 화학적 안정성을 갖는 적황색 형광체 개발에 많은 노력이 경주되고 있다. 본 연구에서는 고상반응법을 사용하여 모체 결정
$YNbO_4$ 에$Eu^{3+}$ 와$Dy^{3+}$ 이온의 농도를 각각 체계적으로 치환 고용하여 발광 효율이 높은 적색과 황색 형광체를 제조하였다. 특히,$Eu^{3+}$ 와$Dy^{3+}$ 이온의 농도를 달리하여 합성한 형광체 분말의 결정구조, 표면형상, 흡광과 발광 스펙트럼을 비교 분석하여 최적의 이온 농도를 조사하였다. 합성된 형광체 분말의 회절상은$Eu^{3+}$ 와$Dy^{3+}$ 이온의 함량비에 관계없이 모든 형광체 분말 시료는 약$28,6^{\circ}$ 에서 최대값을 갖는 (021)면에서 발생하였고, 형광체 분말은 JCPDS #72-2077에 제시된 회절상과 일치하는 단사정계 결정 구조임을 확인 하였다.$Dy^{3+}$ 이온의 함량비가 0.01 mol에서 주 회절 피크의 세기는 최대이었으며, 함량비가 더욱 증가함에 따라 회절 피크의 세기는 점점 감소하였다. 이에 반하여,$Eu^{3+}$ 가 도핑된 형광체는 함량비가 0.15 mol일때 최대 회절피크가 관측되었다.$Dy^{3+}$ 이온이 도핑된$YNbO_4$ 형광체의 경우에 두 종류의 흡광 스펙트럼이 관측되었다. 첫째는 약 267 nm를 피크로 하여 230~300 nm 영역에 걸쳐 폭넓게 분포하는 흡광 스펙트럼이고, 두 번째는 약 356, 393, 456 nm에 피크를 갖는 상대적으로 세기가 약하고 밴드폭이 좁은 흡광 스펙트럼이 관측되었다.$Eu^{3+}$ 이온이 도핑된 형광체 분말의 주 흡광 스펙트럼은 약 270 nm에 피크를 갖는 폭넓게 분포하는 전하전달 밴드이었다.$YNbO_4$ 형광체 분말의 발광 스펙트럼은$Eu^{3+}$ 이온이 도핑된 경우에 620 nm에 강한 세기를 갖는 적색 발광이 관측되었고,$Dy^{3+}$ 이온이 도핑된 경우에는 580 nm에 최대 발광세기를 갖는 황색 발광 스펙트럼이 나타났다. -
사이클로트론에서 가속된 70 MeV 양성자 빔은 stripper에서 인출된 후 ISOL 표적에 조사하게 된다. 이 때 희귀동위원소를 생산하기 위한 ISOL 표적의 온도는 평균
$2,000^{\circ}C$ 5%로 유지하여야 한다. 사용하고자 하는 원판형태의 우라늄 표적은 직경이 45 mm 이므로 50 mm 이상의 균일한 양성자 빔이 필요하다. 본 연구에서는 stripper에서부터 ISOL 표적 까지 빔전송에 필요한 최적 조건을 연구하였다. 총 길이는 15 m 이며 두 개의 dipole을 사용하여 빔을 90도 전환시키며 3개의 4중극전자석을 사용하였다. ISOL 표적에 균일한 빔을 조사하기 위해 wobbling 방법을 활용하여 직경 50 mm 의 균일빔을 발생하고자 한다. 빔 전송 프로그램 TRANSPORT을 이용하여 stripper에서 wobbler 전까지의 빔 광학을 계산한 결과를 보고자 하고 TRANSPORT의 결과를 TURTLE 프로그램에 적용시켜 ISOL 표적에서의 균일도를 5% 미만으로 유지하는 최적조건을 발표한다. -
TCO (Transparent Conductive Oxide)는 투명 전도성 산화물 높은 투과율과 낮은 비저항 가지고 있어서 최근 사용된 평판디스플레이 LCD(liquid crystal display), PDP (Plasma Display Panel), OLED (Organic Light Emitting Display) 에 많이 사용되고 있다. 현재 양산화 되고 있는 ITO (Indium tin Oxide)는 좋은 전도율과 높은 투과율로서 가장 많이 쓰인다. 하지만 ITO중에 Indium Oxide는 치명적인 독성을 가지고 있으며 In의 저장량이 적어 시간이 갈수록 가격이 비싸지는 등 여러 가지 단점을 가지고 있다. 그것에 비해 AZO (aluminum-doped zinc oxide)는 독성이 없고 가격도 저렴하여 ITO의 단점을 보완 할 수 있는 물질이다. AZO 증착은 현재 sol-gel, CVD(chemical vapor deposition), Sputter, 등으로 사용되고 있으며 현재 많은 연구가 진행되고 있다. 본 실험에서는 PEN 기판을 사용하였으며, 플라즈마의 열적 데미지로 인한 기판의 변형 등 여러 가지 문제를 해결하기 위하여 박막의 열적 변형이 적고, 고밀도 플라즈마로 양질의 박막 증착이 가능한 FTS (Facing Target Sputtering)방법을 사용하여 AZO박막을 증착시키고 구조적, 전기적, 광학적인 특성을 평가 하였다. 측정 분석 결과 AZO는 가시광 영역에 높은 투과율이 요구되는 Flexible display 표시장치와 OLED, PDP, 유기태양전지 등 많은 영역에 사용이 가능 할 것이라 사료된다.
-
Property of optical diagnostics for pulse-discharged plasma in liquid and its biological applications to proteins are investigated by making use of high voltage Marx generator. The Marx generator has been consisted of 5 stages, where each charging capacitor is 0.5
${\mu}F$ , to generate a high voltage pulse with rising time of$1{\mu}s$ . We have applied an input voltage of 6 kV to the each capacitor of 0.5${\mu}F$ . High voltage pulsed plasma has been generated inside a polycarbonate tube by a single-shot operation, where the breakdown voltage is measured to be 7 kV, current of 1.2 kA, and pulse width of ~ 1${\mu}s$ between the two electrodes of anode-cathode whose material is made of tungsten pin, which are immersed into the liquids. We have investigated the emitted hydrogen lines for optical diagnostics of high voltage pulsed plasma. The emission line of 656.3 nm from$H-{\alpha}$ and 486.1 nm from$H-{\beta}$ have been measured by a monochromator. If we assumed that the focused plasma regions satisfy the local thermodynamic equilibrium conditions, the electron temperature and density of the high voltage pulsed plasma in liquid could be obtained by the Stark broadening of optical emission spectroscopy. For the investigation of the influence of pulsed plasma on biological proteins, we have exposed it onto the proteins such as hemoglobin and myoglobin. The structural changes in these proteins and their analysis have also been obtained by circular dichroism (CD) and ultraviolet (UV) visible spectroscopy. -
산화물 반도체는 가시광선영역인 380~780nm에서의 투과율이 80% 이상이고, 3.2eV 이상의 밴드갭과 높은 이동도를 가지는 물질로 투명하고 휘어지는 디스플레이에 전도유망한 물질로 연구되고 있다.
$10cm^2/V{\cdot}s$ 이상의 이동도를 확보하기 위해 IGZO에서 Ga대신 Sn을 첨가한 ITZO 산화물 반도체에 대한 연구가 되고 있다. 본 연구에서 ITZO 산화물 반도체 박막 증착 시 가장 중요한 특성으로 알려진 산소의 영향에 따른 광학적 특성을 알아보기 위한 실험이다. RF 마그네트론 스퍼터링을 이용하여 산소 가스 분압에 따라 ITZO 박막을 증착하였다.$(Ar+O_2)$ 의 합을 20으로 고정하고$O_2/(Ar+O_2)$ 의 비율을 0~40%까지 가변하였고,$O_2$ 의 비율이 증가함에 따라 증착율은 감소하는 경향을 보였다. 투명 소자로서의 가능성을 판단하기 위하여 밴드갭과 투과도를 측정하였다. 광학적 밴드갭은 증착 시 산소 분압이 0%에서 40%로 증가할수록 3.46eV에서 3.32eV로 감소하였고, 또한 투과도가 가시광 영역(380~770nm)에서 87%에서 85% 감소하였다. In, Sn, Zn 의 금속 원자와의 결합 과정에서 산소의 빈자리가 줄어들어 전도도가 감소하여 광학적 밴드갭이 감소함에 따라 투과도가 감소하는 것을 확인하였다. -
Conventional ultraviolets A,B,C are known to be very important factor of killing, changing surface properties of biological cells and materials. It is of great importance to investigate the influence of extreme ultraviolet (EUV) exposure on the biological cell. Here we have studied high density EUV plasma and its emission characteristics, which have been generated by plasma focus device with hypercycloidal pinch (HCP) electrode under various Ar gas pressures ranged from 30~500 mTorr in this experiment. We have also measured the plasma characteristics generated from the HCP plasma focus device such as electron temperature by the Boltzman plot, plasma density by the Stark broading method, discharge images by open-shuttered pin hole camera, and EUV emission signals by using the photodiode AXUV-100 Zr/C.
-
Cylindrical Rotating Magnetron Sputtering Cathode (이하 Rotary Cathode)는 기존에 사용 되던 rectangular type 보다 Target 사용 효율이 높다는 큰 이점을 가지고 있다. 높은 Target 사용 효율은 비용 절감 효과와 직접적으로 관련 된다. 이번 연구는 3D Plasma simulation(PIC-MCC)을 통한 Target 사용 효율 80% 이상의 Rotary Cathode 개발을 목적으로 한다. Plasma simulation에 External Magnetic fields를 접목하여 Electron의 이동 궤적을 제어하였고, 생성된 Ion (Ar+)의 밀도 및 속도로 Plasma의 안정성과 Erosion 계산 구간을 선정 하였다. Target Erosion Profile은 Sputtering yield Data와 Target에 충돌한 Ion 정보를 사용하여 산출 하였으며, Sputtered Particles의 Deposition Profile은 계산된 Target Erosion Profile과 The cosine law of emission을 이용하여 계산 하였다. 실험 조건은 Plasma simulation의 초기조건 바탕으로 하여 2G size의 ITO Target을 대상으로 실험 하였다. 비 Erosion 영역 최소화하기 위해 Magnet Length를 변경하여 제작 적용 하였다. Simulation 계산 시간의 제약으로 인하여 simulation에서 생성된 최대 이온 밀도는 일반적으로 알려진 값 보다 적게 계산 되었지만, Simulation으로 예측한 Erosion Profile 및 Deposition Profile은 실험 값과 유사한 형태를 나타났으며, 실험 결과는 Target 사용 효율 80%이상의 결과를 보였다.
-
A large-area RF-driven ion source is being developed at Germany for the heating and current drive of ITER device. Negative hydrogen ion sources are major components of neutral beam injection (NBI) systems in future large-scale fusion experiments such as ITER and DEMO. The RF sources for the production of positive hydrogen ions have been successfully developed at IPP (Max-Planck-Institute for Plasma Physics), Garching, for the ASDEX-U and W7-AS neutral beam heating systems. Ion sources of the first NBI system (NBI-1) for the KSTAR tokamak have been developed successfully with a bucket plasma generator based on the filament arc discharge, which have contributed to achieve a good plasma performance such as 15 sec H-mode operation with an injection of 3.5 MW NB power. There is a development plan of RF ion source at the KAERI to extract the positive ions, which can be used for the second NBI system (NBI-2) of the KSTAR and to extract the negative ions for future fusion devices such as Fusion Neutron Source and Korea-DEMO. The development progresses of RF ion source at the KAERI are described in this presentation.
-
Kim, Seong-Jun;Kim, Su-In;Kim, Dong-Uk;Kim, Ju-Yeon;Lee, Eun-Hyeok;Sin, Dong-Hun;Lee, Chang-U 182.1
Silver (Ag)는 높은 반사율을 가지고 있어 Top-Emission Organic Light Emitting Diode (T-OLED)의 반사전극으로 사용하기 적합하지만 일함수가 낮은 단점 (4.3 eV)을 가지고 있다. 이런 낮은 일함수를 증가시키기 위하여 Ag 박막 표면을 산화시켜 일함수를 증가시키기 위한 연구가 진행중에 있으며, 이 연구에서는 UV로$O_3$ 을 발생시켜 Ag 박막 표면을 산화시키기 위한 연구를 진행하였다. 특히, Ag 박막 표면의 일함수 변화를 측정하기 위하여 SPM (Scanning Probe Microscopy)의 KPFM (Kelvin Probe Force Microscopy) mode를 적용하여 nano 영역에서의 일함수 변화를 surface potential로 측정하여 UV 표면 산화에 의한 표면 일함수 형상을 확인하였다. Ag 박막은 rf magnetron sputter를 사용하여, Si 기판위에 300nm 두께로 증착시켰다. 이후$O_3$ 발생되는 UV 램프로 Ag 박막 표면 30초 간격으로 최대 5분간 산화시켰으며, 이후 KPFM mode를 사용하여 산화 시간에 따른 Ag 박막 표면의 potential 변화를 측정하였다. 0~3분간 산화된 Ag 박막 표면의 potential은 약 6 mV로 일정하였으나 3분 이후 최대 110 mV까지 급격하게 변화하는 것을 확인할 수 있었다. Ag 박막 표면의 RMS roughness는 UV 산화처리 전0.7 nm였으나, potential이 급격하게 증가하는 시점인 3분 이후 2.83 nm로 약 400% 이상 증가하였다. 이를 통해$O_3$ 발생 UV 램프로 산화된 Ag 박막의 표면 물성은 처리 시간에 따라 급격히 변하는 것을 확인하였다. -
최근 산화아연이나 산화주석을 기반으로 한 산화물 박막 트랜지스터의 연구가 활발히 진행중이다. 2004년 Hosono 그룹에서 비정질 InGaZnO (IGZO) 박막을 이용한 TFT소자 제작을 보고하고 우수한 특성을 확인 후 산화물 TFT 소자기술에 대한 전 세계적인 연구개발의 발판이 마련되었다. 그러나 다성분계 화합물로 이루어진 산화물 반도체의 경우 복잡한 성분 조합과 조절이 어렵고, 장비의 제약으로 상용화에 어려움을 겪고 있다. 산화아연의 경우 증착시 쉽게 결정화가 이루어져 대면적 균일성을 확보하기 어렵고, 결정립계에 의한 이동도 저하, DC 신뢰성 저하의 문제가 발생한다. 이에 비해 산화주석의 경우 증착공정에 따라 비정질상과 결정립상을 조절할 수 있다. 하지만, 현재까지 발표된 산화주석 기반의 박막 트랜지스터는 내부 캐리어의 조절이 상대적으로 어려운 단점이 보고되었다. 본 연구에서는 산화 주석기반의 박막 트랜지스터를 제작하고 이에 Zr이온을 도핑하여 소자 특성을 개선시키고 동작모드를 조절하는 연구를 진행하였다. Bottom gate 형식의 ZrSnO TFT를 제작하였고 전이 특성을 살펴본 결과 Zr의 함량이 늘어날수록 이동도는 감소하는 경향이 나타났다. 또한 Zr의 미량 함량에도 불구하고 산소결핍에 의한 캐리어 생성을 억제하여, 소자 특성을 공정조건에 따라 조절할 수 있는 가능성을 확인 했다.
-
70 MeV 사이클로트론의 인젝션 빔 라인은 Multi-CUSP 이온원에서 인출된 H- 빔을 펄스 또는 번칭하여 인플렉터를 통해 사이클로트론의 가속영역인 Dee로 전송하는 역할을 한다. 이 때, 빔을 번칭 시킴으로써 가속효율을 높이고, 손실을 줄여 높은 전류의 빔을 공급할 수 있도록 해야한다. 인젝션 시스템은 einzel lens, chopper, buncher, solenoid 등으로 구성된다. Einzel lens는 빔을 buncher의 중심으로 집속시켜 buncher의 번칭 효율을 높이고, buncher는 전기장을 이용하여 빔을 진행방향으로 집속시키는 기능을 갖는다. Chopper는 번칭된 빔을 일정 주기로 편향을 시켜 펄스 빔의 형태로 전송하는 역할을 한다. 솔레노이드는 적절한 자기장을 이용하여 빔을 집속시켜 인플렉터로 전송한다. 본 연구에서는 사이클로트론의 고전류 인젝션 시스템을 구축하고 각각의 구성요소에서 빔 envelope를 계산하고 비교하였다. SIMION code는 user가 지정한 특성을 가진 개별 입자의 궤도를 추적하는 프로그램으로 인젝션 시스템을 구성하는 각각의 컴포넌트에서의 입자의 진행모습과 buncher를 이용하여 빔의 전송 밀도가 향상됨을 확인하였다. 아울러 TRANSPORT 및 TURTLE 프로그램을 이용하여 SIMION을 통해 계산된 빔의 envelope과 비교하였다.
-
저항이 낮고 투과도가 일정한 투명전도막(TCF)의 내구성을 향상 및 유지 시키는 연구는 상업화에 가장 필요한 연구 분야이다. 그 중 탄소나노튜브(CNT)와 실버나노와이어(AgNW)를 섞어 만든 CNT-AgNW는 우수한 광투과성과 내화학성 및 균일한 전기 전도성을 갖고 있고 그 기반의 투명전도막은 기존의 ITO 및 CNT 박막보다 우수한 유연성을 갖기 때문에 차세대 플렉시블 디스플레이 소재로서 많은 관심을 모으고 있다. 본 연구는 PET를 이용한 CNT-AgNW로 제작된 투명전도막 위에 물성 및 두께 따른 하드오버 코팅을 통한 내구성 개선 및 유지를 연구하였다. 하드오버 코팅 물질로는 실로콘계 하이브리드 투명 하드코팅 소재를 기본으로 하고 용매 및 용질의 합성 온도를 제어하고 코팅막의 두께(Thin, Thick)를 조절을 통해 내구성 개선을 증진시키려 하였다. 연구결과 물성 향상에 가장 많은 영향을 끼치는 것은 CNT-AgNW 코팅층과 하드오버 코팅층과의 젖음성으로, 그 젖음성이 증가할수록 투과도 및 전기전도도가 향상되는 것을 관찰하였다. 분석 결과, 용매의 비점 및 비중, 용질의 합성 온도가 하드오버코팅 젖음성에 가장 많은 영향을 주는 것을 확인하였다. 또한 항온 항습(
$85^{\circ}C/85%$ ) 환경에서 240시간 이상 내구성 테스트 결과 하드오버코팅 물질 중 고온합성 및 고비점 용매를 이용하고 thick 조건이 Thin조건보다 투명전도성 평가 지수(${\sigma}DC/{\sigma}OP$ )가 향상 되었다. 또한 Thin에서 면저항(${\Omega}/{\square}$ ) 변화율이 10% 이상을 보인 반면, Thick에서는 10% 이내의 변화율 유지를 확인하였다. -
It is generally known that electron beam has sterilization effects and can activate plant germination and growth. Compared to electron beam, electrical pulse has not been frequently studied with respect to the biological application. In this study, we have analyzed the effects of high voltage pulse on seed germination and growth using various plant species. We have used the high voltage generator for examining seed's responses to the high voltage pulse. The operating voltage and currents of the generator are about 300 kV and 30 kA, respectively. Pulse width is 60 ns. High voltage pulse has slightly activated germination and growth of radish during early stage. Various levels of germination and growth are observed in different plant species after treated with high voltage pulse.
-
대기압 플라즈마와 생체용액과의 상호작용은 Bio-medical 분야에서 주목 받고 있다. 대기압 플라즈마는 전자온도가 고온 플라즈마 보다 상대적으로 낮기 때문에 생체에 적용하기가 적합하다. 따라서 플라즈마가 세포에 미치는 영향을 관측하기 위해서 대기압 플라즈마를 이용하여 생체용액과의 반응을 살펴보고자 한다. Ar gas를 이용하여 플라즈마를 발생시켜 생체용액 표면을 처리하고 OES (Optical Emission Spectroscopy)을 이용해 방출 선을 조사했다. Ar 기체를 이용한 대기압 플라즈마를 사용하여 다른종류의 용액내의 OH Radical Density를 측정하였다. 용액으로는 DI (deionized) water 와 PBS (1x phosphate buffered saline)를 사용하였다. Ar gas를 200 sccm (
$cm^3/min$ ) 으로 흐르게 하였을 때, DI water의 OH Radical Density 는$4.33{\times}10^{16}cm^{-3}$ 으로 측정되었으며, 자외선 흡수분광법으로 측정한 완충용액인 PBS의 OH Radical Density 측정값은$1.87{\times}10^{16}cm^{-3 }$ 이다. 이런 특성을 기반으로, PBS 용액내의 H460 (Lung Cancer Cell) 와 L132 (Lung Normal Cell)을 깊이와 시간에 따라 대기압 플라즈마로 처리하여 cell의 변화를 보았다. 실험 각각의 조건은 깊이를 2 mm, 4 mm, 6 mm이며 시간은 10 sec, 30 sec, 60 sec 로 설정하였다. 표면으로부터의 깊이가 2 mm, 4 mm, 6 mm 일때 의 OH Radical Density는 각각$1.87{\times}10^{16}cm^{-3}$ ,$0.5{\times}10^{16}cm^{-3}$ , 0으로써 용액이 깊어질수록 OH Radical Density가 감소함을 볼 수 있다. OH radical density가 높은 2 mm 에서, 처리한 시간이 길어질수록 Cell 은 영향을 많이 받음을 관찰 할 수 있었다. H460 이 L132 보다 플라즈마에 영향을 많이 받음을 확인하였다. 특성변화를 알아보기 위하여 raman spectroscopy, flow cytometry, electron spin resonance로 측정한다. -
Titanium dioxide (TiO2) is a wide bandgap semiconductor possessing photochemical stability and thus widely used for photocatalysis. However, enhancing photocatalytic efficiency is still a challenging issue. In general, the efficiency is affected by physio-chemical properties such as crystalline phase, crystallinity, exposed crystal facets, crystallite size, porosity, and surface/bulk defects. Here we propose an alternative approach to enhance the efficiency by studying interfaces between thin TiO2 layers to be stacked; that is, the interfacial phenomena influencing on the formation of porous structures, controlling crystallite sizes and crystallinity. To do so, multi-layered TiO2 thin films were fabricated by using a sol-gel method. Specifically, a single TiO2 thin layer with a thickness range of 20~40 nm was deposited on a silicon wafer and annealed at
$600^{\circ}C$ . The processing step was repeated up to 6 times. The resulting structures were characterized by conventional electron microscopes, and followed by carrying out photocatalytic performances. The multi-layered TiO2 thin films with enhancing photocatalytic efficiency can be readily applied for bio- and gas sensing devices. -
1차원 산화아연 나노구조물은 광대역 에너지 밴드갭(~3.3 eV)과 독특한 물리적 특성을 갖고 있어, 전계효과 트랜지스터(field effect transistor), 발광다이오드(light emitting diode), 자외선 광검출기 (ultraviolet photodetector) 및 태양전지(photovoltaic cell)에 널리 이용되고 있다. 특히, 1차원 산화아연 나노구조물은 직접천이형 에너지 밴드갭(direct bandgap)을 갖고 있으며, 빛으로부터 여기된 전자가 1차원 나노구조물을 통해 향상된 이동경로를 제공할 수 있어서 차세대 자외선 광검출기 응용에 대한 연구가 활발히 진행되고 있다. 한편, 수열합성법(hydrothermal method)을 통해서 1차원 산화아연 나노구조물을 비교적 간단하고 저온공정을 통해서 합성할 수 있는데, 이를 광검출기 소자구조에 응용에서 양전극에 연결하기 위해서는 복잡하고 정교한 공정이 필요하다. 이에 본 연구에서는 수열합성법을 통해 합성된 산화아연 나노로드가 포함된 에탄올 용액을 금(Au) 패턴에 drop-casting을 통해서 간단한 방법으로 metal-semiconductor-metal (MSM) 광검출기를 제작하여 광반응 특성을 분석하였다. 또한 염료를 통해 가시광을 흡수하여 광전류(photocurrent)를 발생시킬 수 있도록 염료를 흡착한 산화아연 나노로드를 이용하여 같은 구조의 MSM 광검출기를 제작하여 가시광에 대한 광반응 특성을 관찰하였다.
-
현재 자동차 분야에서 차량 경량화를 통해 연비 향상 및 에너지 효율 향상을 기대하고 있으며, 차량 경량화의 한 수단으로 자동차용 유리를 고강도 투명 플라스틱 소재인 PC(Polycarbonate)로 대체하고자 하는 연구가 활발히 이루어지고 있다. 그러나, PC의 낮은 내마모 특성과 자외선에 의한 열화 및 변색 현상은 해결하여야 할 중요한 문제점으로 지적되고 있다. 본 연구에서는, PC의 내마모 특성을 향상시키기 위하여 transmittance가 확보되고, 고경도 특성을 갖는 Al-Si-N 박막 증착에 대한 연구를 하였고, 자외선 차단을 위하여 SiN:H 박막을 증착 하였다. 박막 증착을 위하여 ICP-assisted reactive magnetron sputtering 장비를 이용하였으며, 고경도 특성을 갖는 Al-Si-N 박막을 제조하였다. 그리고 300 nm 파장 이하의 자외선 차단을 위하여 SiN:H 박막을 증착하였다. 분석 장비로는 박막의 chemical state와 crystallinity를 확인하기 위하여 XPS(X-ray Photoelectron Spectroscopy), XRD(X-ray diffraction)를 이용하여 분석을 수행하였으며, Knoop
${\mu}$ -hardness tester와 Pin-on-disk를 이용하여 경도 및 내마모 특성을 평가하였다. SiN:H 박막 위에 Al-Si-N 박막을 증착하였고 총 두께는 ~5000$\AA$ 을 증착하였으며, 가시광 영역에서 평균 70% 이상의 transmittance를 나타내었다. 박막의 Si/(Al+Si) 비율에 따라 다른 경도 특성을 나타냈는데, Si/(Al+Si) 비율이 26~32% 부근에서 최대 31 GPa의 경도 값을 확인하였고 SiN:H 박막은 300nm 이하의 파장에서 2% 이하의 transmittance를 확인하였다. -
Vanadium dioxide (
$VO_2$ ) has been widely attracted for academic research and industrial applications due to its metal-insulator transition (MIT) temperature close to room temperature. We synthesized VOx film on (0001) sapphire substrate with vanadium target (purity: 99.9%) using DC magnetron sputtering in Ar ambience at a pressure of$10^{-3}$ Torr at$400{\sim}700^{\circ}C$ . The VOx film subsequently was annealed at difference temperatures in ambience of Ar and$O_2$ gas mixture at$60{\sim}800^{\circ}C$ . The structural properties of the films were investigated using scanning electron microscopic (SEM), x-ray diffraction (XRD) and x-ray absorption fine structure (XAFS) measurements. SEM reveal that small grains formed on the substrates with a roughness surface. XRD shows oriented$VO_2$ (020) crystals was deposited on the$Al_2O_3$ (006) substrate. From I-V measurements, the electric resistance near its MIT temperature were dramatically changed by${\sim}10^4$ during heating and cooling the films. We will also discuss the temperature-dependent local structural changes around vanadium atoms using XAFS measurements. -
현재 산업계 전반적으로 사용되고 있는 박막형 태양전지 투명 전도막의 재료로는 ITO 와 Al, In, Ga, B, Si, F 등으로 도핑된 ZnO 박막이 사용되고 있으며, 그 중에서도 Al 이 도핑된 ZnO 박막은 넓은 밴드갭을 가진 n-type 반도체로서, 적외선 및 가시광 영역에서의 높은 투과성과 우수한 전도성을 가지며, 고온에서 안정된 전기적 특성, 낮은 원가 등의 장점을 지녀 그 응용 연구가 활발히 이루어지고 있다 [1]. 본 연구에서는 RF magnetron Sputter 법을 이용하여 Flexible 기판 위에 AZO 박막을 증착하였다. 실험변수로는 RF power, Pressure등을 이용하였고, 최적조건에서의 박막의 투과도는 90%이상, 면저항은 30
${\Omega}/{\square}$ 이하를 나타내었다. 그리고 (주)인포비온에서 원천기술을 갖고있는 EBA technology를 이용하여 후처리 하여 전기적, 광학적, 구조적인 특성의 변화를 관찰하였다. AZO 박막의 두께를 측정하기 위해${\alpha}-step$ 과 SEM을 이용하였고, 투과도는 UV-Vis spectrometer를 사용하여 박막의 투과도 변화를 관찰 하였다. 전기적인 특성은 4-Point probe를 이용하여 측정하였다. 또한, 박막의 결정성과 거칠기의 변화는 XRD(X-ray Diffraction)와 원자간력현미경(Atomic Force Microscope; AFM) 을 이용하여 측정하였으며, 전기 광학적 특성 변화는 Figure Of Merit(FOM) 수치로 분석하였다. 본 연구에서 AZO 박막의 특성은 EBA 조사 후 특성의 향상이 이루어지는 것을 관찰할 수 있었다. -
The negative photoconductivity was frequently observed in some semiconductors. It was known that the origin of the negative photoresponse from ZnO is molecular chemisorption or the charging effect of nanoparticles in bulk matrix. However, the origin of the negative photoresponse of thin film was not still clear. One of possible explanation is due to the deep level trap scheme, which describes the origin of the negative photoresponse via defect state under illumination of light. However, the defect states below Fermi level have high capture rate by Coulomb effect, so that these states are usually filled by electrons if the defect states have donor-like character. Therefore the condition which the defect states located in below Fermi level should be partially filled by electrons make more difficult to understand of mechanism of the negative photoresponse. In this study, n-ZnO/p-Si heterojunction diodes were fabricated by UHV RF magnetron sputter. Then, some diodes show the negative photoresponse under ultra-violet light illumination. The defect state of the ZnO was analyzed by photoluminescence and deep level transient spectroscopy. To interpret the negative photoconductivity, band diagram was simulated by using SCAPS program.
-
본 연구는 기존의 Sputtering 방식에 Modulation 방식을 적용한 Modulated Sputtering System (MSS)에 관한 특성 관찰과 이를 이용한 박막 증착 및 분석에 관한 내용이다. MSS에 인가하는 전압은 pulse on 시간동안 타겟에 음의 전압이 인가되어 sputtering에 의한 박막이 증착되고, pulse off 시간동안에는 양의 전압을 인가하여 증착된 박막에 양이온을 입사시켜 에너지 전달에 의한 박막의 특성을 향상시키고 자한다. MSS에 인가되는 전압과 주파수, 그리고 펄스폭을 변화시키며 전압과 전류, 그리고 기판에 입사하는 이온에너지 특성을 관찰하였다. 또한 MSS를 이용하여 티타늄(Ti), 탄소(C), 알루미늄이 도핑된 산화아연(AZO) 박막을 증착하였다. 증착된 박막은 a-step, SEM, XRD, AFM, 4 point probe를 이용하여 박막의 두께, 결정성장면, 표면 거칠기, 비저항 등을 분석하였다. Ti 박막에서는 기판에 입사되는 양이온의 에너지가 증가함에 따라 결정 방위면이 (002)에서 (001)로 변화함을 확인하였고 탄소 박막과 AZO 박막의 경우에는 기판에 입사되는 양이온의 에너지 변화에 따라 박막의 전도도를 조절할 수 있음을 확인하였다.
-
Crystalline order and surface stability of 1,4,5,8,9,11-hexaazatriphenylene-hexanitrile (HATCN) thin films on organic surface were investigated using grazing incidence wide angle x-ray scattering and x-ray reflectivity measurements. In the initial growth regime (less than 20 nm), HATCN molecules were stacked to low crystalline order with substantial amorphous phase. Meanwhile, a thicker film with 50 nm thickness showed high crystalline order of hexagonal phase with three different orientational domains. The domain distribution was quantitatively obtained as a function of tilted angle. By an organic-inorganic interface formation of IZO/HATCN thin film from an indium zinc oxide (IZO) electrode deposition, the surface stability of HATCN film was investigated and the sharp interface was confirmed by the x-ray reflectivity measurement.
-
Cu는 금속 박막재료로서 높은 전기전도성을 지니고 있을 뿐만 아니라 Ag, Al, Pt 등 보다 비용이 저렴하여, 높은 전기전도성을 필요로 하는 박막 재료로써 폭넓게 사용되고 있다. 그러나, 낮은 기계적 특성을 지니고 있어서 interconnect와 같은 작은 단면적의 배선재료로 사용될 경우, 높은 전류밀도에 따른 electromigration 현상에 의하여 hillock 또는 void의 형성 등 박막재료의 변형이 생기게 되어서 전자소자의 수명이 단축된다는 단점이 있다. TiN은 금속재료 못지않은 높은 전기 전도성을 지니고 있을 뿐만 아니라, 금속재료에 비하여 높은 기계적 특성과 녹는점을 지니고 있어 다양한 분야로 사용되고 있다. 본 연구에서는 Cu와 TiN composite 박막을 soda-lime glass위에 증착하여 낮은 비저항 뿐만 아니라 Cu와 비교하여 기계적 특성이 향상된 박막을 제작하고자 하였다. Cu와 TiN composite 박막 증착을 위하여 DC reactive magnetron co-sputtering 장비를 사용하였으며, Cu와 Ti 타겟 power, Ar:N2 유량비(Flow rate)을 변화시켜 Cu와 Ti의 조성비 및 TiN의 결정성을 조절하였고, 이를 통하여 박막의 TiN 조성에 따른 낮은 비저항 값과 순수한 Cu 박막과 비교하여 높은 기계적 특성을 지닌 Cu-TiN 박막을 증착하였다. Cu-TiN composite 박막의 구조 및 조성은 SEM (Scanning Electron Microscope), EDS (Energy Dispersive Spectrometer), XPS (X-ray Photoelectron Spectroscopy)장비를 사용하여 분석하였으며, 전기전도도는 4-point probe를 사용하여 측정하였고, Knoop hardness 측정방법을 사용하여 박막의 기계적 특성을 측정하였다.
-
플라즈마 중합 증착기술을 이용하여 ppMMA (plasma polymerized methyl methacrylate) 및 ppS (plasma polymerized styrene) 박막을 제작하고, ppMMA를 게이트 절연층, polymer electret인 ppS를 메모리층으로 한 전계효과트랜지스터 기반 유기 메모리 소자를 제작하였다. 메모리층인 ppS의 두께를 각각 30, 60, 90 nm로 달리한 유기 메모리 소자가 C-V 및 I-V 특성에서 나타내는 히스테리시스 현상을 분석하여 메모리 특성을 평가했으며, 메모리층의 두께 변화에 따른 유기 메모리 소자의 성능을 비교분석하였다.
-
반도체의 device design rule이 shrink 됨에 따라 공정이 난이도가 높아지고 이에 따른 관리가 어려워지고 있다. 특히 미세 particle에 대한 제어의 필요성은 보다 커졌다. 진공 chamber 발생하는 미세 particle의 주요 원인으로는 공정 중 발생한 polymer, chamber 내 부품의 식각 및 스퍼터링에 의한 부산물 등이 있다. Plasma 공정 도중 발생한 particle은 plasma 내 전자에 의해 대전되어 음의 전하량을 가지게 된다. 음의 전하량을 가진 particle은 plasma와 wafer의 경계면에서 형성되는 sheath 때문에 wafer에 도달하지 못하고 plasma 내에 부유하게 된다. 이러한 particle은 plasma가 꺼지게 되면 sheath가 사라지면서 wafer에 도달하게 되고 wafer의 오염을 유발하게 되고 생산 수율을 저하시키는 요인이 된다. 이러한 이유로 최근 plasma 공정에서는 공정 중 발생하는 부유성 particle에 대한 관리가 중요해졌다. 이를 관리하기 위해 plasma를 끄기 전 부유성 particle을 제거하는 방안을 고안하고 평가를 진행하였다. 공정이 끝나고 plasma가 꺼지기 전 plasma를 유지하여 부유성 particle이 wafer에 도달하지 못하는 상태에서 gas purge를 실시한다. 이러한 과정 후 plasma를 끄게 되면 부유성 particle이 wafer에 도달하는 것을 감소시키게 된다. 이번 평가를 통해 부유성 particle에 대해서 대략 20%의 감소 효과를 볼 수 있었다. 이를 토대로 향후 조건 최적화 후 적용 시 particle 감소뿐 만 아니라 수율 향상에도 기여할 수 있을 것이라 기대된다.
-
본 연구에서는 분자선 에피택시 (MBE)법으로 성장된 InAs submonolayer quantum dot (SML-QD)을 태양전지에 응용하여 광학 및 전기적 특성을 평가하였다. 본 연구에서 사용된 양자점 태양전지(quantum dot solar cell, QDSC)의 구조는 n+-GaAs 기판 위에 n+-GaAs buffer와 n-GaAs base layer를 차례로 성장 한 후, 활성영역에 InAs/InGaAs SML-QD와 n-GaAs spacer layer를 8주기 형성하였다. 그 위에 p+-GaAs emitter, p+-AlGaAs window layer를 성장하고 ohmic contact을 위하여 p+-GaAs 를 성장하였다. SML-QD 구조의 두께는 0.3 ML 이며, 이때 SML-QD의 적층수를 4 stacks 으로 고정하였다. SML-QD 와의 비교를 위하여 2.0 ML크기의 InAs자발 형성 양자점 태양전지(SK-QDSC)과 GaAs 단일 접합 태양전지 (reference-SC)를 동일한 성장조건에서 제작하였다. PL 측정 결과, 300 K에서 SML-QD의 발광 피크는 SK-QD 보다 고에너지에서 나타나는데(1.349 eV), 이것은 SML-QD가 SK-QD보다 작은 크기를 가지기 때문으로 사료된다. SML-QD는 single peak를 보이는 반면, SK-QD는 dual peaks (1.112 / 1.056 eV)을 확인하였다. SML-QD의 반치폭(full width at half maximum, FWHM)이 SK-QD에 비하여 작은 것으로 보아 SML-QD가 SK-QD보다 양자점 크기 분포의 균일도가 높은 것으로 해석된다. Illumination I-V 측정 결과, SML-QDSC의 개방 전압(VOC) 과 단락전류밀도(JSC)는 SK-QDSC의 값과 비교해 보면, 각각 47 mV와 0.88 mA/cm2만큼 증가하였다. 이는 SK-QD보다 상대적으로 작은 크기를 가진 SML-QD로 인해 VOC가 증가되었으며, SML-QD가 SK-QD 보다 태양광을 흡수할 수 있는 영역이 비교적 적지만, QD내에 존재하는 energy level에서 탈출 할 수 있는 확률이 더 높음으로써 JSC가 증가한 것으로 분석 된다.
-
실리콘 태양전지 표면에는 구조적인 결함에 의해 소수 캐리어의 재결합이 일어난다. 재결합에 의해 캐리어의 반송자 수명은 줄어들게 되고, 태양전지의 효율은 감소하게 된다. 이를 줄이기 위해 태양전지 전 후면에 패시베이션을 하게 되는데, 이번 연구는 단결정 실리콘 태양전지 전면에 SiNx막을 증착함으로 수소 패시베이션이 반송자 수명에 미치는 영향에 대하여 연구하였다. 공정을 위해
$156{\times}156mm^2$ , 200${\mu}m$ , 0.5-3.0${\Omega}{\cdot}cm$ and p-type 단결정 실리콘 웨이퍼를 사용하였고, SiNx막을 올리기 전에 KOH 8.5% 용액으로 SDR을 실행하였다. RF-PECVD 장비로 SiNx 막을 증착하였고 증착 온도는$200{\sim}400^{\circ}C$ , 반응기 내부의 압력을 200~1,000 mtorr, SiH4/NH3/N2 각각의 가스 비율 조절, 그리고 플라즈마 RF power 변화시킴에 따라 증착된 SiNx막의 균일도 및 특성을 분석하였다. 반사광 측정 장비인 Reflectometer장비로 막의 두께와 굴절률, 반사율을 측정하였고, 반송자 수명을 측정하여 태양전지의 표면결함을 최대한 패시베이션 시켜주는 조건에 대한 연구를 수행하였다. -
Heo, Seong-Eun;Lee, Byeong-Ho;Lee, Hwang-Ho;Kim, Chang-Min;Kim, Won-Jun;Sharma, S.K.;Lee, Se-Jun;Kim, Deuk-Yeong 200.2
Yttrium (Y)이 도핑 된 ZnO 나노 구조물을 수열합성법으로 제작하였다. 먼저 졸겔법으로 SiO2/Si 기판 위에 seed layer (Y-doped ZnO ; Y0.02Zn0.98O)를 제작하였으며 5번의 코팅을 진행하여 박막의 두께는 약 180 nm로 측정이 되었다. 그 후 진공 분위기에서 RTA를 이용하여$500^{\circ}C$ 에서 3분간 열처리가 진행되었다. 이어서 수열합성법으로 mole 농도를 0.5~1.0 M 범위에서 변화시키며 YZO 시료를 제작하였다. X-ray diffraction (XRD)을 통해서 Y2O3 또는 결함과 관련된 피크는 관찰이 되지 않았으며, 모든 구조물에서 압축응력이 존재하는 알 수 있었으며, field emission scanning electron microscope (FESEM)에서 나노 구조물의 크기와 형태는 수열합성법의 mole 농도에 많은 영향을 받는 것으로 나타났다. Hall effect 측정을 통해서 모든 구조물은 n-type 전도 특성을 가지는 것으로 나타났다. 또한 광학적 특성인 photoluminescence (PL)에서는 수열합성법의 화학식을 고려할 때 Zn가 rich한 상태에서는 Zn interstitial로 존재하는 것으로 나타났고, mole 농도가 높아 질수록 free exciton에 의한 재결합인 UV emission이 우세하게 나타났다. -
자기애자는 전차선로 설비에서 가장 중요한 전기절연재료이지만, 내충격에 결함이 있고 파괴되기 쉽고, 무거워 취급에 어려움이 따른다. 이러한 자기애자의 단점을 해결하기 위하여 고분자 애자의 개발이 진행되어지고 있다. 그러나 고분자 애자의 경우 재질 특성상 자기 애자보다 분진의 부착이 쉽고 부착된 분진의 세척이 어려운 단점이 있어, 장기 사용 시 특성 변화가 우려된다. 본 연구에서는 TiO2 세라믹 타겟이 부착된 비대칭 마그네트론 스퍼터링 장치를 이용하여 TiO2 박막을 증착하였으며 증착되어진 TiO2 박막의 광촉매 특성과 트라이볼로지 특성을 고찰하였다. 광촉매 특성으로는 표면 접촉각 분석을 통하여 고찰하였으며, 트라이볼로지 특성으로는 경도, 잔류응력, 마찰계수, 표면 거칠기 등을 평가하였다. 또한 XRD, FESEM 분석 등 구조분석을 통하여 광촉매 특성과 트라이볼로지 특성등과의 연관성을 규명하였다.
-
원자층 증착법(ALD)을 통해 최적의 Al doped ZnO (AZO)박막을 얻기 위해 기판온도와 Al도핑농도 등의 공정변수를 조절하여 최적의 성막 조건 연구특성을 분석하였다. 증착당시 Zn와 Al의 precursors는 diethylzinc(DEZ), trimethylaluminum(TMA)을 각각 사용하였으며, reactants로는 Deionized water를 사용하였다. DEZ와 TMA의 증착비율을 통하여 1%에서 12%까지 Al의 도핑농도를 조절하였다. 이후 Hall effect measurement를 이용하여 기판온도와 Al도핑농도에 따른 AZO박막의 운반자 농도, 이동도, 저항을 분석했고, X-ray diffraction을 통하여 물리적 구조의 변화를 관측했다. 공정 최적화를 통하여 Al도핑농도의 변화가 AZO박막의 전기적 특성에 미치는 영향을 해석하였다. 또한, 공정의 최적화 이후 AZO박막을 나노 구조체 석영(quartz)기판위에 250도의 온도에서 Al ~3%의 농도로 10nm부터 150nm까지의 두께로 증착하였다. SEM 분석을 통해 나노 구조체 기판에 균일한 AZO 박막이 형성되었는지 확인하였고, AZO박막의 두께에 따른 전기적 특성 및 광 투과도를 측정한 결과 나노구조체 석영 기판위에 증착된 AZO박막은 가시광선 영역에서 80%이상의 광 투과도를 보였으며
${\sim}10^{-3}{\Omega}cm$ 의 저항을 보였다. -
Nam, Giwoong;Kim, Byunggu;Park, Youngbin;Kim, Soaram;Lee, Sang-Heon;Kim, Jong Su;Leem, Jae-Young 202.1
ZnO nanostructure was fabricated on a Si substrate using two-step growth. The seed layer was grown on the Si substrate by a sol-gel spin-coating. In the first step, ZnO nanorods were grown by a hydrothermal method at$140^{\circ}C$ for 5 min. In the second step, a ZnO thin film was grown on the ZnO nanorods by spin-coating. After growth, these films were annealed at$800^{\circ}C$ for 10 min. Electrical and optical properties of ZnO nanostructures have modified by plasma-assisted molecular beam epitaxy (PA-MBE) regrowth. The carrier concentration and resistivity increased by PA-MBE regrowth. In the photoluminescence, the full width at half maximum and intensity were decreased and increased, respectively, by PA-MBE regrowth. -
Ti2O3 is known as a typical Mott insulator with a transition temperature of near
$200^{\circ}C$ . Unlike VO2, Ti2O3 does not have a structural phase transition near the metal-insulator-transition (MIT) temperature. We investigated the temperature-dependent thermal vibration change using temperature-dependent x-ray absorption fine structure (XAFS) at Ti K-edge in the temperature range of 300~600 K. Ti2O3 powder and films were synthesized using thermal chemical vapor deposition (CVD) at$800{\sim}900^{\circ}C$ . X-ray diffraction measurements show a single phased Ti2O3 at room temperature. XAFS confirmed no structural phase transition in the temperature of 300~600 K. A small but distinguishable structural disorder change was observed near the transition temperature. We will discuss the MIT behavior with the change of structural disorder. -
Park, Hyunggil;Kim, Younggyu;Ji, Iksoo;Kim, Soaram;Lee, Sang-Heon;Kim, Jong Su;Leem, Jae-Young 203.1
Zinc oxide (ZnO) nanocrystalline thin films on various growth temperatures for active layer and different buffer layer thickness were grown by plasma-assisted molecular beam epitaxy (PA-MBE) on Si substrates. The ZnO active layer were grown with various growth temperature from 500 to$800^{\circ}C$ and the ZnO buffer layer were grown for different time from 5 to 40 minutes. To investigate the structural and optical properties of the ZnO thin films, scanning electron microscope (SEM), X-ray diffractometer (XRD), and photoluminescence (PL) spectroscopy were used, respectively. In the SEM images, the ZnO thin films have high densification of grains and good roughness and uniformity at$800^{\circ}C$ for active layer growth temperature and 20 minutes for buffer layer growth time, respectively. The PL spectra of ZnO buffer layers and active layers display sharp near band edge (NBE) emissions in UV range and broad deep level emissions (DLE) in visible range. The intensity of NBE peaks for the ZnO thin films significantly increase with increase in the active layer growth temperature. In addition, the NBE peak at 20 minutes for buffer layer growth time has the largest emission intensity and the intensity of DLE peaks decrease with increase in the growth time. -
Park, Hyunggil;Kim, Younggyu;Ji, Iksoo;Kim, Soaram;Kim, Jin Soo;Son, Jeong-Sik;Leem, Jae-Young 203.2
Hydrothermally grown ZnO nanorods were synthesized with various Sn contents on quartz substrates, ranging from 0 to 2.5 at% in increment 0.5 at%. Scanning electron microscopy (SEM) and ultraviolet (UV)- visible spectroscopy were used to determine the effect of Sn doping on the structural and optical properties. In the SEM images, the nanorods have hexagonal wurzite structure and the diameter of the nanorods increase with increase in the Sn contents. The optical parameters of the Sn-doped ZnO nanorods such as the absorption coefficients, optical bandgaps, Urbach energies, refractive indices, dispersion parameters, dielectric constants, and optical conductivities were gained from the transmittance and reflectance results. In the PL spectra, the NBE peaks in the UV region decrease and blue-shift with increase in the Sn contents. In addition, the DLE peaks in the visible region of the nanorods shift toward low-energy region when the ZnO nanorods doped with various Sn contents. -
Yoon, Hyunsik;Kim, Ikhyun;Kang, Daeho;Kim, Soaram;Kim, Jong Su;Lee, Sang-Heon;Leem, Jae-Young 204.1
Fluorine, the radius of which is close to that of oxygen, could be an appropriate anion doping candidate. A lower lattice distortion could be expected for F doping, compared with Al, Ga, and In doping. F-doped ZnO (FZO) and undoped ZnO nanorods were grown onto glass substrate by the hydrothemal method. The doping level in the solution, designated by F/Zn atomic ratio of was varied from 0.0 to 10.0 in 2.0 steps. To investigate the effects of the structure and optical properties of FZO nanorods were investigated using X-ray diffraction, UV-visible spectroscopy and photoluminescence (PL). For the PL spectra, the maximum peak position of NBE moves to higher energy, from 0 to 4 at.%. As the doping concentration increases, the maximum peak position of NBE gradually moves to lover energy, from 4 to 10 at.%. -
Yoon, Hyunsik;Kim, Ikhyun;Kang, Daeho;Kim, Soaram;Kim, Jin Soo;Son, Jeong-Sik;Leem, Jae-Young 204.2
Wide band gap II-VI semiconductors have attracted the interest of many research groups during the past few years due to the possibility of their applications in light-emitting diodes and laser diodes. Among the II-VI semiconductors, ZnO is an important optoelectronic device material for use in the violet and blue regions because of its wide direct band gap (Eg ~3.37 eV) and large exciton binding energy (60 meV). F-doped ZnO (FZO) and undoped ZnO thin films were grown onto quartz substrate by the sol-gel spin-coating method. The doping level in the solution, designated by F/Zn atomic ratio of was varied from 0 to 5 in 1 steps. To investigate the effects of the structure and optical properties of FZO thin films were investigated using X-ray diffraction (XRD), UV-visible spectroscopy, and photoluminescence (PL). In the XRD, the residual stress, FWHM, bond length, and average grain size were changed with increasing the doping concentration. For the PL spectra, the high INBE/IDLE ratio of the FZO thin films doping concentration at 1 at.% than the other samples. -
유기 혼합물을 사용한 비휘발성 메모리 소자는 간단히 공정 할 수 있고 생산성이 높기 때문에 많은 연구가 진행 중이다. 하지만 종류가 많은 유기 혼합물 중에서, [6,6]- phenyl-C85 butyric acid methyl ester (PCBM) 나노 입자가 고분자 박막에 분산되어 있는 유기 혼합물을 사용하여 제작한 메모리 소자에 대한 연구는 아직 미미하다. 본 연구에서는 PCBM 나노 입자를 포함한 polymethyl methacrylate (PMMA) 박막을 활성층으로 사용하는 비휘발성 메모리 소자를 제작하고 활성층의 두께를 변화하며 전기적 특성과 안정성에 대한 실험을 통해 성능을 평가했다. 소자는 PCBM 나노 입자와 PMMA를 클로로벤젠으로 용해시킨 후에 초음파 교반기를 사용하여 PCBM 나노 입자가 PMMA용액에 고르게 섞이도록 해서 제작하였다. Indium tin oxide (ITO)가 증착한 유리기판 위에 PCBM/PMMA 형성된 고분자 용액을 여러가지 rpm 속도로 스핀 코팅하였다. 용매를 가열해서 제거하여, PCBM 나노 입자가 PMMA에 분산된 두께가 다른 박막을 형성 하였다. 상부 전극은 분산된 PMMA 박막 위에 열 진공 증착기를 이용하여 제작하였다. 본 연구에서 전류-전압 (I-V) 측정을 사용하여 메모리 소자의 기억층의 두께 변화에 따른 전기적 성질을 관찰 하였다. I-V 측정 결과는 특정 두께의 박막에서 큰 ON/OFF 전류 비율을 보였다. 기억층의 두께가 최적화된 소자로 형성된 박막에서 전류-시간 유지 특성을 측정하여 소자의 ON/OFF 비율이
$1{\times}104$ 초까지 유지되는 것을 확인 할 수 있었다. 나노 입자가 포함된 박막의 특정 두께에서 성능이 향상된 메모리 특성을 보이는 것을 확인하였다. -
무기물/유기물 나노복합체로 제작한 유기 쌍안정성 형태의 메모리 소자는 공정이 단순하고 뛰어난 유연성을 갖고 있기 때문에 플렉서블 메모리 소자에서 많은 연구가 진행되고 있다. 그러나 다양한 연구에도 불구하고 절연성 고분자 박막 내부에 분산 된 나노입자를 이용하여 제작한 저항성 구조의 비휘발성 메모리 소자의 전하수송 메커니즘에 대한 연구는 미흡하다. 본 연구에서는 CuInS2 (CIS)/ZnS 나노입자가 분산되어 있는 절연성 고분자 박막을 사용한 기억소자의 전하수송 메커니즘을 규명하였다. 본 연구는 indium-tin-oxide (ITO)가 코팅된 플렉서블 polyethylene terephthalate (PET) 기판을 화학물질로 세척한 후 CIS/ZnS 나노입자와 절연성 고분자인 poly(N-vinylcarbazole)가 혼합된 용액을 스핀코팅 방법으로 도포했다. 도포된 용액에 열처리를 하여 용매를 제거한 후, 형성된 박막을 저항 변화 층으로 사용하였다. 제작된 메모리 소자는 Al 상부 전극을 고 진공에서 열 증착 방식을 이용하여 PET/ITO/CIS-ZnS 나노입자가 분산된 절연성 고분자/Al 구조를 갖는 저항성 기억 소자를 제작하였다. 소자의 전류-전압 (I-V) 특성 결과는 같은 전압에서 전도도가 높은 상태 (ON)와 낮은 상태 (OFF)가 존재하는 걸을 관찰하였다. 실험을 통해 두 상태 변화를 일으키는 일정 전압을 가하기 전까지 각각의 ON 또는 OFF 상태를 계속 유지하여 비휘발성 메모리 소자로 활용할 수 있음을 확인 할 수 있었다. ON 또는 OFF 상태의 전기적 스트레스를 측정으로 ON과 OFF 상태가 안정성을 가지는 것을 관찰 하였다. I-V 특성 결과를 기초로 메모리 소자의 전하수송 메커니즘을 규명 하였다.
-
Kwon, Kyeong-Woo;Jung, Jin-Kwan;Park, Chan-Rok;Kim, Jin-Sang;Baek, Seung-Hyub;Hwang, Jin-Ha 207.1
Tantalum oxide has been extensively investigated as one of the promising Resistive switching materials applicable to Resistive Dynamic Access Memories. Impedance spectroscopy offers simultaneous measurements of electrical and dielectric information, separation of electrical origins among bulk, grain boundaries, and interfaces, and the monitoring of electrical components. Such benefits have been combined with the resistive states of resistive switching devices which can be described in terms of equivalent circuits involving resistors, capacitors, and inductors, The current work employed pulsed laser deposition in order to prepare the oxygen-deficient tantalum oxide. The fabricated devices were controlled between highresistance and low-resistance states in controlled current compliance modes. The corresponding electrical phenomena were monitored both in the dc-based current-voltage characteristics and in the ac-based impedance spectroscopy. The origins of the electrical switching are discussed towards optimized ReRAM devices in terms of interfacial effects. -
Oxide-based thin film transistors have been attempted as powerful candidates for driving circuits for active-matrix organic light-emitting diodes and transparent electronics. The oxide TFTs are based on the amorphous multi-component oxides involving zinc, indium, and/or tin elements as main cation sources. The current work employed RF sputtering in order to deposit zinc-tin oxide thin films applicable to transparent oxide thin film transistors. The deposited thin film was characterized and probed in terms of materials and devices. The physical/chemical characterizations were performed using X-ray diffraction, Atomic Force Microscopy, Spectroscopic Ellipsometry, and X-ray Photoelectron Spectroscopy. The thin film transistors were fabricated using a bottom-gated structure where thermally-grown silicon oxide layers were applied as gate-dielectric materials. The inherent properties of oxide thin films are combined with the corresponding device performances with the aim to fabricating the multi-component oxide thin films being optimized towards transparent electronics.
-
GaP는 가시광선 발광다이오드을 얻을 수 있는 적절한 재료중의 하나로 해당영역의 파장에 대하여 높은 양자효율을 얻을 수 있고, 깊은 준위 재결합이 없기 때문에 GaP 녹색 및 As 첨가한 GaAsP 적색 LED 에 적용할 수 있습니다. 또한, 상온에서 2.2 eV 에 해당하는 넓은 에너지 밴드갭을 가지고 있으므로, 소음이 없는 자외선 검출기에도 적합합니다. 이 물질에 대한 소자들은 기존에 GaP 기판을 사용하였습니다. 최근, GaP 와 격자상수가 비슷한 Si 기판을 활용하여 그 위에 성장하는 방법에 대한 관심이 많아졌습니다. Si는 물리적 및 화학적으로 안정하고 딱딱한 소재이며 대면적 기판을 쉽게 얻을 수 있어 전자 기기 및 대규모 집적 회로의 좋은 소재입니다. Si 와 대조적으로 GaP은 깨지기 쉬운 재료이며 GaP 기판은 Si와 같은 대면적 기판을 얻을 수 없습니다. 이러한 문제의 한 가지 해결책은 Si 기판위에 GaP 층의 성장입니다. GaP 과 Si의 조합은 현재의 광전소자 들에 더하여 더 많은 응용프로그램들을 가능하게 할 것입니다. 그러나, Si 기판위에 GaP 성장 시 삼차원적 성장 및 역위상 경계면과 같은 문제점들이 발생하므로 질이 높고 균일한 결정의 GaP 를 얻기가 어렵습니다. 따라서, Si 에 GaP 의 성장시 초기 단계를 제어하는 성장 기술이 필요합니다. 본 연구에서는, 유기금속화학증착법을 이용하여 Si 기판위에 양질의 GaP를 얻을 수 있는 최적의 성장조건을 얻고자 합니다. 실험 조건은 Si에 GaP의 에피택셜 성장의 초기 단계에 영향을 주는 V/III 비율, 성장압력, 기판방향 등을 가변하는 조건으로 진행하였습니다. V/III 비율은 100~6400, 성장 압력은 76~380 Torr로 진행하였고, Si 기판은 just(001)과 2~6도 기울어진 (001) 기판을 사용하였습니다.
-
We proposed and fabricated zinc oxide thin-film transistors (TFTs) employing 4-mercaptophenol (4MP) doped ZnO by atomic layer deposition (ALD) that results in highly stable and high performance. The 4MP concentration in ZnO films were varied from 1.7% to 5.6% by controlling Zn:4MP pulses. The n-type carrier concentrations in ZnO thin films were controlled from
$1.017{\times}10^{20}/cm^3$ to$2.903{\times}10^{17}/cm^3$ with appropriate amount of 4MP doping. The 4.8% 4MP doped ZnO TFT revealed good device mobility performance of 8.4$cm^2/Vs$ and the on/off current ratio of 106. Such 4MP doped ZnO TFTs exhibited relatively good stability (${\Delta}V_{th}$ : 2.4 V) under positive bias-temperature stress while the TFTs with only ZnO showed a 4.3${\Delta}V_{th}$ shift, respectively. -
ZnO는 태양전지의 투명전극 및 윈도우 물질로 그 동안 광범위하게 사용되어 왔다. 하지만 태양광의 효율 증가를 위하여서는 가시광 영역뿐만 아니라 자외선 및 적외선 영역을 이용할 필요가 있다. 또한 금속 산화물 반도체 나노 입자는 크기를 조절하여 흡수하는 태양광의 파장 영역을 조절할 수 있고 이를 이용하여 이종구조를 사지는 고효율의 태양전지를 구현할 수 있다. 본 연구에서는 3.4 eV의 에너지 밴드갭을 가지는 ZnO박막내에 밴드갭을 조절 할 수 있는 금속 산화물 나노입자를 삽입하여 광학적, 전기적 특성을 연구하였다. ZnO 박막을 증착하기 전 유리 및 사파이어 기판에 스퍼터를 사용하여 Pt금속전극을 형성한 이후, ZnO 박막을
$1{\times}10^{-10}$ Torr의 기본 진공도를 유지하는 초고진공 스퍼터를 사용하여 100 nm 두께로 증착 하였다. 금속 산화물 나노 입자를 제작 하기 위하여, ZnO 박막에 열증착 장비(thermal evaporator)를 사용하여 In 나노 입자를 10 nm 이하의 크기로 제작 하였다. 그 상부에 초고진공 스퍼터 와 열증착 장비를 사용하여 ZnO 박막 및 In 나노 입자를 순차적으로 증착하여 수백 nm 두께의 ZnO 박막을 제작한다. ZnO 박막 내부에 형성된 In 양자점은 ZnO 증착공정 중에 산화되어$In_2O_3$ 의 산화물 나노 입자로 형성되며, 내부의 구조는 투과전자 현미경을 사용하여 확인 하였다. 제작된 금속 산화물 나노입자가 포함된 ZnO 박막의 광학적 특성을 photoluminescence, UV-Vis spectroscopy, ellipsometry를 통하여 확인 하였으며, solar simulator와 전류-전압 특정 장비를 사용하여 전기적 특성을 분석 하였다. -
Kim, Dae-Guk;Gang, Jin-Ho;Kim, Jin-Seon;No, Seong-Jin;Jo, Gyu-Seok;Sin, Jeong-Uk;Nam, Sang-Hui 210.2
현재 국내의 상용화된 디지털 방식 X-선 영상장치에서 간접변환방식은 대부분 CsI를 사용하고 있으며, X-선 흡수에 의해 전기적 신호를 발생시키는 직접변환방식은 Amorphous Selenium(a-Se)을 사용한다. a-Se은 진공 중에 녹는점이 낮아 증착시 substrate의 온도에 따라 민감한 변화를 보인다. 본 연구에서는 간접변환방식에 비해 높은 영상의 질을 획득할 수 있는 직접변환방식의 a-Se기반 X-선 검출기 제작 시 substrate에 인가된 온도에 따른 특성을 연구하여 최적화 된 substrate의 온도를 알고자 한다. 본 실험에서는 glass에 투명한 전극물질인 Indium Tin Oxide (ITO)가 electrode로 형성된 substrate를 사용하였으며 그 상단에 a-Se을 Physical Vapor Deposition (PVD)방식을 거쳐 X-선 검출기 샘플을 제작하였다. PVD 공정 시 네 개의 보트에 a-Se 시료를 각각 100g씩 총 400g을 넣고,$5{\times}10-5Torr$ 까지 진공도를 낮추었다. 보트의 온도는$270^{\circ}C$ 에서 40분$290^{\circ}C$ 에서 90분으로 온도를 인가하여 a-Se을 기화시켜 증착하였다. 증착 시 substrate 온도를 각각$20^{\circ}C$ ,$40^{\circ}C$ ,$60^{\circ}C$ ,$70^{\circ}C$ 네 종류로 나누어 실험을 진행하였다. 끝으로 증착된 a-Se 상단에 Au를 PVD방식으로 electrode를 형성시켜 a-Se기반의 X-선 검출기 샘플 제작을 완료하였다. 제작된 a-Se기반의 X-선 검출기 샘플의 두께는 80에서$85{\mu}m$ 로 온도에 따른 차이가 없었다. 이후에 전기적 특성을 평가하기위해 electrometer와 oscilloscope를 이용하여 Dark current와 Sensitivity를 측정하여 Signal to Noise Ratio(SNR)로 도출하였으며 Scanning Electron Microscope(SEM) 표면 uniformity를 관찰하였다. 또한 제작된 a-Se기반 X-선 검출기 샘플의 hole collection 성능을 확인하고자 mobility를 측정하였다. 측정결과 a-Se의 work function을 고려한$10V/{\mu}m$ 기준에서 70kV, 100mA, 0.03sec의 조건의 X-선을 조사 하였을 때 Sensitivity는 세 종류의 검출기 샘플이 15nC/mR-cm2에서 18nC/mR-cm2으로 비슷한 양상을 나타내었지만, substrate온도가$70^{\circ}C$ 때의 샘플은 10nC/mR-cm2이하로 저감됨을 알 수 있었다. 그리고 substrate온도$60^{\circ}C$ 에서 제작된 검출기 샘플의 전기적 특성이 SNR로 환산 시, 15.812로 가장 우수한 전기적 특성을 나타내어 최적화 된 온도임을 알 수 있었다. SEM촬영 시 온도상승에 따라 표면 uniformity가 우수하였으며, Mobility lifetime에서는$60^{\circ}C$ 에서 제작된 검출기 샘플이 deep trap 수치가 높아 hole이$0.04584cm2/V{\cdot}sec$ 로$0.00174cm2/V{\cdot}sec$ 의 electron보다 26.34배가량 빠른 것을 확인하였다. 본 연구를 통해 a-Se증착 시, substrate에 인가된 온도는 균일한 박막의 형성 및 표면구조에 영향을 미치며 온도가 증가할수록 안정적인 전기적 특성을 나타내지만$70^{\circ}C$ 이상일 시, a-Se층의 결정화가 생겨 deep trap을 발생시켜 전기적 특성이 저하됨을 확인 할 수 있었다. 따라서 증착 시의 substrate의 온도 최적화는 a-Se기반 X-선 검출기의 안전성 및 성능향상을 위해 불가피한 요소가 된다고 사료된다. -
We fabricate the conductive zinc oxide(ZnO) thin film using UV-enhanced atomic layer deposition. ZnO is semiconductor with a wide band gap(3.37eV) and transparent in the visible region. ZnO can be deposited with various method, such as metal organic chemical vapour deposition, magnetron sputtering and pulsed laser ablation deposition. In this experiment, ZnO thin films was deposited by atomic layer deposition using diethylzinc (DEZ) and D.I water as precursors with UV irradiation during water dosing. As a function of UV exposure time, the resistivity of ZnO thin films decreased dramatically. We were able to confirm that UV irradiation is one of the effective way to improve conductivity of ZnO thin film. The resistivity was investigated by 4 point probe. Additionally, we confirm the thin film composition is ZnO by X-ray photoelectron spectroscopy. We anticipate that this UV-enhanced ZnO thin film can be applied to electronics or photonic devices as transparent electrode.
-
ITO (indium tin oxide)는 스마트폰을 비롯한 여러전자제품의 터치패널 투명전극으로 가장 많이 쓰이고 있는 물질이다. 산화 인듐(In2O3)과 산화 주석(SnO2)의 화합물로 우수한 전기적 특성과 광학적 특성을 지녀 태양전지 분야에서도 그 활용가능성이 높다. 또한 최근 고효율 태양전지인 HIT (heterojunction with intrinsic thin layer) solar cell의 경우 Si 기판의 두께가 얇고, 소자의 양면에서 태양광을 흡수하여 효율을 증가 시키데, 특히 투명 전극의 물리적 특성들과 계면의 트랩의 상태가 효율에 영향을 미친다. 본 연구에서는 HIT Si 기판의 태양전지 구조에 전극으로 쓰일 ITO 박막을 sputtering 방법으로 증착하여 물리적 특성을 연구하였다. ITO 타겟을 활용한 radio frequency magnetron sputtering 방법으로 Si 기판에 ITO 박막을 증착하였다. 50W의 방전전력과 Ar 10 sccm 분위기에서 성장시킨 ITO 박막을 Transmission Electron Microscope 로 측정하였다. X-ray Diffraction 측정으로 ITO 결정의 방향성을 확인하고 Photoluminescence 측정으로 성장된 ITO 박막의 밴드갭 에너지를 확인하였다.
$100^{\circ}C$ ,$200^{\circ}C$ ,$300^{\circ}C$ ,$400^{\circ}C$ 에서 후열처리한박막의 광 투과율, 비저항, 이동도를 측정 비교하여 적절한 후열처리 온도를 찾는 연구를 진행하였다. Sputtering 방법으로 성장시킨 ITO 박막의 전기적, 광학적 특성을 측정하여 HIT solar cell에 활용될 가능성을 확인하였다. -
Tetra-ethoxysilane (TEOS)은 일반적으로 저온 게이트 산화막의 원료 널리 이용되고 있으나 as-deposited 상태에서는 필수적으로 생성된 높은 계면밀도와 고정전하를 제거하기 위하여 수소계면처리, forming gas annealing 등 후처리 공정을 필수적으로 거처야만 한다. 즉 후처리 공정 없이도 일정수준의 계면밀도와 고정전하를 갖을 수 있는 출발물질이 제안되면 산업적 의미를 갖을 것이다. 본 연구에서는 TEOS를 대체할 수 있는 후보재료로써 Tetra-iso-propoxysilane (T-iso-POS)을 제안하였다. T-iso-POS는 iso 구조의 3차원적 특수 구조를 가지므로 더 쉽게 분해 될 수 있어 탄소의 결합을 억제 할 수 있다고 사료된다. 용량 결합형 PECVD (13.56 MHz) 장비를 이용하여 RCA 세정을 실시 한 p-Si (100) 기판위에 TEOS 혹은 T-iso-POS (2 sccm)와 O2를 도입(50 sccm), 플라즈마 전원(20~100 W), 압력(0.1~0.5 torr), 온도 (
$170{\sim}400^{\circ}C$ ), 전극 간 거리 (1~4.5cm)의 조건 하에서 증착하였다. 얻어진 각각의 SiO2 막에 대해, 성장 속도, 2% BHF 용액보다 에칭 속도, IV 특성과 C-V 특성, FT-IR에 의해 화학구조 평가를 실시했다. T-iso-POS원료로 사용하여 TEOS보다 낮은 약$200^{\circ}C$ 에서 증착 된 산화막에서 후 처리 없이도 10 MV/cm 이상의 절연 파괴 특성을 나타내는 우수한 게이트 절연막 제작에 성공했다. 그 성장 속도도 약 20 nm/min로 높았다. -
Device performance for the 45 and 32 nm node CMOS technology requires the integration of ultralow-k materials. To lower the dielectric constant for PECVD and spin-on materials, partial replacement of the solid network with air (k=1.01) appears to be more intuitive and direct option. This can be achieved introducting of second "labile" phase during depositoin that is removed during a subsequent UV curing and annealing step. Besides, with shrinking line dimensions the resistivity of barrier films cannot meet the International Technology Roadmap for Semiconductors (ITRS) requirements. To solve this issue self-forming diffusion barriers have drawn attention for great potential technique in meeting all ITRS requirments. In this present work, we report a Cu-V alloy as a materials for the self-forming barrier process. And we investigated diffusion barrier properties of self-formed layer on low-k dielectrics with or without UV curing treatment. Cu alloy films were directly deposited onto low-k dielectrics by co-sputtering, followed by annealing at various temperatures. X-ray diffraction revealed Cu (111), Cu (200) and Cu (220) peaks for both of Cu alloys. The self-formed layers were investigated by transmission electron microscopy. In order to compare barrier properties between V-based interlayer on low-k dielectric with UV curing and interlayer on low-k dielectric without UV curing, thermal stability was measured with various heat treatment temperature. X-ray photoelectron spectroscopy analysis showed that chemical compositions of self-formed layer. The compositions of the V based self-formed barriers after annealing were strongly dominated by the O concentration in the dielectric layers.
-
박막형 태양 전지 중 CIGS 태양 전지는 생산성 및 효율성 면에서 많은 연구가 이루어지고 있다. 또한 대면적 생산을 위한 연구도 활발하게 이루어지고 있다. 효율 향상을 위한 인자 중 박막의 두께균일도가 주요한 영향 인자 중 하나라고 보고되고 있다. 증착도 예측을 위한 시뮬레이션 기법에 대해 논할 것이다. 박막형 CIGS 태양 전지 증발/증착 균일도 향상을 위한 시뮬레이션을 통하여 실험과 유사한 결과값을 도출할 수 있었다. 이를 통하여 박막의 균일도 향상의 방법론을 제시할 것이다.
-
본 연구에서는 흑연(graphite)과 티타늄(titanum; Ti) 타겟이 양쪽에 부착되어 있는 비대칭 마그네트론 스퍼터링 장치를 이용하여 Ti이 도핑되어진 다이아몬드상 탄소박막(Ti doped Diamond-like carbon, DLC:Ti)을 증착하였다. 흑연과 티타늄 타겟의 파워는 고정하고 기판에 음의 DC 바이어스를 인가하여 DC 바이어스 변화에 따른 DLC:Ti 박막을 증착하였다. 증착되어진 박막의 음의 DC 바이어스의 변화에 따라 변화되어지는 경도와 마찰계수, 표면의 거칠기, 접촉각 등의 트라이볼로지 특성들을 분석하였으며, XPS와 라만등의 분석법을 이용하여 박막의 구조적 특성과 트라이볼로지 특성과의 관계를 고찰하였다.
-
Kim, Su-In;Kim, Seong-Jun;Gwon, Gu-Eun;Kim, Hyeon-Seok;Eom, Eun-Sang;Park, Jun-Seong;Lee, Jeong-Hyeon;Lee, Chang-U 216.1
PZT 박막은 강유전 특성과 압전소자 특성을 나타내는 물질로 DRAM (dynamic random acess memory)과 FRAM (ferroelectric RAM) 등의 기억소자용 capacitor와 MEMS (micro electro mechanical system) 소자의 압전 물질로 사용하기 위한 연구가 진행중에 있다. 하지만 이러한 연구에서는 PZT 박막의 전기적 특성 향상을 주목적으로 연구가 진행되어 왔다. 특히, 박막 공정중 발생하는 plasma에 의한 PZT의 전기적 특성 변화가 박막 표면의 물리적 변화에 기인할 것으로 추정하고 있지만 이에 대한 구체적인 연구는 미비하다. 이 연구에서는 plasma에 의한 PZT 박막 표면의 물리적 특성 변화를 연구하기 위하여 PZT 박막을 sol-gel을 이용하여 Si 기판위에 약 100 nm의 두께로 증착하였으며, 이후 최대 300 W의 Ar plasma로 plasma power을 증가시켜 각각 10분간 plasma처리를 실시하였다. PZT 박막 표면의 nano-mechanics 특성을 분석하기 위하여 Nano-indenter와 Kelvin Probe Force Microscopy (KPFM)을 사용하여 surface hardness, surface morphology를 확인하였고 특히, surface potential 분석을 통하여 PZT 박막 표면의 plasma에 의한 박막 극 표면의 전기적 특성 변화를 연구하였다. 이 연구로 plasma에 의한 PZT 박막은 표면으로부터 최대 43 nm 깊이에서의 hardness는 최대 5.1 GPa에서 최소 4.3 GPa의 분포로 plasma power 변화에 의한 특성은 측정 불가능하였다. 이는 plasma에 의한 영향이 시료 극 표면에 국한되어 나타나기 때문으로 추정되며 이를 보완하기 위하여 surface potential을 분석하였다. 결과에 의하면 plasma power가 0 W에서 300 W로 증가함에 따라 potential이 30 mV에서 -20 mV로 감소하였으나 potential의 분산은 100 W에서 최대인 17 mV로 측정되었으며, 이때 RMS roughness역시 가장 높은 20.145 nm로 측정되었다. 특히, 100 W에서 potential에서는 물결 모양과 같은 일정한 패턴의 potential 무늬가 확인되었다. -
Nano-indenter는 팁을 박막 표면으로부터 일정 깊이까지 일정한 비율로 힘을 팁에 인가하여 그에 따른 박막의 반응을 in-situ로 확인하기 위하여 고안된 장치이며, 박막은 물론 나노 구조물까지 다양한 범위에서 기계적 특성을 분석하기 위하여 사용되고 있다. 이 연구에서는 유전체 및 확산방지막으로 사용되는 Hf을 rf magnetron sputter로 증착하였으며 이때 Ar 가스와 함께
$N_2$ 가스의 혼합 비율을 다르게 하여 HfN을 증착하였다. 질소 분압에 따라 증착된 HfN 박막은 고온중에서 질소의 영향을 확인하기 위하여$800^{\circ}C$ 로 질소 분위기에서 20분간 열처리하여 이후 박막의 nano-mechanical 특성을 nanoindenter를 사용하여 확인하였고 최대 압입력을 250${\mu}N$ 으로 고정하였다. 측정결과 고온 열처리후 HfN 박막은 증착시 질소 분압이 0%에서 5%로 증가함에 따라 surface hardness는 8.6 GPa에서 8.1 GPa로 elastic modulus는 123.7 GPa에서 134 GPa로 각각 변화되는 것을 확인할 수 있었다. 특히, 질소 분압이 2.5%로 증착된 HfN 박막은 열처리후 박막 표면의 물리적 특성이 깊이 방향으로 층을 이루고 있어 nano-indenter 압입시 다수의 pop-in이 나타남을 확인하였다. -
서브파장 나노구조는 점진적으로 변화하는 굴절률을 이용하여 반사율을 줄이고 투과율을 증가시킴으로써 광전자소자 분야에서 많이 응용되고 있다. 최근에는 서브파장 나노구조 제작의 용이함을 위하여 polydimethylsiloxan (PDMS) 스탬프와 UV 경화폴리머를 사용하여 반사방지막을 제작하는 연구가 활발히 진행되고 있다. PDMS는 높은 내구성, 낮은 표면 에너지 등의 특성을 가지고 있으며, UV 경화폴리머는 저온에서 빠른 경화, 높은 투과성 등의 장점을 가진다. 본 연구에서는 서로 다른 주기의 서브파장 구조를 갖는 PDMS 스탬프를 제작하였고, 이를 이용한 반사방지 구조 응용을 통해 제작된 나노구조의 구조적, 광학적 특성을 분석하였다.
-
태양전지의 기본구조는 전면전극, 후면전극, 그리고 이들 사이에 위치하는 광흡수층으로 구성된다. 여기에 더하여 광흡수층에서 반사되거나 투과되는 빛 에너지의 손실을 줄이기 위해 윗면에는 반사방지막을, 아랫면에는 고반사막을 적용한다. 투명 태양전지의 경우 고반사막을 대신하여 선택적 투과막을 적용할 경우 변환효율을 향상 시킬 수 있다. 본 연구에서는 투명 태양전지의 구성물질로서 이용 가능한 선택적 투과 특성을 보이는 지르코니아 박막의 광학적 특성을 보고한다. RF magnetron sputtering 방식을 이용하여 지르코니아 박막을 증착하고 두께에 따른 광학적 특성 및 표면 형상 변화를 관찰하였다. 투과율 및 반사율을 측정한 결과 지르코니아 박막의 투과율은 400 nm 이상의 파장에서 약 85% 이상의 양호한 값을 나타내었다. 반사율은 평균적으로 적외선 영역에서 약 35%의 수치를 나타내었다. 이러한 광학적 특성으로부터 지르코니아 박막은 선택적 투과막으로 적합한 물질이라는 결론을 얻을 수 있다.
-
GeSbTe 삼원계 칼코겐화물 합금은 광디스크 및 상변화 메모리에서 활성물질로 사용되는 대표적인 재료이다. GeSbTe 합금은 결정질 상과 비정질 상의 두 종류의 상을 갖는데 그 상에 따라 반사율 및 전기저항이 서로 다르기 때문에 활성물질로서 작용한다. GeSbTe 합금 구성원소의 일부를 포함하는 두 종류의 물질로 접합을 형성하고 열처리 공정을 수행함으로써 GeSbTe 합금을 국부적으로 생성하는 방법이 최근에 보고되었다. 이러한 방법을 상변화 메모리 소자 제조에 이용하면 GeSbTe 합금을 제한된 영역에 나노 스케일로 만드는 것이 가능해져서 GeSbTe 합금의 상변화를 유도하는데 필요한 프로그래밍 전류를 낮추는 효과를 얻을 수 있다. 상변화 메모리 소자 내에서의 GeSbTe 합금의 두께 또는 크기는 상변화 메모리 소자의 동작 특성을 좌우하는 중요한 파라미터이며 이것은 열처리 공정 조건에 따라 결정되므로 열처리 공정 조건에 따라 GeSbTe 합금이 생성되는 양상이 어떻게 변화하는지를 밝힐 필요가 있다. 따라서 본 연구에서는 다양한 열처리 온도 조건에서 Ge-Sb-Te 삼성 분계에서의 구성 원소들의 상호확산 거동을 조사하였다. 순수한 Ge 박막과 조성이 다른 SbTe 박막의 접합을 형성하고 773K까지의 온도 범위에서 열처리를 실시하였다. Auger 수직 분석을 이용하여 Ge, Sb, 및 Te 원소의 깊이 방향의 확산 정도를 조사하였으며 그 결과로서 열처리 온도가 증가함에 따라 상호확산 정도가 심해지고 Te 원소가 상호확산에 있어서 중요한 역할을 한다는 사실을 확인하였다.
-
In this study, CdS thin films were deposited onto glass substrates by radio frequency magnetron sputtering. The films were grown at various substrate temperatures in the range of 100 to
$250^{\circ}C$ . The effects of substrate temperatures on the structural and optical properties were examined. The XRD analysis revealed that CdS films were polycrystalline and retained the mixed structure of hexagonal wurtzite and cubic phase. The percentages of hexagonal structured crystallites in the films were seen to be increased by increasing substrate temperatures. The film grown at$250^{\circ}C$ showed a relatively high transmittance of 80% in the visible region, with an energy band gap of 2.45 eV. The transmittance date analysis indicated that the optical band gap was closely related to the substrate temperatures. -
Since sapphire single crystal is one of the materials that have excellent mechanical and optical properties, the single crystal is widely used in various fields, and the demand for the use of substrate of LED devices is increasing rapidly. However, crystal defects such as dislocations and stacking faults worsen the properties of the single crystal intensely. When sapphire wafer of single crystal is used as LED substrate, especially, crystal defects have a strong influence on the characteristics of a film deposited on the wafer. In such a case quantitative assessment of the defects is essential, and the evaluation technique is now becoming one of the most important factors in commercialization of sapphire wafer. Wet etching is comparatively easy and accurate method to estimate dislocation density of single crystal because etching reaction primarily takes place where dislocations reached crystal surface which are chemically weak points, and produces etch pit. In the present study, the formation behavior of etch pits and etching time dependence were studied systematically. Etch pit density(EPD) analysis using optical microscope was also conducted and measurement uncertainty of EPD was studied to confirm the reliability of the results. EPDs and measurement uncertainties for 4 inch sapphire wafers were analyzed in terms of 5 and 21 points EPD readings. EPDs and measurement uncertainties in terms of 5 points readings for 4 inch wafers were compared by 2 organizations. We found that the average EPD value in terms of 5 points readings for a 4 inch sapphire wafer may represent the EPD value of the wafer.
-
비정질 실리콘은 태양전지, 트랜지스터, 이미지 센서 등 다양한 분야에서 응용되고 있으며 새로운 박막 소자 개발을 위한 소재로서 많은 연구가 진행되고 있다. 하지만 소자개발에 있어 공정상에서 발생하는 비정질 실리콘 박막의 높은 응력(stress)은 소자의 특성을 떨어뜨리는 문제점을 갖는다. 따라서 우수한 특성의 소자 개발을 위해서는 보다 낮은 응력을 갖는 비정질 실리콘 박막 증착 및 공정 조건에 따른 응력 조절이 필요하다. 저응력의 비정질 실리콘 박막 증착은 보다 낮은 반응온도에서 증착속도를 최소로 하여 성장되어야 하는데 이는 플라즈마기상증착(Plasma enhanced chemical vapor deposition, PECVD) 시스템에 의해 가능하다. 따라서 본 연구에서는 PECVD 시스템을 사용하여 비정질 실리콘 박막을 증착하였고 그 특성을 분석하였다. 이 때 증착 온도, rf 파워, 공정 압력은 실험결과로부터 얻어진 낮은 박막 증착속도 하에서 안정적으로 증착이 가능한 조건으로 일정하게 유지하여 실험하였다. 공정 가스는 SiH4/He/N2의 혼합가스를 사용하였고 응력 조절을 위해 SiH4/He 가스비를 일정한 비율로 변화하여 비정질 실리콘 박막을 증착하였다. 증착된 박막의 두께 및 표면 특성은 field emission scanning electron microscopy 및 atomic force microscopy를 이용하여 분석하였고, energy dispersive X-ray 분석을 통하여 정량 및 정성적 분석을 수행하였다. 그리고 stress measurement system을 이용하여 박막의 응력을 측정하였고 X-ray diffraction 측정 및 ellipsometry 측정으로부터 증착된 박막의 결정성, 굴절률 및 oiptical bandgap을 분석하였다.
-
CIGS박막 태양전지의 온도에 의한 효율변화를 알아보기 위해
$25^{\circ}C$ ,$50^{\circ}C$ ,$100^{\circ}C$ ,$150^{\circ}C$ ,$200^{\circ}C$ 에서 각각 10시간을 노출시킨 후 전기적인 특성들을 측정하여 초기 값들과 비교해 보았다. 태양전지의 온도 스트레스에 의한 특성 및 파라미터들의 변화들을 확인하기 위해 Light I-V를 측정하여 비교 분석하였다. 실험에 사용한 소자의 초기 파라미터들은$25^{\circ}C$ 에서 측정하였고, 개방전압 0.66V, 곡선인자 67.99%, 효율 10.49%이다. 각 온도별 노출에 대해 CIGS박막 태양전지의 효율은$50^{\circ}C$ ,$100^{\circ}C$ 에서는 초기 값과 비슷하였고,$150^{\circ}C$ ,$200^{\circ}C$ 에서 초기 값 대비 22.8%, 57.5% 감소 특성을 보였다. 단락전류는 온도별 노출에 대해서 크게 변화하는 모습이 나타나지 않았고, 개방전압과 곡선인자는 효율과 마찬가지로$150^{\circ}C$ ,$200^{\circ}C$ 에서 감소하는 모습이 나타났다.$150^{\circ}C$ ,$200^{\circ}C$ 에서 개방전압이 3.4%, 8.3%, 곡선인자는 19.9%, 53.7%정도 감소하였다. 이 실험을 통해 개방전압과 곡선인자가 일정 온도부터 온도의 영향을 받아 감소하고, 그 영향으로 효율이 감소하게 되는 것을 확인하였다. -
본 연구에서는 CIGS박막 태양전지의 온도 및 시간 인가에 따른 전기적 특성 변화를 분석하였다. 실험에서는 온도 스트레스를
$25^{\circ}C$ ,$50^{\circ}C$ ,$100^{\circ}C$ ,$150^{\circ}C$ ,$200^{\circ}C$ 에서 각각 10시간씩 인가한 후에 Dark I-V와 C-V측정을 통해 전기적 특성 변화를 분석하였다.$25^{\circ}C$ 일 때를 초기 온도로 하여 특성을 측정한 것과 온도별로 노출시킨 후에 측정한 것을 비교했을 때 소자의 효율은$100^{\circ}C$ 에서 감소하기 시작하였고, 인가한 온도가 높을수록 점점 많이 감소하는 모습이 나타났다. 이와 비슷하게 I-V그래프와 C-V그래프의 모습도 초기 값과 비교해서 변화하는 모습이 나타났고, 온도가 높아질수록 점점 변화하는 양이 증가하였다. I-V그래프에서 Diode ideality factor는 온도변화에 따라 초기 값 대비 증가하는 모습이 나타났다. 온도에 노출되기 전보다 노출된 후에 current와 capacitance가 감소하는 경향을 보이는데, 이는 온도의 영향으로 인해 소자의 결함이 증가하여 전하들의 반응에 영향을 주었기 때문으로 판단된다. -
지난 수년간 태양전지의 광전변환 효율을 높이기 위해 자가 조립된 InAs 또는 GaSb 와 같은 양자점을 GaAs 단일 p-n 접합에 적용하는 연구를 개발해 왔다. 그러나 양자점의 흡수 단면적에 의한 광흡수도는 양자점층을 수십 층을 쌓으면 증가하지만 활성층에 결함을 생성시킨다. 생성된 결함은 운반자 트랩으로 작용하여 태양전지의 광전변환 효율을 감소시킨다. 본 실험에서는 양자점이 적용된 태양전지와 적용되지 않은 태양전지의 광전변환 효율을 비교하고, 깊은준위 과도용량 분광법을 이용하여 결함상태를 측정하고 및 비교함으로써, 활성층 내부에 생성된 결함이 광전변환 효율에 미치는 영향을 분석하였다. 소자구조는 분자선 증착 방법을 이용하여, 먼저 n-형 GaAs 기판위에 n-형 GaAs를 300 nm 증착한 후, 도핑이 되지 않은 GaAs 활성층을 3.5
${\mu}m$ 두께로 증착하였다. 마지막으로 p-형 GaAs를 830 nm 증착함으로써 p-i-n구조를 형성하였다. 여기서, n-형 GaAs 과 p-형 GaAs의 도핑농도는 동일하게$5{\times}1018\;cm^{-3}$ 로 하였다. 또한 양자점 및 델타도핑 층을 각각 태양전지에 적용하기 위해 활성층내에 양자점 20층 및 델타도핑 20층을 각각 형성하였다. 이때, 양자점 태양전지, 델타도핑 태양전지와 양자점이 없는 태양전지의 광전변환 효율은 각각 4.24, 4.97, 3.52%로 나타났다. 태양전지의 전기적 특성을 측정하기 위해 소자구조 위에 Au(300nm)/Pt(30nm)/Ti(30nm)의 전극을 전자빔 증착장치로 증착하였으며, 메사에칭으로 직경 300${\mu}m$ 의 p-i-n 접합 다이오드 구조를 제작하였다. 정전용량-전압 특성 및 깊은준위 과도용량 분광법을 이용하여 태양전지의 결함분석 및 이에 따른 광전변환 효율의 상관관계를 논의할 것이다. -
실리콘기반의 광전변환 소자는 소자공정의 편의성, 소자 신뢰성, 화학적 안정성, 그리고 저가경쟁력 등의 이점 때문에 수 십 년간 널리 연구되어 왔다. 그러나, 실리콘 재료의 경우 높은 굴절률로 인해 표면에서 높은 광 반사도를 가지고 있다. 일반적으로, 태양전지의 광전변환 효율은 빛이 서로 다른 유전율을 가진 계를 통과할 때 발생하는 계면반사로 인한 물리적인 한계를 가진다. Indium Tin Oxide (ITO)는 발광 다이오드, 태양전지, 그리고 광 검출기 등의 광소자에 적용하기 위해 수 년간 투명전도 산화막 재료로서 연구되어 왔다. ITO의 뛰어난 광학적, 전기적 특성은 높은 투과도와 낮은 전기 전도도를 요구하는 소자 응용에 대해 유망한 후보로 거듭나게 했다. 게다가, ITO의 굴절률은 대략 2정도이다. 그 결과, ITO는 반도체 기반 태양전지의 무반사 코팅 소재로서도 장점을 가지고 있다. 본 연구는 전자빔 증착법으로 경사입사 증착을 하여 실리콘 기반 태양전지에 증착될 ITO 박막의 굴절률을 조절한다. 여기서, 실리콘의 굴절률은 대략 3.5정도이다. 그러므로, 더 나은 광학적 특성을 가지기 위해 다층으로 올려진 ITO 박막이 점진적인 굴절률 변화를 가지는 것을 필요로 한다. 점진적 굴절률 변화를 가진 무반사 박막이 실리콘 태양전지의 특성에 미치는 영향을 평가하기 위해 광전변환 효율을 측정하였다. 증착된 박막의 굴절률과 표면형상은 각각 타원편광분석과 Atomic Force Microscopy (AFM)을 통해 분석되었다. 또한, 소자의 단면형상은 Scanning Electron Microscopy (SEM)으로 측정되었다.
-
Photoreflectance (PR) 분광법은 비접촉, 비파괴적인 변조분광법으로서 반도체 표면 및 계면의 광학적 특성 연구에 많이 이용되고 있다. PR 신호의 Franz-Keldysh oscillation (FKO)으로부터 Molecular Beam Epitaxy (MBE) 방법으로 성장한 InAs/GaAs 양자점 태양전지 접합계면의 전기장을 조사하였다. InAs 양자점의 크기는 각각 1.7, 2.0, 2.5, 3.0 monolayer이며, p+-n-n+ 태양전지 구조의 표면으로부터 1.8
${\mu}m$ , 활성영역으로부터 약 1.1${\mu}m$ 위치에 삽입되어 있다. 여기광 세기가 큰 영역(1~200$mW/cm^2$ )에서 접합계면의 전기장으로부터 관측한 photovoltage 효과는 로그 스케일에서 대체로 선형적인 분포를 보였으며, 이를 계산결과와 비교 분석하였다. 또한, 양자점 크기 및 온도에 따른 photovoltage 효과는 활성영역에서 여기된 운반자의 양 및 양자점에 의한 전하트랩의 영향과 관련하여 비교 분석하였다. -
본 논문은 InN와 GaN를 교대로 증착하는 교번성장법(Alternate Growth Method)을 이용해 형성한 높은 인듐(Indium) 조성을 갖는 InGaN (HI-InGaN) 구조의 열처리(Rapid Thermal Annealing, RTA) 온도 및 시간에 대한 구조와 광학적 특성을 Double Crystal X-ray Diffraction (DCXRD), Transmission Electron Microscopy와 Photoluminescence (PL) 장비를 사용하여 분석한 결과를 보고한다. DCXRD 스펙트럼에서 HI-InGaN 박막은 GaN(0002)로부터
$2.98^{\circ}$ 분리된 위치에서 회절 신호를 관찰 할 수 있다. 그리고 GaN와 HI-InGaN 신호 사이의 넓은 범위에서 미약하지만 신호가 관찰 되는데, 이는 InN와 GaN 계면에서 발생하는 상호확산 확률의 차이에 기인한 In 조성이 다른 InGaN 신호로 해석할 수 있다. 열처리 온도를$775^{\circ}C$ 로 고정하고 시간을 10, 20, 30초로 각각 변화시켜 RTA를 진행한 DCXRD 스펙트럼에서 GaN(0002)로부터$0.7{\sim}1.1^{\circ}$ 떨어진 위치에서 InGaN 피크를 확인 할 수 있다. RTA 시간이 증가 할수록 HI-InGaN 신호의 위치가 GaN 피크 방향으로 이동하며, 세기가 증가하는 것을 확인 할 수 있다. HI-InGaN의 PL 스펙트럼에서 상온 발광파장은 1369 nm 이며, 반치폭(Line-width)은 51.02 nm을 보였다. RTA 수행 후 발광파장에 따른 광세기가 각각 달라졌으며, 특히 900 nm 부근의 신호가 상대적으로 크게 증가하는 것을 확인할 수 있었다. RTA에 따른 HI-InGaN의 구조 및 광학적 특성 변화를 InN와 GaN 계면에서 In, Ga 원자의 상호확산 효과현상으로 논의할 예정이다. -
초격자는 동종의 III-V 삼원 합금층을 성장하는 동안 스피노달 분해(spinodal decomposition)로 인한 원자배열(atomic ordering)과 상분리phase separation)에 의해서 발생하는데 MBE (molecular beam epitaxy)과 MOVPE (metalorganic vapor phase epitaxy)를 이용하여 성장시킬 때 주로 발생한다. 본 논문에서는 성장온도에 따른 InP/GaP SPS (short-period superlattices) 구조의 광학적 특성 변화를 시료의 온도와 여기광의 세기를 이용하여 분석하였다. 시료는 MBE 장비를 이용하여 성장하였으며, SPS층은 659쌍의 GaP(2.9
${\AA}$ )과 InP(3.1${\AA}$ )로 이루어져 있고, GaP 층을 처음에 증착한 뒤, InP 층을 증착 하였다. 성장시 온도를$400^{\circ}C$ ,$425^{\circ}C$ ,$460^{\circ}C$ 그리고$490^{\circ}C$ 로 변화를 주어 성장하였다. 이들 시료를 GT400, GT425, GT460 그리고 GT490이라 하였고 이에 대한 광학적 특성을 PL (photoluminescence)를 이용하여 분석하였다. 10 K에서 PL 피크는 GT400 시료는 634 nm, GT425 시료는 636 nm, GT460 시료는 680nm, 그리고 GT490 시료는 692 nm에서 나타났으며, GT425 시료의 PL 세기가 가장 강하게 나타나고 GT400 시료의 PL 세기가 가장 약하게 나타났다. 그러나 260 K에서 PL 세기는 GT460 시료가 가장 강하게 나타나고 GT425 시료가 가장 약하게 나타났다. 성장온도가 증가함에 따라 밴드갭이 감소하는 것은 특정 성장온도($460^{\circ}C$ ) 이상에서 LCM (lateral composition modulation)이 형성되는 것으로 설명할 수 있다. GT400 시료와 GT425 시료의 PL 피크가 1.94 eV와 1.95 eV로 비슷하고, GT460 시료와 GT490 시료의 PL 피크가 1.82 eV과 1.79 eV로 비슷하게 나타난 것은$460^{\circ}C$ 이상에서 성장한 시료에서 LCM 구조 형성으로 설명할 수 있다. -
Jeong, Yong-Chan;Seong, Se-Jong;Lee, Myeong-Wan;Park, In-Seong;An, Jin-Ho;Rao, Venkateswara P.;Dussarrat, Christian;Noh, Wontae 229.2
Yttrium oxide (Y2O3)는 band gap이 5.5 eV 정도로 상대적으로 넓고, 굴절상수가 1.8, 유전율이 10~15, Silicon 과의 격자 불일치가 작은 특성을 가지고 있다. 또한 녹는점이 높아 열적으로 안정하기 때문에 전자소자 및 광학소자에 다양하게 응용되는 물질이다. Y2O3 박막은 다양한 방법으로 증착할 수 있는데, 그 방법에는 e-beam evaporation, laser ablation, sputtering, thermal oxidation, metal-organic chemical vapor deposition, and atomic layer deposition (ALD) 등이 있다. ALD는 기판 표면에 흡착된 원자들의 자기 제한적 반응에 의하여 박막이 증착되기 때문에 박막 두께조절이 용이하고 step coverage와 uniformity 측면에서 큰 장점이 있다. 이전에는 Y(thd)3 and Y(CH3Cp)3 와 같은 금속 전구체를 이용하여 ALD를 진행하여, 증착 속도가 낮고 defect이 많아 non-stoichiometric한 조성의 박막이 증착되는 문제점이 있었다. 이번 연구에서는, (iPrCp)2Y(iPr-amd)와 탈이온수를 사용하여 Y2O3 박막을 증착하였다. Y2O3 박막 증착에 사용한 Y 전구체는 상온에서 액체이고$192^{\circ}C$ 에서 1 Torr의 높은 증기압을 갖는다. Y2O3 박막 증착을 위하여 Y 전구체는$150^{\circ}C$ 로 가열하여 N2 gas를 이용하여 bubbling 방식으로 공정 챔버 내로 공급하였다. Y2O3 박막의 ALD window는$250{\sim}350^{\circ}C$ 였으며, Y 전구체의 공급시간이 5초에 다다르자 더 이상 증착 두께가 증가하지 않는 자기 제한적 반응을 확인할 수 있었다. 그리고 증착된 Y2O3 박막의 특성 분석을 위해 Atomic force microscopy (AFM)과 X-ray photoelectron spectroscopy (XPS), Auger electron spectroscopy (AES) 를 진행하였다. 박막의 Surface morphology 는 매끄럽고 uniform 하였으며, 특히 고체 금속 전구체를 사용했을 때와 비교하여 수산화물이 거의 없는 박막을 얻을 수 있었다. 그리고 조성 분석을 통해 증착된 Y2O3 박막이 stoichiometric하다는 것을 알수 있었다. 또한 metal-insulator-metal (MIM) 구조 (Ru/Y2O3/Ru) 의 resistor 소자를 형성하여 저항 스위칭 특성을 확인하였다. -
본 연구에서는 asymmetric과 symmetric 구조의 n채널 MOSFET 소자의 성능 평가에 관한 실험을 진행하였다. 소자의 성능 평가에 있어 아날로그 회로에서의 DC 이득은 중요한 파라미터 중 하나 이다. 따라서 본 연구에서는 gm/ID 측정법을 이용하여 각 소자의 DC 이득 특성을 분석하였다. 게이트 전압에 따른 드레인 전류-드레인 전압 특성 곡선으로부터 early voltage 값을 추출하였다. 이후 최종적으로 수치적 계산을 통해 DC 이득 값을 추출하였다. 실험 결과 asymmetric과 symmetric 소자의 경우 early voltage 값이 각각 -34 V와 -15 V였으며 따라서 DC 이득 특성 또한 asymmetric 소자의 경우가 우수한 것을 확인하였다.
-
본 연구에서는 asymmetric과 symmetric MOSFET 소자의 drain breakdown 및 snapback 특성을 분석하였다. 실험에서는 두 MOSFET 소자의 동작 영역에서 게이트와 드레인에 각각 전압을 인가하였다. 드레인 전류-전압 곡선으로 부터 drain breakdown 전압과 snapback 전압을 추출하였다. 결과 avalanche breakdown 발생 전의 드레인 전류는 asymmetric 구조의 경우 더 작은 값을 보였으며 이는 asymmetric 구조에서의 drain field 가 더 낮기 때문이다. 따라서 impact ionization은 asymmetric 구조에서 덜 발생하며, snapback 전압은 avalanche breakdown voltage가 작은 asymmetric 구조에서 크게 나타났다.
-
Ti 와 Al 은 금속간의 화합물이 내산화성에 우수한 성질을 가지고 있으며 낮은 밀도와 고온에도 큰 변화가 없는 성질을 가지고 있다. 그리하여 내식 및 부식 관련 연구나 고온재료를 필요로 하는 우주, 엔진 제품 등에 많은 연구가 진행되고 있다. 또한 Ti-Al-N 박막은 경도가 우수하여 고속 공구 부품에 널리 사용되고 있으며 최근 Ti-Al-N 에 Si 첨가로 인하여 40 GPa 이상의 고경도와 1,000도 이상의 산화온도를 지닌 나노 혼합물 코팅을 형성 시키는 것으로 알려져 있다. 본 연구에서는 Ti, Al, Si 원분말을 PBM (Planetary Ball Milling) 방법을 사용하여 Ti-Al-Si 혼합분말로 제조하고, 제조된 분말들은 SPS (Spark Plasma Sintering) 공정을 통하여 Ti-Al-Si 합금타겟을 제작하였다. 제작된 Ti-Al-Si 합급타겟을 사용한 Sputtering 공정을 수행하여 Ti-Al-Si 3원계 박막을 증착하였다. 그 결과 기존 Ti (82
${\mu}m$ ), Al (32${\mu}m$ ), Si (16${\mu}m$ ) 크기의 원분말들이 PBM (Planetary Ball Milling) 공정 후 Ti-Al-Si (18${\mu}m$ ) 로 입도가 작아진 것을 확인 할 수 있었고, 소결 후 타겟이 99% 이상의 높은 밀도를 가졌으며 원분말의 조성과 동일한 조성을 가진 타겟이 제작되었음을 확인하였다. Ti-Al-Si 타겟의 경도는 약 1,000 Hv 이상의 값을 보였으며, Ti-Al-Si-N 박막의 경우 타겟의 조성과 동일하였고 경도는 약 35 GPa 로 높은 경도 값을 가지는 것을 확인하였다. 내산화 테스트 결과 Ti-Al-Si-N 박막은 1,000도 에서도 박막의 손상이 가지 않았다. -
Park, Ye-Na;Shin, Tae-Jun;Lee, Hyun-Jin;Lee, Ji-Soo;Jeong, Yong-Ki;Ahn, So-Hyun;Lee, On-You;Kim, Jang-Han;Nam, Ki-Hyun;Chung, Hong-Bay 237.2
ReRAM cell, also known as conductive bridging RAM (CBRAM), is a resistive switching memory based on non-volatile formation and dissolution of conductive filament in a solid electrolyte [1,2]. Especially, Chalcogenide-based ReRAM have become a promising candidate due to the simple structure, high density and low power operation than other types of ReRAM but the uniformity of switching parameter is undesirable. It is because diffusion of ions from anode to cathode in solid electrolyte layer is random [3]. That is to say, the formation of conductive filament is not go through the same paths in each switching cycle which is one of the major obstacles for performance improvement of ReRAM devices. Therefore, to control of nonuniform conductive filament formation is a key point to achieve a high performance ReRAM. In this paper, we demonstrated the enhanced repeatable bipolar resistive switching memory characteristics by spreading the Ag nanocrystals (Ag NCs) on amorphous GeSe layer compared to the conventional Ag/GeSe/Pt structure without Ag NCs. The Ag NCs and Ag top electrode act as a metal supply source of our devices. Excellent resistive switching memory characteristics were obtained and improvement of voltage distribution was achieved from the Al/Ag NCs/GeSe/Pt structure. At the same time, a stable DC endurance (>100 cycles) and an excellent data retention (>104 sec) properties was found from the Al/Ag NCs/GeSe/ Pt structured ReRAMs. -
본 연구에서는 Symmetric NMOSFET의 channel length에 따른 전기적 특성 분석에 관한 연구를 진행하였다. 특성 분석에 사용된 소자의 Gate oxide 두께는 6 nm 이며, 채널 Width/Length는 각각 10/10
${\mu}m$ , 10/0.2${\mu}m$ 이다. Drain Avalanche Hot Carrier(DAHC) 테스트를 진행하기 위하여 각각 스트레스 조건을 추출하였고, 조건에 해당되는 스트레스를 1700초 동안 인가하였다. 스트레스 후, Channel length가 10${\mu}m$ 과 0.2${\mu}m$ 인 두 소자의 특성을 측정, 분석결과 10${\mu}m$ 의 소자의 경우 문턱전압(VT)과 Subthreshold swing (SS)의 변화가 없었지만 0.2${\mu}m$ 의 소자의 경우 0.42V의 (from 0.67V to 1.09V) 문턱전압 변화 (VTH)와 71 mV/dec (from 79 mV/dec to 150 mV/dec))의 Swing (SS)변화를 보여 스트레스 후에 Interface trap이 증가하였음을 알 수 있다. off-state leakage current를 측정 결과 0.2${\mu}m$ 의 경우 leakage current의 양이 증가하였음을 알 수 있고 이는 드레인 부근에 증가된 interface trap에 의한 현상으로 판단된다. 상기 결과와 같이 DAHC 스트레스에 의한 소자의 열화 현상은 Channel length가 짧을수록 더 크게 의존하는 것을 확인하였다. -
The device scale of flash memory was confronted with quantum mechanical limitation. The next generation memory device will be required a break-through for the device scaling problem. Especially, graphene is one of important materials to overcome scaling and operation problem for the memory device, because ofthe high carrier mobility, the mechanicalflexibility, the one atomic layer thick and versatile chemistry. We demonstrate the hybrid memory consisted with the metal-oxide quantum dots and the mono-layered graphene which was transferred to
$SiO_2$ (5 nm)/Si substrate. The 5-nm thick secondary$SiO_2$ layer was deposited on the mono-layered graphene by using ultra-high vacuum sputtering system which base pressure is about$1{\times}10^{-10}$ Torr. The$In_2O_3$ quantum dots were distributed on the secondary$SiO_2$ 2 layer after chemical reaction between deposited In layer and polyamic acid layer through soft baking at$125^{\circ}C$ for 30 min and curing process at$400^{\circ}C$ for 1 hr by using the furnace in$N_2$ ambient. The memory devices with the$In_2O_3$ quantum dots on graphene monolayer between$SiO_2$ thin films have demonstrated and evaluated for the application of next generation nonvolatile memory device. We will discuss the electrical properties to understating memory effect related with quantum mechanical transport between the$In_2O_3$ quantum dots and the Fermi level of graphene layer. -
최근 고집적화된 금속-산화막 반도체 metal oxide semiconductor (MOS) 소자는 크기가 점점 작아짐에 따라 얇은 산화막과 다양한 High-K 물질과 전극에 대하여 연구되고 있다. 이러한 소자의 열적 안정성과 균일성을 얻기 위해 다양한 열처리 방법이 사용되고 있으며, 일반적인 열처리 방법으로는 conventional thermal annealing (CTA)과 rapid thermal annealing (RTA)이 많이 이용되고 있다. 본 실험에서는 microwave radiation에 의한 열처리로 소자의 특성을 개선시킬 수 있다는 사실을 확인하였고, 상대적으로
$100^{\circ}C$ 이하의 저온에서도 공정이 이루어지기 때문에 열에 의한 소자 특성의 열화를 억제할 수 있으며, 또한 짧은 처리 시간 및 공정의 단순화로 비용을 효과적으로 절감할 수 있다. 본 실험에서는 metal-oxide-silicon (MOS) 구조의 capacitor를 제작한 다음, 기존의 CTA나 RTA 처리가 아닌 microwave radiation을 실시하여 MOS capacitor의 전기적인 특성에 미치는 microwave radiation 효과를 평가하였다. 본 실험은 p-type Si 기판에 wet oxidation으로 300 nm 성장된 SiO2 산화막 위에 titanium/aluminium (Ti/Al) 금속 전극을 E-beam evaporator로 형성하여 capacitance-voltage (C-V) 특성 및 current-voltage (I-V) 특성을 평가하였다. 그 결과, microwave 처리를 통해 flat band voltage와 hysteresis 등이 개선되는 것을 확인하였고, microwave radiation 파워와 처리 시간을 최적화하였다. 또한 일반적인 CTA 열처리 소자와 비교하여 유사한 전기적 특성을 확인하였다. 이와 같은 microwave radiation 처리는 매우 낮은 온도에서 공정이 이루어짐에도 불구하고 시료 내에서의 microwave 에너지의 흡수가 CTA나 RTA 공정에서의 열에너지 흡수보다 훨씬 효율적으로 이루어지며, 결과적으로 산화막과 실리콘 기판의 계면 특성 개선에 매우 효과적이라는 것을 나타낸다. 따라서, microwave radiation 처리는 향후 저온공정을 요구하는 nano-scale MOSFET의 제작 및 저온 공정이 필수적인 display 소자 제작의 해결책으로 기대한다. -
최근, 비정질 산화물 반도체 thin film transistor (TFT)는 수소화된 비정질 실리콘 TFT와 비교하여 높은 이동도와 큰 on/off 전류비, 낮은 구동 전압을 가짐으로써 빠른 속도가 요구되는 차세대 투명 디스플레이의 TFT로 많은 연구가 진행되고 있다. 한편, 기존의 MOSFET 제작 시 우수한 박막을 얻기 위해서는
$500^{\circ}C$ 이상의 높은 열처리 온도가 필수적이며 이는 유리 기판과 플라스틱 기판에 적용하는 것이 적합하지 않고 높은 온도에서 수 시간 동안 열처리를 수행해야 하므로 공정 시간 및 비용이 증가하게 된다는 단점이 있다. 따라서, 본 연구에서는 RF sputter를 이용하여 증착된 비정질 InGaZnO pesudo MOSFET 소자를 제작하였으며, thermal 열처리와 microwave 열처리 방식에 따른 전기적 특성을 비교 및 분석하고 각 열처리 방식의 열처리 온도 및 조건을 최적화하였다. P-type bulk silicon 위에 산화막이 100 nm 형성된 기판에 RF 스퍼터링을 이용하여 InGaZnO 분말을 각각 1:1:2mol% 조성비로 혼합하여 소결한 타겟을 사용하여 70 nm 두께의 InGaZnO를 증착하였다. 연속해서 Photolithography 공정과 BOE(30:1) 습식 식각 과정을 이용해 활성화 영역을 형성하여 소자를 제작하였다. 제작 된 소자는 pseudo MOSFET 구조이며, 프로브 탐침을 증착 된 채널층 표면에 직접 접촉시켜 소스와 드레인 역할을 대체하여 동작시킬 수 있어 전기적 특성을 간단하고 간략화된 공정과정으로 분석할 수 있는 장점이 있다. 열처리 조건으로는 thermal 열처리의 경우, furnace를 이용하여 각각$300^{\circ}C$ ,$400^{\circ}C$ ,$500^{\circ}C$ ,$600^{\circ}C$ 에서 30분 동안 N2 가스 분위기에서 열처리를 실시하였고, microwave 열처리는 microwave를 이용하여 각각 400 W, 600 W, 800 W, 1000 W로 20분 동안 실시하였다. 그 결과, furnace를 이용하여 열처리한 소자와 비교하여 microwave 를 통해 열처리한 소자에서 subthreshold swing (SS), threshold voltage (Vth), mobility 등이 개선되는 것을 확인하였다. 따라서, microwave 열처리 공정은 향후 저온 공정을 요구하는 MOSFET 제작 시의 훌륭한 대안으로 사용 될 것으로 기대된다. -
최근, 저항변화 메모리 (resistance random access memory, ReRAM)는 단순한 구조, 고집적성, 낮은 소비 전력, 우수한 retention 특성 CMOS 기술과의 공정호환성 등의 장점으로 인하여 현재 사용되는 메모리의 물리적 한계를 극복할 수 있는 차세대 메모리로써 주목을 받고 있다. 더욱이 용액공정은 높은 균일성, 공정 시간 및 비율 감소 그리고 대면적화가 가능한 장점을 가진 이유로 TiOx, ZrOx ZnO 같은 high-k 물질들을 이용한 연구가 보고되고 있다. 기존의 ReRAM 용액공정에서 결함, 즉 oxygen vacancies 그리고 불순물들을 제어하기 위해 일반적으로 사용되는 furnace 열처리는 낮은 열효율과 고비용등의 문제점을 가지고 있다. 특히 glass 또는 flexble 기판의 경우 열처리 온도에 제약이 있다. 이러한 문제를 해결하기 위한 방법으로 열 균일성, 짧은 공정시간 의 장점을 가진 microwave 열처리 방법이 보고되고 있다. 따라서 본 연구에서는 용액공정을 이용하여 증착한 HfOx 기반의 저항변화 메모리를 제작하여 저온에서 microwave 열처리 와 furnace 열처리의 특성을 비교평가 하였다. 그 결과 microwave 열처리 방법이 furnace 열처리 방법보다 넓은 메모리 마진, 향상된 uniformity 를 가지는 것을 확인 하였다. 이로써 저온공정이 필요한 ReRAM 의 열처리 대안책 으로 사용될 수 있을 것으로 기대된다.
-
최근 산화물 반도체 박막 트랜지스터의 신뢰성(reliability) 평가에 대한 연구가 활발히 이루어지고 있다. 신뢰성 평가하는 한 방법으로 게이트에 바이어스를 지속적으로 인가하여 소자의 문턱 전압의 변화를 통해 안정성(stability)를 확인한다. 전압을 지속적으로 인가하게 되면 소자를 열화시켜 전기적 특성이 약화된다. 본 연구에선 ITZO 박막 트랜지스터의 신뢰성 평가를 위해 게이트 절연막(
$SiO_2$ ,$SiN_x$ )에 따른 ITZO 소자를 제작 및 게이트 바이어스 스트레스 후 전기적 특성을 비교, 분석하였다. 제작된 소자의 게이트에 전압을 +15V로 7200초 동안 인가하였다. 스트레스 후 게이트 절연막이$SiO_2$ ,$SiN_x$ 인 ITZO 산화물 박막 트랜지스터 모두 positive 방향으로 이동하였고, 그 결과 문턱 전압, 이동도, 아문턱 기울기의 변화가 발생하였다.$SiO_2$ 의 경우 아문턱 기울기의 변화가 거의 없이 문턱 전압의 변화만을 보였고, 이는 단순히 ITZO층과 게이트 절연막 계면에 전자가 포획되거나 혹은 게이트 절연막 내에 전자가 주입이 되었기 때문이다. 반면에$SiN_x$ 의 경우 ITZO층과 게이트 절연막 계면에 추가적인 결함(defect)이 생성되었기 때문에$SiO_2$ 보다 더 많은 전자를 포획하여 아문턱 기울기와 문턱 전압의 변화가 컸다. -
본 연구에서는 산소 분압과 열처리 온도에 따른 ITZO 박막 트랜지스터의 전기적 특성 향상을 목적으로 실험을 진행하였다. 1) ITZO 박막 증착 시 산소 분압 가변(
$O_2/(Ar+O_2)$ 30~40%), 열처리 온도 고정($350^{\circ}C$ )과 2) ITZO 박막 증착 시 산소 분압 고정(30%), 열처리 온도($200{\sim}400^{\circ}C$ )를 가변하여 실험을 진행하였다. 두 실험 모두 특성향상을 위해 산소 분위기에서 열처리를 진행하였다. 산소의 분압이 증가할수록 산소 빈자리를 채우면서 전자 농도가 감소하여 채널 전도 효과가 줄어들면서 Hump 현상이 발생하였고, 스윙이 증가, 문턱 전압이 음의 방향으로 이동하였다. 이에$O_2/(Ar+O_2)$ )의 30%에서 30%일때, 문턱전압은 1.98 V, 전계 효과 이동도는 28.97$cm2/V{\cdot}s$ , sub-threshold swing은 280 mv/dec, on-off 비율은 ~107로 가장 우수한 전기적 특성을 보였다. 또한 열처리 온도 가변 시$400^{\circ}C$ 에서 전계 효과 이동도는 28.97$cm^2/V{\cdot}s$ 로$200^{\circ}C$ 의 전계 효과 이동도는 11.59$cm^2/V{\cdot}s$ 에 비해 약 3배 증가하였고, 소자의 스위칭 척도인 sub-threshold swing은 약 180 mv/dec 감소하였다. 문턱 전압은 0.97V, on-off ratio는 약 107을 보였다. 동일한 산소 분압의 분위기에서$400^{\circ}C$ 열처리 시 가장 우수한 전기적 특성을 보였고, 저온 공정으로 인한 플렉서블 디스플레이 투명 디스플레이 적용 가능성을 확인하였다. -
본 실험에서
$SiO_2$ ,$SiN_x$ 게이트 절연막에 따른 ITZO 산화물 반도체 트랜지스터를 제작하여, 온도변화에 따라 전달 특성 변화를 측정하여 열에 대한 소자의 안정성을 비교, 분석하였다. 온도가 증가함에 따라 carrier가 증가하는 온도 의존성을 보이며, 이로 인해 Ioff가 증가하였다. multiple-trapping 모델을 적용하여, 이동도 증가와 문턱 전압이 감소를 확인하였다. 또한 M-N rule을 적용하여$SiO_2$ ,$SiN_x$ 게이트 절연막을 가진 ITZO 산화물 박막 트랜지스터의 활성화 에너지를 추출하고, sub-threshold 지역에서 활성화 에너지의 변화량이$SiO_2$ , SiNX 각각 0.37 eV/V, 0.24 eV/V로 차이를 통해$SiN_x$ 게이트 절연체를 가진 ITZO 산화물 반도체 트랜지스터의 이동도와 문턱 전압의 변화가 더 컸음을 확인하였다. -
Jeong, Hyun;Jeong, Seung Yol;Jeong, Hyun Joon;Park, Doo Jae;Kim, Yong Hwan;Kim, HyoJung;Lee, Geon-Woong;Jeong, Mun Seok 244.1
The p-type GaN which act as a hole injection layer in GaN-based LEDs has fundamental problems. The first one arises from the difficulty in growing a highly doped p-GaN (with a carrier concentration exceeding ~1018$cm^{-3}$ ). And the second one is the absence of appropriate metals or conducting oxides having a work function that is larger than that of p-type GaN (7.5 eV). Moreover, the LED efficiency is decreases gradually as the injection current increases (the so-called 'efficiency droop' phenomenon). The efficiency droop phenomenon in InGaN quantum wells (QWs) has been a large obstacle that has hindered high-efficiency operation at high current density. In this study, we introduce the new approaches to improve the light-output power of LEDs by using graphene oxide sheets. Graphene oxide has many functional groups such as the oxygen epoxide, the hydroxyl, and the carboxyl groups. Due to nature of such functional groups, graphene oxide possess a lot of hole carriers. If graphene oxide combine with LED top surface, graphene oxide may supply hole carriers to p-type GaN layer which has relatively low free carrier concentration less than electron concentration in n-type GaN layer. To prove the enhancement factor of graphene oxide coated LEDs, we have investigated electrical and optical properties by using ultra-violet photo-excited spectroscopy, confocal scanning electroluminescence microscopy. -
Contact Pattern을 Plasma Etching을 통해 Pattering 공정을 진행함에 있어서 Plasma 내에 존재하는 High Energy Ion 들의 Bombardment 에 의해, Contact Bottom 의 Silicon Lattice Atom 들은 Physical 한 Damage를 받아 Electron 의 흐름을 방해하게 되어, Resistance를 증가시키게 된다. 또한 Etchant 로 사용되는 Fluorine 과 Chlorine Atom 들은, Contact Bottom 에 Contamination 으로 작용하게 되어, 후속 Contact 공정을 진행하면서 증착되는 Ti 나 Co Layer 와 Si 이 반응하는 것을 방해하여 Ohmic Contact을 형성하기 위한 Silicide Layer를 형성하지 못하도록 만든다. High Aspect Ratio Contact (HARC) Etching 을 진행하면서 Contact Profile을 Vertical 하게 형성하기 위하여 Bias Power를 증가하여 사용하게 되는데, 이로부터 Contact Bottom에서 발생하는 Etchant 로 인한 Damage 는 더욱 더 증가하게 된다. 이 Damage Layer를 추가적인 Secondary Damage 없이 제거하기 위하여 본 연구에서는 원자층 식각방법(Atomic Layer Etching Technique)을 사용하였다. 실험에 사용된 원자층 식각방법을 이용하여, Damage 가 발생한 Si Layer를 Secondary Damage 없이 효과적으로 Control 하여 제거할 수 있음을 확인하였으며, 30 nm Deep Contact Bottom 에서 Damage 가 제거될 수 있음을 확인하였다. XPS 와 Depth SIMS Data를 이용하여 상기 실험 결과를 확인하였으며, SEM Profile 분석을 통하여, Damage 제거 결과 및 Profile 변화 여부를 확인하였으며, 4 Point Prove 결과를 통하여 결과적으로 Resistance 가 개선되는 결과를 얻을 수 있었다.
-
Polydiphenylacetylene (PDPA) derivatives are a class of conjugated polymer that contain intramolecular excimer emission originating the intramolecular stack structure. In contrast with conventional conjugated polymer, the fluorescence property of PDPA significantly depends on the intramolecular stack structure. In this regard, herein, we investigated new fluorescence switching mechanism of conjugated polyelectrolyte (CPE) based on PDPA. The developed CPE showed relatively weak fluorescence emission in water, while the polymer exhibited a great fluorescence amplification behavior by electrostatic complex with proteins. In addition, the CPE is highly sensitive to binding with a little protein despite of turn-on type fluorescence response. We found that the fluorescence switching of the CPE closely relate to a perturbation of the intramolecular stack structure. The new fluorescence switching mechanism of the CPE is very useful for protein assays and discrimination and it also would be provide new sensing approaches as basic sensing mechanism.
-
Isoindigo Based Small Molecules for High-Performance Solution-Processed Organic Photovoltaic DevicesSolution processed organic photovoltaic devices have relatively less attention compared to polymer photovoltaic devices even though they have high possibility to be developed because they have both advantages of polymer and organic, such as solution processable, no synthetic batch dependence of photovoltaic performance, high purity and high charge carrier mobility as well as relatively high efficiency (~7%). In addition, solution processed organic photovoltaic devices have an advantage of easiness to study the relationship between the molecular structure and photovoltaic performance due to its simple structure. In this work, five isoindigo based low band gap donor-acceptor-donor (D-A-D) small molecules with different electron donating strength were synthesized for investigating the relationship between the molecular structure and photovoltaic performance, especially, investigating the effects of different electron donating effect of donor group in isoindigo backbone to photovoltaic device performance. The variation of electron donating strength of donor group strongly affected the optical, thermal, electrochemical and photovoltaic device performances of isoindigo organic materials. The highest power conversion efficiency of ~3.2% was realized in bulk heterojuction photovoltaic device consisted of the ID3T as donor and PC70BM as acceptor. This work demonstrates the great potential of isoindigo moieties as electron deficient units as well as guideline for synthesis of donor-acceptor-donor (D-A-D) small molecules for realizing highly efficient solution processed organic photovoltaic devices.
-
Combination of oxidative vacuum annealing and oxygen plasma treatment can serve as a simple and efficient method of line-width modification of imprinted nanopatterns. Since the vacuum annealing and oxygen plasma could lead mass loss of polymeric materials, either one of the process can yield a narrowed patterns. However, the vacuum annealing process usually demands quite high temperatures (
${\geq}300^{\circ}C$ ) and extended annealing time to get appreciable line-width reduction. Although the plasma treatment may be considered as an effective low temperature rapid process for the line-width reduction, it is also suffering for the lowered controllability on application to very fine patterns. We have found that the vacuum annealing temperature can be lowered by introducing the oxygen in the vacuum process and that the combination of oxygen plasma treatment with the vacuum annealing could yield the best result in the line-with reduction of the imprinted polymeric nanopatterns. Well-defined line width reduction by more than 50% was successfully demonstrated at relatively low temperatures. Furthermore, it was verified that this process was applicable to the nanopatterns of different shapes and materials. -
Natural photosynthesis utilizes two proteins, photosystem I and photosystem II, to efficiently oxidize water and reduce NADP+ to NADPH. Artificial photosynthesis which mimics this process achieve water splitting through a two-step Z-schematic water splitting process using man-made synthetic materials for hydrogen fuel production. In this study, Z-scheme system was achieved from the hybrid materials which composed of hydrogen production part as photosystem I protein and water oxidizing part as semiconductor BiVO4. Utilizing photosystem I as the hydrogen evolving part overcomes the problems of existing hydrogen evolving p-type semiconductors such as water instability, expensive cost, few available choices and poor red light (>600 nm) absorbance. Some problems of photosystem II, oxygen evolving part of natural photosynthesis, such as demanding isolation process and D1 photo-damage can also be solved by utilizing BiVO4 as the oxygen evolving part. Preceding research has not suggested any protein-inorganic-hybrid Z-scheme composed of both materials from natural photosynthesis and artificial photosynthesis. In this study, to realize this Z-schematic electron transfer, diffusion step of electron carrier, which usually degrades natural photosynthesis efficiency, was eliminated. Instead, BiVO4 and Pt-photosystem I were all linked together by the mediator gold. Synthesized all-solid-state hybrid materials show enhanced hydrogen evolution ability directly from water when illuminated with visible light.
-
Hexagonal boron nitride (h-BN) is a two dimensional material which has high band-gap, flatness and inert properties. This properties are used various applications such as dielectric for electronic device, protective coating and ultra violet emitter so on. 1) In this report, we were growing h-BN sheet directly on sapphire 2"wafer. Ammonia borane (H3BNH3) and nickel were deposited on sapphire wafer by evaporate method. We used nickel film as a sub catalyst to make h-BN sheet growth. 2) During annealing process, ammonia borane moved to sapphire surface through the nickel grain boundary. 3) Synthesized h-BN sheet was confirmed by raman spectroscopy (FWHM: ~30cm-1) and layered structure was defined by cross TEM (~10 layer). Also we controlled number of layer by using of different nickel and ammonia borane thickness. This nickel film supported h-BN growth method may propose fully and directly growing on sapphire. And using deposited ammonia borane and nickel films is scalable and controllable the thickness for h-BN layer number controlling.
-
ZnO nanostructures have a lot of interest for decades due to its varied applications such as light-emitting devices, power generators, solar cells, and sensing devices etc. To get the high performance of these devices, the factors of nanostructure geometry, spacing, and alignment are important. So, Patterning of vertically- aligned ZnO nanowires are currently attractive. However, many of ZnO nanowire or nanorod fabrication methods are needs high temperature, such vapor phase transport process, metal-organic chemical vapor deposition (MOCVD), metal-organic vapor phase epitaxy, thermal evaporation, pulse laser deposition and thermal chemical vapor deposition. While hydrothermal process has great advantages-low temperature (less than
$100^{\circ}C$ ), simple steps, short time consuming, without catalyst, and relatively ease to control than as mentioned various methods. In this work, we investigate the dependence of ZnO nanowire alignment and morphology on si substrate using of nanosphere template with various precursor concentration and components via hydrothermal process. The brief experimental scheme is as follow. First synthesized ZnO seed solution was spun coated on to cleaned Si substrate, and then annealed$350^{\circ}C$ for 1h in the furnace. Second, 200nm sized close-packed nanospheres were formed on the seed layer-coated substrate by using of gas-liquid-solid interfacial self-assembly method and drying in vaccum desicator for about a day to enhance the adhesion between seed layer and nanospheres. After that, zinc oxide nanowires were synthesized using a low temperature hydrothermal method based on alkali solution. The specimens were immersed upside down in the autoclave bath to prevent some precipitates which formed and covered on the surface. The hydrothermal conditions such as growth temperature, growth time, solution concentration, and additives are variously performed to optimize the morphologies of nanowire. To characterize the crystal structure of seed layer and nanowires, morphology, and optical properties, X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM), Raman spectroscopy, and photoluminescence (PL) studies were investigated. -
RF 마그네트론 스퍼터링법으로 증착된 Al-doped ZnO 박막을 씨드층으로 하고, zinc nitrate hexahydrate, hexamethylenetetramine (HMTA), ammonium chloride (AC) 및 polyethyleneimine (PEI)를 반응용액으로 한 수열합성법으로 ZnO 나노와이어를 성장시켰다. ZnO 나노와이어의 성장 공정 중 반응 용액내에 DC 바이어스를 인가하고, DC 바이어스의 인가 유무 및 인가 DC 바이어스 전압의 크기 변화에 따라 성장시킨 ZnO 나노와이어의 특성을 비교분석하였다. 다양한 공정변수 변화에 따라 수열합성법으로 성장시킨 ZnO 나노와이어 시편들을 SEM 분석을 통해 특성분석을 수행하였다.
-
Song, Yun-Ho;Kim, Jae-U;Jeong, Jin-U;Gang, Jun-Tae;Choe, Seong-Yeol;Choe, Jeong-Yong;An, Seung-Jun 253.2
탄소나노튜브(CNT)는 나노미터의 직경과 마이크로미터의 길이를 갖는 기하학적 구조와 우수한 전계방출 특성으로 디지털 엑스선 소스와 같은 차세대 전자소스 소자에 활용되고 있다. 본 발표에서는 고밀착성의 CNT 에미터와 진공 브레이징 공정 개발을 기초로 설계, 제작된 CNT 기반 디지털 엑스선 튜브에 대해서 논의한다. 나노 필러를 함유한 페이스트를 제조하여 캐소드 기판에 대한 CNT 에미터의 밀착성을 향상시켰으며, 진공 브레이징을 고온에서 최적화함으로써 진공 밀봉된 엑스선 튜브내의 진공도를 안정적으로 확보하였다. 유방암 진단을 위한 디지털 단층합성 시스템용으로 50 mA 이상의 고전류 엑스선 튜브를 제작함과 아울러 근접 암치료 또는 강내형 엑스선 영상용으로 6 mm 이하의 직경을 갖는 초소형 엑스선 튜브를 제작하였다. 개발된 CNT 기반 엑스선 튜브는 우수한 안정성과 신뢰성을 보이며, 에너지와 강도를 쉽게 제어할 수 있는 디지털 특성도 잘 나타냈다. -
현재의 나노기술 및 부품은 나노미터 이하의 초고분해능을 요구하면서도 나노미터 이하의 정확도로 가공할 수 있는 기술을 요구하고 있다. 이온현미경은 위 두 요구조건을 만족하는 차세대 현미경으로써 초고분해능 이미징과 함께 기존의 갈륨이온을 사용하는 집속이온빔 장치보다 네온가스등을 이용하여 더 정밀하게 에칭 및 스퍼터링을 할 수 있다. 이온현미경은 전자현미경에 비해 더 깊은 초점심도를 갖으며, 색수차와 구면수차에 비교적 둔감하고 전자에 비해 무거운 이온의 무게 때문에 짧은 파장을 갖는 특징을 가지고 있다. 이와 같은 특징을 이용하면 전자현미경과 다른 여러 특징과 장점을 갖는 고분해능의 현미경을 제작할 수 있다. 이와 같이 차세대 현미경으로 주목받는 이온현미경의 중요한 부분인 이온총은 현재 가스장 이온 소스 방법으로 대부분 개발되고 있다. 가스장 이온 소스는 1950년대에 E. W. Muller에 의해 개발된 전계 이온 현미경(Field Ion Microscope)에서 응용된 방법으로 뾰족한 탐침에서의 가스 이온화를 기반으로 한다. 가장 보편적으로 사용되는 재질은 텅스텐으로 수십 nm 정도의 곡률 반경을 갖도록 제작하고 초고진공에 설치하여 강한 양전압을 인가함과 동시에 가스를 팁 주변에 넣어주면 팁표면에서 이온빔이 발생하게 된다. 본 연구에서는 위와 같이 차세대 나노장비로써 주목받는 이온현미경의 특징에 대해 소개하고, 특히 이온현미경의 이온총 원천기술 개발을 위해 연구하고 있는 가스장 이온 소스의 특성에 대해 소개한다. 수소, 네온, 헬륨의 전계 이온현미경과 함께 생성된 이온빔의 안정도 및 각전류 밀도를 계산하여 실제 이온총으로의 적용 가능성에 대해 보여준다.
-
Cu wire 발열패드는 대중화된 히터로 많이 이용되지만 높은 소비전력(70 w이상)으로 에너지 효율을 중요시 하는 미래 소재로는 적합하지 않아 효율이 높은 발열 소재의 연구가 이루어지고 있다. 이에 본 실험에서 Graphite표면에 Amide 기능화를 유도된 Carbon nanotube (Electrical Conductivity
$10^5$ s/cm, Thermal Conductivity >3,000 w/mk)를 분산 시켜, Graphite의 우수한 전기 전도도의 특성을 이용할 뿐만 아니라 Carbon nanotube의 접착 특성을 통해 물리적 특성을 향상시켜 면상발열체의 도막 특성 향상뿐만 아니라 효율적 발열을 유도 하고자 한다. -
Well-aligned nanowire arrays can be used as building blocks for nanoscale device. Recently, we reported that well-aligned single-crystal organic nanowires has been created by using a direct printing method which is named liquid-bridge mediated nanotransfer molding (LB-nTM). Moreover, multi-layering nanostructures can be fabricated by repeating this printing process. As a result, it is possible to make simple and basic concept of heterojunction devices such as crossed nanowire devices. We fabricated crossed single-crystal organic nanowires nanojunction devices from 6,13-bis (triisopropylsilylethynyl) pentacene (TIPS-PEN) and fullerene (C60) single-crystal nanowires using by direct printing method in solution process. Crossed TIPSPEN/ C60 single-crystal nanowires diode has rectifying behavior with on/off ratios of ~13. In addition, the device shows photodiode characteristics as well as rectification. Our study represent methodology of heterojunction devices using single-crystal nanowires, thereby provide a new direction of future nanoelectronics.
-
에너지 갭이 큰 ZnO 반도체는 빛 투과율이 우수하여 투명성이 좋으며 화학적으로 안정된 구조를 가지고 있어 전자소자 및 광소자 응용에 대단히 유용하다. 일반적으로 화학 기상증착, 전자빔증착과 전기화학증착법을 사용하여 ZnO 나노 구조를 제작하고 있다. 여러 가지 증착 방법 중에서 전기화학증착방법은 낮은 온도와 진공 공정이 필요하지 않으며 대면적 공정이 가능하고 빠른 성장 속도로 나노구조를 효과적으로 성장할 수 있는 장점을 가지고 있다. 본 연구에서는 전기화학증착법을 이용하여 Indium Tin Oxide (ITO) 기판위에 Al 도핑된 ZnO 나노세선 성장시키고 성장시간에 따라 형성한 ZnO 나노세선의 구조적 성질을 조사하였다. ZnO 나노세선을 성장하기 위하여 zinc nitrate와 potassium chloride를 각각 0.1 M을 용해한 용액을 사용하였다. 전기화학증착방법을 사용하여 제작한 ITO 기판 위에 성장시킨 ZnO 나노세선 위에 전극을 제작하고 전류-전압 특성을 측정하였다. Al-doped ZnO 나노세선의 성장되는 조건을 Al 농도별로 0 wt%, 1 wt%, 2 wt% 및 5 wt% 씩 증가시키면서 ZnO 나노세선의 구조적 특성을 분석하였다. X-선회절 (X-ray diffraction; XRD) 실험 결과를 통해 ZnO 나노세선이 성장함을 확인하였고, 성장 시간이 길어짐에 따라 (101) 성장방향의 XRD 피크의 세기가 증가하였다. 전기화학증착시 Al 도핑 농도 증가에 따라 ZnO 나노세선의 지름이 200 nm에서 300 nm로 변화하는 것을 주사전자현미경으로 관측하였다. 이 실험 결과는 전기화학증착방법을 사용하여 제작한 ZnO 나노세선의 Al 도핑 농도에 따른 구조적 특성들을 최적화하여 소자제작에 응용하는데 도움이 됨을 보여주고 있다.
-
ZnO 나노구조는 전기적 성질과 화학적인 안정성 때문에 가스센서, 투명 전극 및 태양전지와 같은 전자소자와 광소자에 널리 사용되고 있다. ZnO 박막을 증착하는 방법은 Physical Vapor Deposition과 Chemical Vapor Deposition이 있으나 나노 구조를 가진 SnO2를 형성하기 어렵다. 전기 화학적 증착(Electrochemical Deposition: ECD)은 낮은 온도에서 진공 공정이 필요하지 않기 때문에 경제적이며 빠른 성장 속도를 가지고 있기 때문에 ZnO 나노 구조를 효과적으로 형성 할 수 있다. 본 연구에서는 Indium Tin Oxide (ITO) 기판 위에 ZnO 나노 구조를 형성시켜 전기적 및 구조적 특성을 관찰하였다. 0.1 M zinc nitrate와 0.1 M potassium chloride를 용매에 각각 용해하여 ZnO 나노구조를 성장하였다. ZnO 나노구조를 성장하기 위하여 인가전압을 -0.75 V부터 -2.5 V까지 0.5 V 간격으로 변화하였다. X-선 회절 분석결과에서 ZnO의 피크의 크기가 큰 전기화적적 성장 전압구간과, 주사전자현미경 분석결과에서 나노 구조가 가장 잘 나타난 성장 전압구간을 다시 0.1 V 간격으로 세분화하여 최적화 조건을 분석하였다. X-선 회절 실험으로 형성한 ZnO 나노구조의 피크가 (110) (002)로 나타났다. X-선 회절 분석의 intensity의 값이 (002)방향이 가장 크게 나타났으므로 우선적으로 (002) 방향으로 ZnO 나노구조가 성장됨을 알 수 있었다. 주사전자현미경상은 grain size가 200~300 nm 사이의 ZnO 나노구조가 형성되며, grain size가 전기화학적 증착 장치의 성장전압이 커짐에 따라 커지는 것을 알 수 있었다.
-
ZnO는 광학적 및 전기적 성질의 여러 가지 장점 때문에 메모리, 나노발전기, 트랜지스터, 태양전지, 광탐지기 및 레이저와 같은 전자소자 및 광소자로 여러 분야에서 다양하게 사용되고 있다. Al이 도핑된 ZnO 나노결정체를 전기화학적 증착법을 이용하여 형성하고, 형성시간의 변화에 따른 구조적 및 광학적 성질을 관찰했다. ITO로 코팅된 유리 기판에 전기화학증착법을 이용해 Al 도핑된 ZnO를 성장시켰다. Sputtering, pulsed laser vapor deposition, 화학기상증착, atomic layer epitaxy, 전자빔증발법 등으로 Al 도핑된 ZnO 나노구조를 형성할 수 있지만, 본 연구에서는 간단한 공정과정, 저온증착, 고속, 저가의 특성 등으로 경제적인 면에서 효율적인 전기화학증착법을 이용했다. 반복실험을 통하여 Al의 도핑 농도는 Zn와 Al의 비율이 98:2이 되도록, ITO 양극과 Pt 음극의 전위차가 -2.25 V가 되도록 실험조건을 고정했고, 성장시간을 각각 1분, 5분, 10분으로 변화하였다. 주사전자현미경 사진을 보면 Al 도핑된 ZnO는 성장 시간이 증가함에 따라 나노구조의 직경이 커지는 것을 알 수 있다. 광루미네센스 측정 결과는 산소 공핍의 증가로 보이는 500~600 nm대의 파장에서 나타난 피크의 위치가 에너지가 큰 쪽으로 증가했다. 위 결과로부터 성장 시간에 따른 Al 도핑된 ZnO의 구조적 및 광학적 특성변화를 관찰했고, 이 연구 결과는 Al 도핑된 ZnO 나노구조 기반 전자소자 및 광소자에 응용 가능성을 보여주고 있다.
-
Gang, Jun-Tae;Kim, Jae-U;Jeong, Jin-U;Choe, Seong-Yeol;Choe, Jeong-Yong;An, Seung-Jun;Song, Yun-Ho 263.1
탄소나노튜브(CNT)를 이용한 초소형 X선 튜브는 근접 암치료, 비파괴 X선 영상 장치, 휴대용 X선 분광계 등에서 X선 발생소스로 많이 연구되고 있다. 2극형 CNT 에미터의 경우 구조가 단순하여 초소형 X선 튜브에 쉽게 장착할 수 있지만 아노드의 전압과 전류가 연동되기 때문에 튜브의 조작성이 제한적이다. 3극형은 상대적으로 복잡한 구조이고, CNT에서 방출된 전자가 게이트 전극으로 흐르는 누설 전류 그리고 절연체와 충돌하여 차징을 발생시킬 수 있기 때문에 직경이 좁은 초소형 X선 튜브에 구현하기가 쉽지 않다. 하지만 초소형 X선 튜브를 다양한 X선 장치에 응용하기 위해서는 아노드 전압과 전류의 독립된 조작이 가능한 3극형 CNT 에미터가 반드시 구현되어야 한다. 본 발표에서는 전자빔의 아노드 집속을 강화하고 절연체에서의 차징을 줄이는 포커싱 기능의 게이트(FFG) 구조를 제안하였고. 이를 적용하여 초소형 X선 튜브들을 제작하고, 분석하였다. FFG 구조가 성공적으로 적용된 초소형 X선 튜브는 게이트 누설 전류 없이 뛰어난 전류 및 X선 방출 특성을 보였다. 이와는 달리, 몇몇 초소형 X선 튜브들에서는 게이트 누설 전류가 나타났고, 아노드 전압에 의한 게이트 전압 상승이 발생하여 불안정한 구동 특성을 보였다. 초소형 X선 튜브를 밀봉하지 않고 진공 챔버에서 실험한 결과, 유도된 게이트 전압은 상당한 시간이 흐르거나 진공챔버에 공기를 주입하고 다시 진공상태로 만들면 유도전압이 제거되는 것을 볼 수 있었다. 결론적으로 CNT에서 방출된 전자빔이 정상궤도를 벗어나 게이트 누설전류와 차징에 의한 게이트 유도전압을 발생시키면 초소형 X선 튜브가 불안정한 구동을 하고, 결국 튜브의 심각한 결함으로 나타나게 된다. 즉, 게이트 누설 전류와 유도된 게이트 전압은 3극형 CNT 에미터가 장착된 초소형 X선 튜브의 디자인과 제작에 있어서 성공 기준이 될 수 있다. -
We report a systematic investigation of a set of macrophotoinitiators for use in polymerization-based signal amplification. To test the dependence of photopolymerization responses on the number of photoinitiators localized per molecular recognition event, we gradually increased the number of photoinitiator molecules coupled to a scaffold macromolecule. Macrophotoinitiators constructed with an average of 7 to 168 photoinitiators per polymer with the goals of quantifying the relationship between the number of initiators per binding event and the degree of amplified colorimetric readout. To evaluate the capacity of the macrophotoinitiators to detect molecular recognition, neutravidin was coupled to these molecules to recognize biotin-labeled DNA immobilized on biochip test surfaces. Fluorescein macroinitiators are found to be useful in detecting molecular recognition above a threshold of initiators per polymer. Above this threshold, increasing the number of initiators per macroinitiator resulted in increased signal strength.
-
Graphene is a two-dimensional carbon material whose structure is one-atom-thick planar sheet of sp2-bonded carbon atoms densely packed in a honeycomb crystal lattice. It has drawn significant attention with its distinguished structural and electrical properties. Extremely high mobility and a tunable band gap make graphene potentially useful for innovative approaches to electronics. Although mechanical exfoliation of graphite and decomposition of SiC surfaces upon thermal treatment have been the main method for graphene, they have some limitations in quality and scalability of as-produced graphene films. Solutionphase and solvothermal syntheses of graphene achieved a major improvement for processing, however for device fabrication, a reproducible method such as chemical vapor deposition (CVD) growth yielding high quality films of controlled thickness is required. In this research, we synthesized hexagonal graphene flakes on Cu foils by CVD method and controlled its coverage, density and the size of graphene domains by changing reaction parameters. It is important to control these parameters of graphene growth during synthesis in order to achieve tunable properties and optimized device performance.
-
Molecular layer deposition (MLD) is sequential, self-limiting surface reaction to form conformal and ultrathin polymer film. This technique generally uses bifunctional precursors for stepwise sequential surface reaction and entirely organic polymer films. Also, in comparison with solution-based technique, because MLD is vapor-phase deposition based on ALD, it allows epitaxial growth of molecular layer on substrate and is especially good for surface reaction or coating of nanostructure such as nanopore, nanochannel, nanwire array and so on. In this study, polyurea film that consisted of phenylenediisocyanate and phenylenediamine was formed by MLD technique. In situ Fourier Transform Infrared (FTIR) measurement on high surface area SiO2 substrate was used to monitor the growth of polyurethane and polyurea film. Also, to investigate orientation of chemical bonding formed polymer film, plan-polarized grazing angle FTIR spectroscopy was used and it showed epitaxial growth and uniform orientation of chemical bones of polyurea films.
-
Nanoporous anodic aluminum oxide (AAO), a self-ordered hexagonal array has various applications for nanofabrication such as nanotemplate, and nanostructure. In order to obtain highly-ordered porous alumina membranes, Masuda et al. proposed a two-step anodization process however this process is confined to small domain size and long hours. Recently, alternative methods overcoming limitations of two-step process were used to make prepatterned Al surface. In this work, we confirmed that there is a specific tendency used a PDMS stamp to obtain a pre-patterned Al surface. Using the nanoindentaions of a PDMS stamp as chemical carrier for wet etching, we can easily get ordered nanoporous template without two-step process. This chemical etching method using a PDMS stamp is very simple, fast and inexpensive. We use two types of PDMS stamps that have different intervals (800nm, 1200nm) and change some parameters have influenced the patterning of being anodized, applied voltage, soaking and stamping time. Through these factors, we demonstrated the patterning effect of large scale PDMS stamp.
-
We fabricate poly(3,4-ethylenedioxythiopene patterns using liquid-bridge-mediated nanotransfer (LB-nTM) printing via vapor phase polymerization (VPP). LB-nTM printing method can simultaneously enable the synthesis, alignment and patterning of the nanowires from molecular ink solutions. Two- or three-dimensional complex structures of VPP-PEDOT were directly fabricated over a large area using many types of molecular inks. VPP method is a versatile technique that can be used to obtain highly conducting coatings of conjugated polymer on both conducting and non-conducting substrates. The PEDOT patterns has analyzed crystallinity from X-ray diffraction pattern and select-area diffraction patterns. In addition, the PEDOT pattern has high conductivity compared other conducting polymers.
-
Large-scale single-crystal organic nanowire arrays were generated using a direct printing method (liquidbridge- mediated nanotransfer molding) that enables the simultaneous synthesis, alignment and patterning of nanowires from molecular ink solutions. Using this method, single-crystal organic nanowires can easily be synthesized by self-assembly and crystallization of organic molecules within the nanoscale channels of molds, and these nanowires can then be directly transferred to specific positions on substrates to generate nanowire arrays by a direct printing process. Repeated application of the direct printing process can be used to produce organic nanowire-integrated electronics with two- or three-dimensional complex structures on large-area flexible substrates. This efficient manufacturing method is used to fabricate all-organic nanowire field-effect transistors that are integrated into device arrays and inverters on flexible plastic substrates.
-
According to advanced nanotechnology, the nanostructured materials with various kinds and shape are synthesized easily or produced by process. Recently, researches about interaction between the nanostructured materials and biological system have been progressed actively. The surface topography may influence cellular responses, for example cell adhesion, cell morphology. In this work, we synthesized vertically aligned silicon nanowires (SiNWs) on the Au-covered Si(111) wafer by chemical vapor deposition (CVD) method. We accomplished to control of the SiNWs diameter by regulating thickness of Au film such as 1 nm and 10 nm. These substrates did not isolate cells and just provided surface topography for cell culture. Human Embryonic Kidney 293T cells (HEK 293T cells) were cultured on these substrates for 2 days. We studied the nanotopographical effects on cell morphology, adhesion, and growth which are evaluated on each SiNWs substrate comparing bare glass as control.
-
In modern science and technology, the organization of building blocks, such as spherical particles and zeolite, is important to form a nanostructure. So, it is essential to develop methods for organizing them into large scale for many precise applications. Up to now, reflux and stirring is widely used method for organization of colloidal particles. However, because this method is hard to organize building block with high coverage and uniform orientation, it is necessary to research another method. In this work, we synthesized spherical silica particles using St
$\"{o}$ ber method and organized them on the glass which is coated with 3-chloropropyltrimethoxysilane (CP-TMS) and polyethyleneimine (PEI) using Sonication method. Although spherical silica particles are difficult to attach on the glass due to their small attachment site, we improved this problem by coating PEI. We introduced two mode of reaction promotion, sonication (SO) and sonication with stacking between the bare glass (SS), and investigated degree of coverage (DOC) and degree of close packing (DCP). -
In the citrate reduction method of gold nanoparticle (AuNP) synthesis, pH of the reaction mixture can have a considerable impact on the size and size distribution of AuNPs. In this work, effects of pH variation upon the size and its distribution were examined systematically. As the initial pH was change from 5.5 to 10.5, it showed an optimal pH around 7.5. At this pH, both of the size and the size distribution showed their minimum values, which was verified by transmission electron microscopy and UV-vis spectroscopy. This occurrence of optimal pH was discussed with the results of in situ monitoring pH during the reaction of AuNP synthesis.
-
Immobilization of conducting nanoparticles on a nanogap comprising two electrodes spaced at a distance comparable to the particle size can be used as a simple and sensitive method of detecting the particles. In this work, we have examined the performance of the nanogap devices in the measurement of metallic nanoparticles, particularly gold nanoparticles (Au NPs). Detection of pM-level Au NPs in an aqueous suspension was quite straightforward irrespective of the existence of non-conducting materials. Speed of detection or the time necessary for the completion of the measurement, however, was strongly dependent upon the immobilization process. Active trapping process was found to be much more efficient and also effective in the detection of nanoparticles than its passive counterpart.
-
Park, Jimin;Park, Dae Keun;Lee, Cho Yeon;Kang, Aeyeon;Oh, Jihye;Kim, Gyuhee;Lee, Sangho;Yun, Wan Soo 268.2
Nanogap interdigitated electrodes (NIDEs) can serve as an alternative platform for the biomolecular detection [1]. In this work, the NIDEs were adopted in a simple and sensitive detection of Pneumococcal surface protein A (PspA). The NIDEs were fabricated by the combination of photo and chemical lithography. Photolithographically-defined initial gap of about 200 nm was narrowed down to a few tens of nanometers by surface-initiated growth of the initial electrodes (chemical lithography) [2]. Bare silicon oxide surface between the electrodes was chemically modified to immobilize capturing antibodies and, after exposure to the samples, the device was immersed in a solution containing the probe-antibody-conjugated Au nanoparticles (Au NPs). The conductance change accompanied with the Au NP immobilization was interpreted as the existence of PspA. Detection limit of the measurements and further improvement of the detection efficiency were discussed with the results from I-V analysis, scanning electron microscopy, and atomic force microscopy. -
Lee, Hyun Uk;Seo, Jung Hye;Son, Byoungchul;Kim, Hyeran;Yun, Hyung Joong;Jeon, Cheolho;Lee, Jouhahn 270.1
Zinc oxide (ZnO) is one of the most powerful materials for purifying organic pollutants using photocatalytic activity. In this study, we have introduced a novel method to design highly photoreactive flexible 3 dimensional (3D) ZnO nanocomposite [F-ZnO-m (m: reaction time, min)] by electrospinning and simple-step ZnO growth processing (one-step ZnO seed coating/growth processing). Significantly, the F-ZnO-m could be a new platform (or candidate) as a photocatalytic technology for both morphology control and largearea production. The highest photocatalytic degradation rate ([k]) was observed for F-ZnO-m at 2.552 h-1, which was 8.1 times higher than that of ZnO nanoparticles (NPs; [k] = 0.316 h-1). The enhanced photocatalytic activity of F-ZnO-m may be attributed to factors such as large surface area. The F-ZnO-m is highly recyclable and retained 98.6% of the initial decolorization rate after fifteen cycles. Interestingly, the F-ZnO-m samples show very strong antibacterial properties against both Gram-negative Escherichia coli (E. coli) and Gram-positive Staphylococcus aureus (S. aureus) after exposure to UV-light for 30 min. The antibacterial properties of F-ZnO-m samples are more effective than those of ZnO NPs. More than 96.6% of the E. coli is sterilized after ten cycles. These results indicate that F-ZnO-m samples might have utility in several promising applications such as highly efficient water/air treatment and inactivation of pathogenic microorganisms. -
직선운동하는 하전입자의 진행방향에 수직한 평면상에 서로 직교하는 전기장과 자기장을 걸어주면, 하전입자에는 전기장에 의한 힘 FE와 자기장과 속도 v에 의한 로렌츠력
$F_B=q(v{\times}B)$ 가 동시에 작용하게 된다. 이때 Wien 조건 FB=-FE를 만족하는 질량 mA과, 에너지 EA를 가지는 하전입자 A는 휘지 않고 직선운동을 계속하나, 하전입자 A와 다른 에너지$E_B\;(=E_A+{\delta}E)$ 나 질량$m_B\;(=m_A+{\delta}m)$ 을 가지는 하전입자는 휘게 되며, 그 휘는 정도는${\delta}E$ 나${\delta}m$ 에 비례하게 된다. 이 현상을 이용하여 다양한 종류의 에너지 또는 질량 분석기가 독일, 미국, 일본 등의 분석기기 선진국에서 개발되어 왔고, 전자현미경의 이미지 필터로도 활용되고 있으며, 통상 EXB 필터 또는 발명자의 이름을 딴 Wien 필터로 불리어지고 있다.$E{\times}B$ 필터는 일반적인 하전입자빔 렌즈와 다른 광학특성을 가지며, 지난 발표에서는$E{\times}B$ 필터의 기본 궤도 방정식 및 다양한 2차 기하 수차 방정식의 유도과정 및 결과를 보여주었다. 본 발표에서는 EXB 필터의 전후에 배치시켜, 초점거리 등의 조정을 수행할 4극자와,$E{\times}B$ 필터에서 발생하는 2차 수차의 보정을 수행할 6극자의 광학특성의 계산 결과를 보여준다. 4극자-6극자-EXB필터-6극자-4극자 조합의 기본 광학궤도 계산 결과는 빔 다이어그램으로 보여준다. 6극자에 의해 수차를 줄여서 향상되는 에너지 분해능 값은 수치적으로 추정한다. 실제 제작이 된 각 부품의 외형 및 사진을 보여주어 에너지 필터의 제작 진행 상황을 보고한다. -
Titania is usually used in sun-screens, tooth paste, and other daily used objects as a pigment. However, scientists have focused on titania as photocatalyst due to its excellent activities. By fabricating vanadium doped TiO2 and CuOx co-catalyzed TiO2 nano-size filter, the degradation level of the volatile organic compound (VOC) concentration was tested using 365nm UV LED as light source in a closed chamber. Main purpose for this test is to evaluate the activities of various catalysts for degrading the VOCs which are detrimental to human body and toluene and p-xylene were chosen in the VOC removal test. Target gas materials were injected into the test chamber with dry air as carrier gas which was flowed into the gas washer bottle filled with liquid form of VOC substance. When the VOC gas flows into the chamber, it is circulated by 200 mm fan in order to contact with the set-up filter on the aluminum holder. Target gas concentration in the chamber was monitored using VOC detector (miniRae3000, Raesystems) which was also placed inside the chamber. With the measured concentration, the VOC degradation efficiency and the degradation rate were evaluated and used to compare the catalytic activities.
-
열화학 기상 증착법은 반도체 산업에서 대면적으로 소자를 양산할 수 있는 방법 중의 하나로서, 그래핀, 이황화 몰리브덴과 같은 이차원 물질의 합성법으로 널리 이용되고 있다. 이런 이차원 물질은 층수에 따라 그 물성이 변화하므로, 층수 조절이 가능한 합성법의 필요성이 대두되고 있다. 열화학 기상 증착법으로 이차원 물질을 합성할 경우, 주요 변수로 성장 온도와 촉매 금속이 있으며 이를 적절히 조절함으로서 합성되는 그래핀의 결정성과 층수의 조절이 가능하다[1-3]. 또한, 이차원 반도체 물질로 전계효과 트랜지스터를 제작하는 경우, 얇은 두께로 인하여 표면의 환경에 민감하게 되므로 게이트 절연체가 중요한 문제로 대두되고 있으며, 이런 현상을 해결하고자 질화붕소(BN)과 같은 이차원 절연물질에 관심이 집중되고 있다. 본 연구에서는 이차원 절연체인 질화붕소의 표면 위에 그래핀을 합성하고자 하였다. 반데발스 성장법(van der Waals epitaxy growth method)으로 1. "BN/ SiO2" 2. "BN/ Ni" 3. "BN/ Cu"의 세 가지 기판을 이용하여 그래핀을 합성하였다. 합성된 그래핀의 결정성 및 층수를 확인하기 위해 라만 스펙트럼과 투과전사 현미경을 통하여 분석하였다. 또한, 이 방법으로 "그래핀/ 질화붕소/ 그래핀"과 같은 구조의 소자를 제작하여 전계효과 트랜지스터 특성을 살펴보았다.
-
We report the circumferential alignment of human aortic smooth muscle cells (HASMCs) in an orthogonally micropatterned circular microfluidic channel to form an in vivo-like smooth muscle cell layer. To realize a biomimetic smooth muscle cell layer which is aligned perpendicular to the axis of blood vessel, we first fabricated a half-circular polydimethylsiloxane (PDMS) microchannel by soft lithography using a convex PDMS mold. The orthogonally micro wrinkle patterns were generated inside the half-circular microchannel by stretching-releasing operation under UV irradiation. Upon UV treatment with uniaxial 40 % stretch of a PDMS substrate and releasing process, the microwrinkle patterns perpendicular to the axial direction of the circular microchannel were generated, which could guide the circumferential alignment of HASMCs successfully during cultivation. The analysis of orientation angle, shape index, and contractile protein marker expression indicates that the cultured HASMCs revealed the in vivo-like cell phenotype. Finally, we produced circular microchannels by bonding two half-circular microchannels, and cultured the HASMCs circumferentially with high alignment and viability for 5 days. These results are the first demonstration for constructing an in vivo-like 3D smooth muscle cell layer in the circular microfluidic channel which can provide novel bioassay platforms for in-depth study of HASMC biology and vascular function.
-
We described a simple and efficient fabrication method for generating microfluidic channels with a circular-cross sectional geometry by exploiting the reflow phenomenon of a thick positive photoresist. Initial rectangular shaped positive photoresist micropatterns on a silicon wafer, which were fabricated by a conventional photolithography process, were converted into a half-circular shape by tuning the temperature to around
$105^{\circ}C$ . Through optimization of the reflow conditions, we could obtain a perfect circular micropattern of the positive photoresist, and control the diameter in a range from 100 to 400${\mu}m$ . The resultant convex half-circular photoresist was used as a template for fabricating a concave polydimethylsiloxane (PDMS) through a replica molding process, and a circular PDMS microchannel was produced by bonding two half-circular PDMS layers. A variety of channel dimensions and patterns can be easily prepared, including straight, S-curve, X-, Y-, and T-shapes to mimic an in vivo vascular network. To inform an endothelial cell layer, we cultured primary human umbilical vein endothelial cells (HUVECs) inside circular PDMS microchannels, and demonstrated successful cell adhesion, proliferation, and alignment along the channel. -
Molecular diagnostics consists of three processes, which are a sample pretreatment, a nucleic acid amplification, and an amplicon detection. Among three components, sample pretreatment is an important process in that it can increase the limit of detection by purifying nucleic acid in biological sample from contaminants that may interfere with the downstream genetic analysis such as nucleic acid amplification and detection. To achieve point-of-care virus detection system, the sample pretreatment process needs to be simple, rapid, and automatic. However, the commercial RNA extraction kits such as Rneasy (Qiagen) or MagnaPure (Roche) kit are highly labor-intensive and time-consuming due to numerous manual steps, and so it is not adequate for the on-site sample preparation. Herein, we have developed a rotary microfluidic system to extract and purify the RNA without necessity of external mechanical syringe pumps to allow flow control using microfluidic technology. We designed three reservoirs for sample, washing buffer, and elution buffer which were connected with different dimensional microfluidic channels. By controlling RPM, we could dispense a RNA sample solution, a washing buffer, and an elution buffer successively, so that the RNA was captured in the sol-gel solid phase, purified, and eluted in the downstream. Such a novel rotary sample preparation system eliminates some complicated hardwares and human intervention providing the opportunity to construct a fully integrated genetic analysis microsystem.
-
Petri dishes and glass slides have been widely used as general substrates for in vitro mammalian cell cultures due to their culture viability, optical transparency, experimental convenience, and relatively low cost. Despite the aforementioned benefit, however, the flat two-dimensional substrates exhibit limited capability in terms of realistically mimicking cellular polarization, intercellular interaction, and differentiation in the non-physiological culture environment. Here, we report a protocol of culturing embryonic rat hippocampal neurons on the electro-spun polymeric network and the results from examination of neuronal cell behavior and network formation on this culture platform. A combinatorial method of laser-scanning confocal fluorescence microscopy and live-cell imaging technique was employed to track axonal outgrowth and synaptic connectivity of the neuronal cells deposited on this model culture environment. The present microfiber-based scaffold supports the prolonged viability of three-dimensionally-formed neuronal networks and their microscopic geometric parameters (i.e., microfiber diameter) strongly influence the axonal outgrowth and synaptic connection pattern. These results implies that electro-spun fiber scaffolds with fine control over surface chemistry and nano/microscopic geometry may be used as an economic and general platform for three-dimensional mammalian culture systems, particularly, neuronal lineage and other network forming cell lines.
-
Among the prerequisites for stable neural interfacing are the long-term stability of electrical performance of and the excellent biocompatibility of conducting materials in implantable neural electrodes. Reduced graphene oxide offers a great potential for a variety of biomedical applications including biosensors and, particularly, neural interfaces due to its superb material properties such as high electrical conductivity, decent optical transparency, facile processibility, and etc. Nonetheless, there have been few systematic studies on the graphene-based neural interfaces in terms of biocompatibility of electrode materials and long term stability in electrical characteristics. In this research, we prepared the primary culture of rat hippocampal neurons directly on reduced graphene oxide films which is chosen as a model electrode material for the neural electrode. We observed that the viability of primary neuronal culture on the present structure is minimally affected by nanoscale graphene flakes below. These results implicate that the multilayer films of reduced graphene oxides can be utilized for the next-generation neural interfaces with decent biocompatibility and outstanding electrical performance.
-
그래핀은 우수한 전기적, 기계적, 광학적 특성들로 인하여 전자소자, 센서, 에너지 재료 등으로의 응용이 가능하다고 알려진 단 원자층의 탄소나노재료이다. 특히 그래핀을 전자소자로 응용하기 위해서는 캐리어 농도, 전하 이동도, 밴드갭 등의 전기적 특성을 향상시키거나 제어하는 것이 요구되며, 에너지 소재로의 응용을 위해서는 높은 전기전도도와 함께 기능화를 통한 촉매작용을 부여하여 효율을 향상시키는 것이 요구된다. 일반적으로 화학적 도핑은 그래핀의 전기적 특성을 제어하는 효율적인 방법으로 알려져 있다. 화학적 도핑의 방법으로 질소, 수소, 산소 등 다양한 이종원소를 열처리 또는 플라즈마 처리함으로써 그래핀을 구성하는 탄소원자를 이종원자로 치환하거나 흡착시켜 기능화 처리된 그래핀을 얻는 방법들이 제시되었다. 이중 플라즈마를 이용한 도핑방법은 저온에서 처리가 가능하고, 처리시간, 공정압력, 인가전압 등 플라즈마 변수를 변경하여 도핑정도를 비교적 수월하게 제어할 수 있다는 장점을 가지고 있다. 본 연구에서는 열화학기상증착법으로 합성된 그래핀을 직류 플라즈마로 처리함으로써 효율적인질소도핑 조건을 도출하고자 하였다. 그래핀의 합성은 200 nm 두께의 니켈 박막이 증착된 몰리브덴 호일을 사용하였으며, 원료가스로는 메탄을 사용하였다. 그래핀의 질소 도핑은 평행 평판형 직류 플라즈마 장치를 이용하여 암모니아(
$NH_3$ ) 플라즈마로 처리하였으며, 플라즈마 파워와 처리시간을 변수로 최적의 도핑조건 도출 및 도핑 정도를 제어하였다. 그래핀의 질소 도핑 정도는 라만 스펙트럼의 G밴드의 위치와 반치폭(Full width at half maximum; FWHM)의 변화를 통해 확인하였다. NH3 플라즈마 처리 후 G밴드의 위치가 장파장 방향으로 이동하며, 반치폭은 감소하는 것을 통해 그래핀의 질소도핑을 확인하였다. -
Shape control of gold nanocrystal is still one of the most important challenges remaining to achieve geometry dependent properties. Thus far, several strategies have been developed to control the shape of nanoparticles, such as adding capping agents and diverse additives or adjusting the temperature and pH. Here, we used an already established seed-mediated method that allowed us to focus on controlling the growth stage. Cetyltrimethylammonium bromide (CTAB) and ascorbic acid (AA) were used as the ligand and the reducing agent, respectively, without using any additional additives during the growth stage. We investigated how the relative ratio of CTAB and AA concentrations could be a major determinant of nanoparticle shape over a wide concentration range of CTAB and AA. As a result, a morphology diagram was constructed experimentally that covered the growth conditions of rods, cuboctahedra, cubes, and rhombic dodecahedra. The trends in the morphology diagram emphasize the importance of the interplay between CTAB and AA. Furthermore, high-index faceted gold nanocrystal was obtained by two step seeded growth. Already synthesized cubic particles developed into hexoctahedral nanocrystal consisting of 48 identical {321} facets, which indicates that the growth of gold nanocrystal is affected by initial morphology of seed particles. The hexoctahedral gold nanoparticles can be used in catalysis and optical applications which exploiting their unique geometry. Our research can provide useful guidelines for designing various facetted geometries.
-
Since heavy metal ions included in water or food resources have critical effects on human health, highly sensitive, rapid and selective analysis for heavy metal detection has been extensively explored by means of electrochemical, optical and colorimetric methods. For example, quantum dots (QDs), such as semiconductor QDs, have received enormous attention due to extraordinary optical properties including high fluorescence intensity and its narrow emission peaks, and have been utilized for heavy metal ion detection. However, the semiconductor QDs have a drawback of serious toxicity derived from cadmium, lead and other lethal elements, thereby limiting its application in the environmental screening system. On the other hand, Graphene oxide (GO) has proven its superlative properties of biocompatibility, unique photoluminescence (PL), good quenching efficiency and facile surface modification. Recently, the size of GO was controlled to a few nanometers, enhancing its optical properties to be applied for biological or chemical sensors. Interestingly, the presence of various oxygenous functional groups of GO contributes to opening the band gap of graphene, resulting in a unique PL emission pattern, and the control of the sp2 domain in the sp3 matrix of GO can tune the PL intensity as well as the PL emission wavelength. Herein, we reported a photoluminescent GO array on which heavy metal ion-specific DNA aptamers were immobilized, and sensitive and multiplex heavy metal ion detection was performed utilizing fluorescence resonance energy transfer (FRET) between the photoluminescent monolayered GO and the captured metal ion.
-
ZnO nanowire를 기반으로 하는 nanogenerator는 미세한 움직임을 전기 에너지로 변환 시키는 압전 에너지 하베스팅 기술로 기존 에너지 하베스터와 비교하여 사용환경의 제약이 적고, 소형화가 가능한 장점으로 주목을 받고 있다. 특히 혈류, 심장박동, 호흡 등 인체 활동 에너지를 이용한 발전 소자 등의 활용이 가능하여 활발한 연구가 진행되고 있다. 하지만, 최근 발표된 film like Vertical 구조의 nanogenerator는 nanowire의 구조 취약성으로 인해 내구성이 좋지 못한 단점이 있다. 또한 ZnO nanowire의 내부 O2 결함 및 표면 OH-기의 흡착에 의한 특성 저하가 나타난다. 본 연구에서는 nanogenerator의 내구성을 향상시키기 위해 capping layer로 실리콘 계 유무기 하이브리드를 적용하여 코팅 물질 및 코팅 방법을 최적화 하였으며 상부 전극을 CNT-Ag nanowire 소재로 대체하여 유연기판에 대응코자 하였다. 또한 APP(Atmosphere Pressure Plasma)와 ICP(Inductively Coupled Plasma)장비를 사용하여 ZnO nanowire를 표면처리하였고, 각각의 플라즈마 표면처리의 영향에 대해 조사하였다. XPS를 통하여 OH-기의 제거 유무를 확인하였으며, 소자의 발전 특성의 향상을 확인 하였다.
-
Lee, Seung Youb;Jeon, Cheolho;Jung, Woosung;Kim, Yooseok;Kim, Seok Hwan;An, Ki-Seok;Park, Chong-Yun 283.2
The variation of chemical and interfacial state during the growth of Ta2O5 films on the Si substrate by atomic layer deposition (ALD) was investigated using in-situ synchrotron radiation photoemission spectroscopy. A newly synthesized liquid precursor Ta(NtBu)(dmamp)2Me was used as the metal precursor, with Ar as a purging gas and H2O as the oxidant source. The core-level spectra of Si 2p, Ta 4f, and O 1s revealed that Ta suboxide and Si dioxide were formed at the initial stages of Ta2O5 growth. However, the Ta suboxide states almost disappeared as the ALD cycles progressed. Consequently, the Ta5+ state, which corresponds with the stoichiometric Ta2O5, only appeared after 4.0 cycles. Additionally, tantalum silicate was not detected at the interfacial states between Ta2O5 and Si. The measured valence band offset value between Ta2O5 and the Si substrate was 3.08 eV after 2.5 cycles. -
Nowadays, the glare towards the light-emitting diode (LED) lighting source has much attention due to its eco-friendly nature, reduced energy consumption, and low CO2 emission. LEDs can show versatile colors by changing the composition ratio of semiconductors. Phosphors re-emit light by absorbing light from LED, which is the key factor for emission. The endeavor to make replica of natural white light is increasing day by day. Industrially, blue LED chip crowned with a yellow phosphor coated lens gives low quality white light. Newly, many researchers are introducing modern approaches, adding red phosphor to the yellow phosphor to increase the quality of white light. Here, we synthesized structurally and chemically stable europium doped oxyapatite Ca8Gd2(PO4)6O2 nano-crystalline structures by a hydrothermal method. The ultrafine structures were formed due to the effect of ethylenediaminetetraacetic acid, which is confirmed by the transmission electron microscope images. The structural properties were analyzed using the X-ray diffraction patterns.
-
기존의 이온성 고분자-금속 복합체(IPMC)는 백금(Pt)전극을 이온성 전기활성 고분자(Ionic electroactive polymer)인 나피온에 무전해 도금으로 만들어졌다. 본 연구는 백금전극을 그래핀으로 대체하여 투명 이온성 고분자-그래핀 복합체(IPGC)를 제작하였다. 그래핀은 근적외선 화학기상증착법(NIR-CVD)으로 전이금속 (Cu, Ni) 위에 탄화수소 가스(CH4)를 이용하여 성장하였다. 전이 금속위에 성장된 그래핀을 나피온 양쪽면에 van der Waals 결합력을 이용하는 습식 전이공정으로 전극을 형성하였다. IPGC는 면 저항(4-point probe), 투과도(UV/Vis spectrometer) 및 라만 분광법(Micro Raman spectroscopy)의 측정으로 그래핀 전극의 특성평가를 하였고, 전계방사 주사전자현미경(Field Emisson Scanning Electron Microscope; FE-SEM)을 사용하여 IPGC의 구조적 특성을 확인하였다. 제작된 IPGC의 성능은 백금전극을 이용한 IPMC의 변위(displacement), 힘(force), 작동 주파수(Operating frequency) 분석을 통해 비교 평가하였다.
-
The Isolation of few-layered transition metal dichalcogenides has mainly been performed by mechanical and chemical exfoliation with very low yields. in particular, the two-dimensional layer of molybdenum disulfide (MoS2) has recently attracted much interest due to its direct-gap property and potential application in optoelectronics and energy harvesting. However, the synthetic approach to obtain high-quality and large-area MoS2 atomic thin layers is still rare. In this account, a controlled thermal reductionsulfurization method is used to synthesize large-MoOx thin films are first deposited on Si/SiO2 substrates, which are then sulfurized (under vacuum) at high temperatures. Samples with different thicknesses have been analyzed by Raman spectroscopy and TEM, and their photoluminescence properties have been evaluated. We demonstrated the presence of single-, bi-, and few-layered MoS2 on as-grown samples. It is well known that the electronic structure of these materials is very sensitive to the number of layer, ranging from indirect band gap semiconductor in the bulk phase to direct band gap semiconductor in monolayers. This synthetic approach is simple, scalable, and applicable to other transition metal dichalcogenides. Meanwhile, the obtained MoS2 films are transferable to arbitrary substrates, providing great opportunities to make layered composites by stacking various atomically thin layers.
-
We synthesized a new composite of poly sodium 4-styrenesulfonate intercalated graphene oxide for energy storage devices by controlling oxidation time in the synthesis of graphite oxide. Specific capacitance was improved from 20 F/g of the previous composites to 88 F/g of the new composite at the current density of 0.3 A/g. The capacitance retention was 94% after 3000 cycles, indicating that the new composites of high cyclic stability, prominent performance as electric double layer capacitor, and even low resistance could be an excellent carbon based electrode for further energy storage devices.
-
The electrical characterization of Au islands on TiO2 at nanometer scale (as a Schottky nanodiode) has been studied with conductive atomic force microscopy in ultra-high vacuum. The diverse sizes of the Au islands were formed by using self-assembled patterns on n-type TiO2 semiconductor film using the Langmuir-Blodgett process. Local conductance images showing the current flowing through the TiN coated AFM probe to the surface of the Au islands on TiO2 was simultaneously obtained with topography, while a positive sample bias is applied. The boundary of the Au islands revealed a higher current flow than that of the inner Au islands in current AFM images, with the forward bias presumably due to the surface plasmon resonance. The nanoscale Schottky barrier height of the Au/TiO2 Schottky nanodiode was obtained by fitting the I-V curve to the thermionic emission equation. The local resistance of the Au/TiO2 nanodiode appeared to be higher at the larger Au islands than at the smaller islands. The results suggest that conductive atomic force microscopy can be used to reveal the I-V characterization of metal size dependence and the electrical effects of surface plasmon on a metal-semiconductor Schottky diode at nanometer scale.
-
Kim, Jae-U;Gang, Jun-Tae;Jeong, Jin-U;Choe, Seong-Yeol;Choe, Jeong-Yong;An, Seung-Jun;Song, Yun-Ho 290.2
X-선 튜브는 의료 영상 및 치료, 산업용 제전 장치, 비파괴 X-선 영상 장치 등에서 사용되는데 기존의 열전자원을 이용한 X-선 튜브와는 달리, 냉음극형 X-선 튜브는 빠른 속도의 디지털 구동이 가능하며 전력 소비가 낮은 장점이 있다. 따라서, 최근 많은 연구자들에 의해서 냉음극형 X-선 튜브에 관한 연구가 활발하게 진행되고 있다. 냉음극형 X-선 튜브는 전계 방출을 이용한 전자원을 사용하며, 탄소나노튜브 (CNT), Si, 다양한 종류의 나노선 등이 대표적이다. 그 중에서 CNT는 높은 종횡비로 인해 전계 방출 특성이 우수하여 가장 대표적인 물질이다. CNT를 이용한 전자원을 제작하기 위해서는 직접 성장법, 전기영동법, 스크린 프린팅법, 디핑법 등 다양한 방법이 존재한다. 직접 성장법을 제외한 방법들은 모재료인 CNT와 용매, 금속재료들을 섞어 페이스트나 수용액의 상태를 제작하여야 한다. 이 때, 금속 재료는 기판과 CNT간의 접착 및 전자 전도 통로의 역할을 하는 무기 충전제이며 일반적으로 나노 혹은 수 마이크로미터 크기의 상태로 존재하는 것을 주로 사용한다. X-선 튜브 제작은 일반적으로 외벽을 유리 혹은 세라믹을 주로 사용하는데 아노드 전극 및 캐소드 전극 등과 결합하여 진공 밀봉된 형태가 되어야 한다. 브레이징 방법은 금속과 세라믹을 결합하는데 매우 유용한 방법이며, 그 중에서도 진공 브레이징 방법은 다량의 부품을 한 번에 결합시킬 수 있다. 하지만 진공 브레이징 공정의 온도는 약$700{\sim}1,000^{\circ}C$ 이며 이는 금속 재료가 충분히 증발할 수 있는 온도가 된다. 본 발표에서는 고온 진공 상태에서의 무기 충전제의 증발에 대한 현상을 관찰하고 고온진공 상태에서 증발없이 무기 충전제로의 역할을 할 수 있도록 다양한 금속 및 합금에 대한 연구를 수행하였다. 또한, 이 연구를 통해 선택된 무기 충전제를 포함하여 CNT 페이스트를 볼밀링 방법을 이용하여 제작하였으며, 이를 이용한 CNT 에미터가 X-선 튜브의 전자원으로 사용될 수 있는지 확인하기 위해 전계 방출 실험을 함께 실시하였다. 제작된 CNT 에미터가 우수한 전계 방출 특성을 가지고 있음을 확인하였으며, 이는 본 연구를 통해 선택된 금속 및 합금 재료가 무기 충전제로의 역할을 잘 수행하고 있음을 보여준다. -
We examined the temperature-dependent structural and magnetic properties of HgI2 in the temperature range of 300~400 K. HgI2 is a diamagnetic material and can be used for X-ray or γ-ray detectors. DCmagnetization measurements on HgI2 showed that there is a small but distinguishable change in its diamagnetic properties near 375 K. The magnetic property change is not expected because Hg and I are known as nonmagnetic elements. X-ray diffraction (XRD) measurements revealed a structural transition in the temperature of 350~400 K. Temperature-dependent x-ray absorption fine structure (XAFS) demonstrated that the chemical valence states of both Hg and I did not changed in the temperature range of 300~400 K. However, XAFS revealed that the bond-length disorder was slightly increased in the temperature range, particularly, near Hg atoms. The structural changes of HgI2 are likely related to its diamagnetic property change. We will discuss the relation between the diamagnetic properties and local structural properties of HgI2 in detail.
-
Self-catalytic Growth of
${\beta}$ -Ga2O3 Nanowires Deposited by Radio-Frequency Magnetron SputteringGrowth behavior of b-Ga2O3 nanowires (NWs) on sapphire(0001) substrates during radio-frequency magnetron sputtering is reported. Upon fabrication, flat thin films grew initially, subsequent to which, NW bundles were formed on the surface of thin film with increasing film thickness. This transition of the growth mode occurred only at temperatures greater than${\sim}450^{\circ}C$ . The b-Ga2O3 NWs were grown through the self-catalytic vapor-liquid-solid mechanism with self-assembled Ga seeds. Secondary growth of NWs, which occurred from the sides of primary NWs resulting in branched NW structures, was also observed. Finally, the room temperature photoluminescence properties of as-grown and annealed b-Ga2O3 NW samples were investigated. -
ZnO, Ga2O3, In2O3 등 산화물 반도체는 최근 디스플레이, 태양전지 등 전자산업에서 중요한 소재로 전 세계적으로 많이 연구되고 있다. 그 중에서도 ZnO는 나노와이어, 나노점 등 나노구조체 형태로 제조가 가능해 짐에 따라 센서 등의 반도체 소자로의 응용가능성이 매우 큰 것으로 알려져 있다. ZnO 나노와이어는 chemical vapor deposition법을 이용하여
$800^{\circ}C$ 이상의 고온에서 제조 가능하다고 알려져 있다. 또한 저온 증착법으로 수열합성법이 있는데, 이때에는 사용되는 화학물질, 성장온도 등 제조 조건에 따라 특성이 크게 달라진다. 본 연구에서는 수열합성법으로 제조한 ZnO 나노와이어의 성장온도에 따른 물성을 분석하였다. 특히 ZnO 나노와이어의 지름 및 길이 변화가 두드러지게 나타났다. 성장온도 변화에 따라 나노와이어의 지름이 30 nm부터 100 nm까지 변화하였으며, 이에 따른 광학적 특성 또한 변하였다. XRD, SEM, PL, Raman 분광법으로 측정한 결과를 발표할 예정이다. -
Graphene, a two-dimensional graphite material consisting of sp2-hybridized carbons. The properties of graphene such as extremely high carrier mobility, high thermal conductivity, low resistivity, large specific make it a promising materail of divices and material. Typically, poly (methyl methacrylate) (PMMA) is used when graphene transfer to other substrates. To remove PMMA on graphene, people used to dip the graphene into the acetone. However, it is known that the remove of PMMA on the graphene is difficult to completely using the acetone. Therefore, to remove the PMMA on the graphene surface, many research groups have employed various methods such as the thermal treatment, photothermal method, and other solvent. Nevertheless, a part of PMMA still remain on graphene surface. Usually, to observe the residual PMMA on graphene surface, topography of graphene surface scanned by atomic force microscopy is used. However, in that case, we can not distinguish PMMA and other particles. In this study, to confirm the residual PMMA on graphene surface, we employed novel measurement technique which is available to distinguish PMMA and other particles by means of photothermal effect.
-
탄소나노튜브(carbon nanotubes; CNTs)는 우수한 물성으로 인하여 전자소자, 에너지 저장매체, 투명전도막, 복합재료 등 매우 다양한 분야에 응용이 가능할 것으로 예측되고 있으며, 더욱이 이러한 특성은 구조변형, 화학적 도핑뿐만 아니라 표면처리를 통해서 제어가 가능하다고 알려져 있다. 이를 위해 기존에는 열처리를 통하여 CNTs를 표면처리한 결과들이 보고되었으나, 고온에서 장시간의 공정이 요구되는 열처리 공정의 단점을 보완하기 위하여 플라즈마 처리를 통해 상온에서 단시간의 공정으로 CNTs를 표면처리하는 방법이 제시되었다. 특히 최근에는, 향후 산업적 응용을 목적으로 종래의 진공 환경에서 벗어나 대기압 연속공정 개발을 위한 대기압 플라즈마 기반의 표면처리 공정에 대하여 관심이 집중되고 있는 상황이다. 본 연구에서는 대기압에서 플라즈마를 안정적으로 방전 및 유지 할 수 있는 플라즈마 토치 시스템을 구축하였고, 이를 이용하여 수직배향 CNTs를 표면 처리함으로써 그 영향을 살펴보았다. CNTs는
$SiO_2$ 웨이퍼 위에 증착한 철 촉매를 이용하여$750^{\circ}C$ 에서 수직배향 합성하였으며, 원료가스로는 아세틸렌을 사용하였다. 대기압 플라즈마 장치의 경우 고전압 교류 전원장치를 이용하여 토치타입으로 제작하였다. 플라즈마는 아르곤과 질소가스를 시용하여 방전하고, 기판과의 거리 및 처리시간을 변수로 CNTs를 표면처리하였다. 플라즈마 처리 전후 접촉각 측정을 통하여 소수성이었던 CNTs 표면이 친수성으로 변화하는 것을 확인하였다. 또한 Raman 분석을 통하여 대기압 플라즈마의 처리조건에 따른 CNTs 의 구조적 결함 발생 정도를 정량화 시킬 수 있었다. 이를 통하여 대기압 플라즈마를 이용할 경우, CNTs의 구조적 손상을 최소화 하면서 효율적으로 표면특성을 변화시킬 수 있는 처리조건을 도출하였다. -
구조색에 기반을 둔 반사형 디스플레이는 낮은 전력 소모와 쉬운 제조 과정뿐만 아니라 후광 없이 작동이 가능한 장점으로 인해 최근 많은 주목을 받고 있다. 하지만 기술적으로 다양한 색체 구현이 어려워 현재까지는 많이 응용되고 있지는 않다. 이에 본 연구에서 우리는 바이러스(M13-박테리오파지)를 기반으로 한 신개념 컬러 디스플레이를 개발하고자 한다. 우리가 개발하고자 하는 컬러디스플레이는 자가 조립방법으로 만들어진 나노 구조체로 형성되어 있으며, 간단한 실험 조건 조절을 통해 다양한 색깔 구현을 할 수 있다. 특히, MEMS 공정으로 자체 제작한 Micro Heater의 온도 조절을 통해 자가 조립된 나노 구조체의 간격 주기를 조절 하면, 기존에 형성된 색을 원하는대로 자유롭게 바꿀 수 있다. 우리가 개발하고자하는 생체 재료 기반 컬러 소자는 차세대 디스플레이의 또 다른 새로운 시도가 되리라 생각한다.
-
PV모듈은 다수의 태양전지를 상호 연결한 후 라미네이션(Lamination)공정을 통해 오랜 시간 견딜 수 있는 하나의 구조물로 만든 것이다. 외부환경 노출되어 장시간 발전하는 PV모듈은 설하중 풍하중 등 다양한 응력을 받는다. 이러한 외부 응력은 PV모듈 내부의 태양전지를 파손시켜 발전 출력의 감소를 발생 시킬 수 있다. 따라서 기계적 신뢰성을 보장하는 것은 매우 중요하며, PV모듈의 기계적 강도를 향상시키기 위한 연구가 활발히 진행되어지고 있다. 따라서, 본 논문에서는 PV모듈의 기계적, 물리적 변형을 최소화 하고자 PV모듈 전면에 사용되는 강화유리의 두께를 증가시켜 기계하중 시험을 진행하였다. 실험은 K SC IEC 61215의 PV모듈 인증시험 기준에서 제시하는 기계강도 시험과 동일한 방식으로 시험을 실시 하였으며, 전면유리 두께가 3.2 mm, 4 mm, 5 mm인 PV모듈을 사용하여 하중에 대한 최대변형과 출력 변화를 관찰하였으며, EL (electroluminescence) 측정을 통하여 기계강도 실험전 후의 모듈 내부 태양전지 파손 여부를 확인하였다. 이러한 결과는 PV모듈에 대한 내풍압 및 적설하중 등 Field에서 발생될 수 있는 물리적 내구성능을 분석하는데 많은 도움이 될 수 있다.
-
Scavenging electricity from wasteful energy resources is currently an important issue and piezoelectric nanogenerators (NGs) based on zinc oxide (ZnO) are promising energy harvesters that can be adapted to various portable, wearable, self-powered electronic devices. Although ZnO has several advantages for NGs, the piezoelectric semiconductor material ZnO generate an intrinsic piezoelectric potential of a few volts as a result of its mechanical deformation. As grown, ZnO is usually n-type, a property that was historically ascribed to native defects. Oxygen vacancies (Vo) that work as donors exist in ZnO thin film and usually screen some parts of the piezoelectric potential. Consequently, the ZnO NGs' piezoelectric power cannot reach to its theoretical value, and thus decreasing the effect from Vo is essential. In the present study, c-axis oriented insulator-like sputtered ZnO thin films were grown in various temperatures to fabricate an optimized nanogenerator (NGs). The purity and crystalinity of ZnO were investigated with photoluminescence (PL). Moreover, by introducing a p-type polymer usually used in organic solar cell, it was discussed how piezoelectric passivation effect works in ZnO thin films having different types of defects. Prepared ZnO thin films have both Zn vacancies (accepter like) and oxygen vacancies (donor like). It generates output voltage 20 time lager than n-type dominant semiconducting ZnO thin film without p-type polymer conjugating. The enhancement is due to the internal accepter like point defects, zinc vacancies (VZn). When the more VZn concentration increases, the more chances to prevent piezoelectric potential screening effects are occurred, consequently, the output voltage is enhanced. Moreover, by passivating remained effective oxygen vacancies by p-type polymers, we demonstrated further power enhancement.
-
넓은 표면적을 갖는 탄소나노튜브(CNT)는 기체 분자의 흡착 성능이 기존의 다른 흡착제에 비해 우수한 것으로 알려져 있으나, CNT의 물리/화학적 성질은 튜브의 직경과 기하 구조에 의해 큰 차이를 나타내며 정제가 매우 까다롭다는 단점을 가지고 있다. CNT와 외형적으로 매우 흡사한 질화붕소 나노튜브(BNNT)의 경우, 구조와 직경에 상관없이 열적, 화학적 안정성이 우수하여
$CO_2$ 를 비롯한 다른 공해 물질들의 제거제나 흡착제로서 응용 가능성이 매우 높다. 본 연구진은, BN-결함을 도입한 BNNT 벽면에서의$CO_2$ 흡착 반응과$CO_2$ 를 에너지 물질인 HCOOH와$H_2CO_3$ 로 전환하는 반응에 대한 양자화학 이론 계산 연구를 수행하였다. 그 결과,$CO_2$ 에 대한$B_N$ -BNNT 흡착 성능이 튜브의 직경에 상관없이 매우 우수하였고,$B_N$ -BNNT 벽면상에 흡착된$CO_2$ 가 물 분자와 반응할 경우 HCOOH와$H_2CO_3$ 로의 전환반응이 효과적으로 진행되었다. 이러한 이론 계산 연구 결과는 BN-BNNT가$CO_2$ 흡착제 및 에너지 전환 촉매로의 응용 가능성을 훌륭히 제시하고 있다. -
We investigated the potassium remaining on a crystalline silicon solar cell after potassium hydroxide (KOH) etching and its effect on the lifetime of the solar cell. KOH etching is generally used to remove the saw damage caused by cutting a Si ingot; it can also be used to etch the rear side of a textured crystalline silicon solar cell before atomic layer-deposited Al2O3 growth. However, the potassium remaining after KOH etching is known to be detrimental to the efficiency of Si solar cells. In this study, we etched a crystalline silicon solar cell in three ways in order to determine the effect of the potassium remnant on the efficiency of Si solar cells. After KOH etching, KOH and tetramethylammonium hydroxide (TMAH) were used to etch the rear side of a crystalline silicon solar cell. To passivate the rear side, an Al2O3 layer was deposited by atomic layer deposition (ALD). After ALD Al2O3 growth on the KOH-etched Si surface, we measured the lifetime of the solar cell by quasi steady-state photoconductance (QSSPC, Sinton WCT-120) to analyze how effectively the Al2O3 layer passivated the interface of the Al2O3 layer and the Si surface. Secondary ion mass spectroscopy (SIMS) was also used to measure how much potassium remained on the surface of the Si wafer and at the interface of the Al2O3 layer and the Si surface after KOH etching and wet cleaning.
-
반사방지막 코팅(Anti-reflection coating)은 태양전지(Solar cell), 발광다이오드(LED) 등의 반사율을 낮추어 효율을 증대시키기 위하여 사용되고 있다. 본 실험에서는 유리 기판 위에 실리콘 타겟을 이용한 Reactive magnetron sputtering 장비를 활용하여, 50~100 mTorr의 높은 공정 압력(High pressure)에서 증착하여 SiO2 반사방지막 코팅층을 형성하였다. Ellipsometer를 이용하여 SiO2 박막층의 굴절률(Refractive index)을 측정한 결과, 공정 압력에 따라 SiO2 박막이 다양한 굴절률을 가지는 것을 확인할 수 있었다. 또한, UV-Vis spectrometer를 이용하여, 450~600 nm 파장에서의 반사율(Reflectance)과 투과율(Transmittance)을 측정하여 비교, 분석하였다. 나아가 증착된 SiO2 반사방지막을 비정질 실리콘 박막 태양전지에 적용하여 효율 향상 효과를 실험하였다. 이를 활용하여 낮은 굴절률을 갖는 반사방지용 SiO2 코팅층을 형성하여 태양전지의 광 변환 효율을 상승 시킬 수 있고, 발광다이오드의 광 추출 효율을 증가시킬 있을 것으로 여겨진다.
-
Periodically shaped pillar-arrays and hole-arrays were fabricated on a Si wafer. Geometric features are similar in a periodic length of 4
${\mu}m$ and a depth of 2${\mu}m$ . For the hole-array patterns, positive PR processes were performed. UV exposed PR patterns were removed during a developing process to leave shapes of inversely replicated from a glass photomask. Meanwhile, negative PR processes were taken for the pillar-array patterns. UV exposed PR patterns were remained on a Si substrate having a same feature of patterns of a glass photomask. For an electrical aspect, a pillar structure has a short carrier-collection length resulting in the improved open-circuit voltage of 609 mV from 587 mV of a planar device. An improved performance may be achieved to reduce recombination loss along the patterning surface. -
고진공 상태의 희박한 농도를 가진 분자들은 불연속체의 특징을 가지게 되며, 일반적인 연속체 시뮬레이션으로 물리적 현상을 예측할 수 없었다. 이에 불연속체 시뮬레이션이 가능하도록 분자동역학을 기반으로 한 해석기술을 구축하기 위한 연구를 진행하였다. 아울러 태양전지 고진공 증발증착 공정의 실험결과와 비교하여 시뮬레이션의 적합성을 확인하고 변수의 영향도를 검토하였다. 향후 다양한 변수에 따른 시뮬레이션이 진행되어야 하며, 불연속체와 관련된 솔루션을 제공할 수 있는 데이터와 노하우의 축적 및 해석기술 표준화가 진행되어야 한다.
-
창호를 통해 건축물 내부에 유입되는 태양광, 특히 태양열 유입에 큰 영향을 미치는 적외선 파장대역을 차폐할 수 있는 특성을 갖는 근적외선 반사 또는 흡수용 원천소재 개발하였다. 근적외선 반사는 고 굴절률/저 굴절률 다중 코팅막을 이용하여 상대적으로 에너지가 높은 800~1,300 nm 파장 영역의 근적외선만을 효율적으로 반사시킬 수 있 방식으로 적외선 차단 효율을 개선하였다. 근적외선 흡수용 나노박막 유 무기 복합소재를 기반으로 하여 특정 파장대에서 적외선을 흡수하도록 하여 적외선 차단 효율을 증대시켰다. 본 연구개발의 고단열 유리는 기존에 개발된 저방사 유리의 문제점인 높은 근적외선 투과문제를 해결하기 위한 대체/보완기술로서 이를 이용한 대면적 코팅을 통한 고기능성 복층창호 시스템을 구성하였고, 이에 대한 단열 특성 실험을 실시하였다.
-
여름철 건축물의 냉방 부하 저감 및 자동차 실내의 온도 상승을 억제하기 위하여 일반적으로 단열필름이 사용되고 있다. 실제 시중에 유통되고 있는 단열필름은 크게 유기물 기반의 제품과 무기물 기반 제품으로 나뉘고 있다. 본 연구에서는 유기물 기판의 단열 필름은 내구성이 거의 없기 때문에 무기물 기반의 제품을 위주로 연구를 진행하였다. 단열 필름의 단열 효과가 어느 정도인지를 정확히 알기 위하여 UV-Vis 스펙트럼 분석을 실시하였다. 항온 항습 장치를 이용하여 고온고습 조건에서 무기물 기반의 단열 필름의 성능 변화를 통하여 내구성 실험을 실시하였다.
-
Cu2ZnSnSe (CZTS)는 CuInSe2 (CIS) 중 희소 원소인 In을 Zn 및 Sn 으로 치환하여 만든 화합물 반도체이다. CZTS 의 특징은 그 구성원소가 지각 중에 풍부하게 존재하고, 모든 원소의 독성이 극히 낮다는 것이다. 이에 비해 CIS 중에 In과 Se 의 지각 함유량은 0.05 ppm 이하이다. 따라서 CZTS 는 값이 싼 범용 원소만으로 구성된 새로운 태양전지 재료가 된다. 본 연구에서는 다양한 Se 비율로 동시 증발법으로 증착된 CZTS 박막의 후속 열처리 효과에 관하여 발표하고자 한다. 증착된 CZTS 박막은 적정량의 Se 비율과 후속 열처리를 통해서 이차상이 없는 CZTS 결정성을 나타내는 XRD 결과를 보여주었으면, 3.6% 의 효율을 보여주었다.
-
Park, Sang-U;Jo, Dae-Hyeong;Lee, U-Jeong;Wi, Jae-Hyeong;Han, Won-Seok;Jeong, Chi-Seop;Kim, Je-Ha;Jeong, Yong-Deok 309.1
현재까지 CIGS 박막 태양전지는 습식공정인 화학적 용액성장법을 사용하여 형성된 CdS버퍼층을 적용할 경우에 가장 높은 효율을 보이고 있다. 그러나, Cd의 독성 문제와 진공 공정과 호환되지 않는 습식공정 때문에 비독성 건식 공정 버퍼층에 대한 연구가 활발히 진행되고 있다. 습식 공정 CdS 버퍼층을 대체하기 위하여 CdS에 비해 밴드갭이 커서 단파장에서 광 손실이 적은 ZnS 버퍼층을 cracker 황화법을 이용하여 제작하여 CIGS 박막 태양전지에 적용하였다. ZnS 버퍼층을 성장시키기 위해 DC 스퍼터를 사용하여 Zn 박막을 증착한 후, cracker를 사용하여 황화반응을 시켰다. cracker의 cracking zone 온도에 따른 S 반응성을 ZnS 박막의 투과도 변화를 통하여 관찰하였다. 성장된 ZnS 박막은 X-ray diffraction와 Rutherford backscattering spectrometry을 이용하여 박막의 결정성과 조성을 분석하였고, SEM 측정을 통하여 박막의 단면 및 표면 형상을 관찰하였다. 그리고 reflection electron energy loss spectroscopy 분석을 통해 밴드갭을 측정하였다.$700^{\circ}C$ 의 cracking zone 온도, 3 nm의 Zn 두께, 1 분의 황화공정 조건에서 제작된 ZnS 박막을 CIGS 태양전지의 버퍼층으로 적용한 결과, 반사방지막 없이 12.6%의 변환효율을 얻었다. -
Cu(In,Ga)Se2 (CIGS) 박막 태양전지는 일반적으로 Na을 함유하고 있는 소다회유리를 기판으로 사용하여 제작되며, 높은 광전 변환 효율로 인해 많은 연구가 이루어지고 있다. 특히 제조 비용 절감과 양산성 향상을 위해 현재 유연 기판 CIGS 박막 태양전지에 대한 연구가 활발히 이루어지고 있으며, 폴리이미드 기판에서 20.4%의 최고 효율이 보고되었다. 유연 기판은 유리 기판 대비 무게가 가볍기 때문에 유리 기판 태양전지보다 활용도가 높으며, 우주용으로 사용할 경우 단위 무게 당 발생되는 전력이 높은 장점이 있다. 본 연구에서는 폴리이미드 기판을 이용하여 유연 CIGS 박막 태양전지를 제작하였다. 후면 전극 Mo은 DC sputtering으로 증착하였으며, Mo의 증착 압력에 따라 폴리이미드 기판의 잔류 응력과 전기적 특성을 분석하여 증착 압력을 결정하였다. 광흡수층인 CIGS는 다단계 동시 증발 법으로 증착하였으며, 2nd stage 공정온도는 유리 기판 대비 저온인
$475^{\circ}C$ 로 공정을 진행하였다. 저온공정인$475^{\circ}C$ 공정에서는 Ga의 함량이 높아질수록 성능이 감소하였으며, Na 공급을 통해 Voc와 FF가 향상되어 성능이 향상됨을 알 수 있었다. 버퍼층 CdS는 습식 공정인 CBD법으로 증착하였으며, 공정변수인 thiourea의 농도와 CdS 박막의 두께 변화를 통해 폴리이미드 기판 CIGS 박막 태양전지에서 CdS 버퍼층의 최적의 조건을 도출하였다. 최종적으로 제작된 폴리이미드 기판 유연 CIGS 박막 태양전지는 반사 방지막 없이 개방전압 0.511V, 단락전류밀도 32.31mA/cm2, 충실도 64.50%, 변환효율 10.65%를 나타내었다. -
We show the novel hot electron based-solar energy conversion using tandem structured Schottky diode with double Schottky barriers. In this report, we show the effect of the double Schottky barriers on solar cell performance by enhancing both of internal photoemission and band-to-band excitation. The tandem structured Au/Si diode capped with TiO2 layer as second semiconductor exhibited improved ability for light harvesting. The proposed mechanisms consist of multiple reflections of hot electrons and additional pathway of solar energy conversion due to presence of multiple interfaces between thin gold film and semiconductors. Short-circuit photocurrent measured on the tandem structured Au/Si diodes under illumination of AM1.5 increased by approximately 70% from 3.1% to 5.3% and overall incident photon to electron conversion efficiency (IPCE) was enhanced in visible light, revealing that the concept of the double Schottky barriers have significant potential as novel strategy for light harvesting.
-
For enhancement of dye-sensitized solar cell performance, TiO2 blocking layer has been used to prevent recombination between electron and hole at the conducting oxide and electrolyte interface. In solid state dye-sensitized solar cells, it is necessary to fabricate pin-hole free TiO2 blocking layer. In this work, we deposited the TiO2 blocking layer on conducting oxide by atomic layer deposition and compared the efficiency. To compare the efficiency, we fabricate solid state dye-sensitized solar cell with using CuSCN as hole transport material. We see the efficiency improve with 40nm TiO2 blocking layer and the TiO2 blocking layer morphology was characterized by SEM. Also, we used this blocking layer in TiO2/Sb2S3/ CuSCN solar cell.
-
건축물의 유리창을 통하여 유입되는 태양광을 조절하여 냉난방 부하를 절감하고자 사용하는 스마트 윈도우 필름(Electrochromic (EC), Polymer Dispersed Liquid Crystal (PDLC), Suspended Particle Display (SPD))의 방식이 있다. 이러한 스마트 윈도우 필름은 건물 외피에 적용할 때 여름철 태양으로부터 유입되는 열선 차폐만을 목적으로 유리를 완전히 불투명하게 가려버리는 것은 맑고 투명해야하는 창호의 기본적인 용도를 무시한 단편적 기술로서, 스마트 윈도우 필름을 작동하여 투명하게 할 경우 외부에서 열선이 유입되는 문제를 가지고 있다. 스마트 윈도우 필름을 만들 때 필수적으로 사용하는 전극필름으로 플렉서블 기판에 투명 전도막이 형성됨과 동시에 적외선만을 선택적으로 차단하는 기능을 가지는 필름을 제조하여 단열 성능 실험을 실시하였다. 아울러, 스마트 필름의 다양한 적용을 위한 발열 실험도 실시하였다.
-
Transmutation characteristics of minor actinides in a transmutation reactor based on a Low Aspect Ratio (LAR) tokamak are investigated. One-dimensional neutron transport and burn-up calculation coupled with the tokamak systems analysis were performed to find the optimal system parameters. The dependence of the transmutation characteristics such as neutron multiplication factor, produced power and transmutation rate on an aspect ratio A in the range of 1.5 to 2.0 was investigated. By adding Pu239 in the transmutation blanket as a neutron multiplication material, it was shown that the one unit of the transmutation reactor based on the LAR tokamak producing fusion power of 150 MWth can destroy the minor actinides contained in the spent fuels produced from more than 19 units of l GWe PWRs with production of the power being in the range of 0.9 - 3.4 GWth.
-
PID (Potential Induced Degradation)는 높은 시스템 전압을 갖는 PV모듈에서 발생하는 현상으로 PV모듈의 출력을 급격하게 감소시키는 현상을 말한다. PV시스템의 높은 전압은 태양전지와 PV모듈의 프레임 사이에 전위차를 발생시키고 이로 인하여 누설전류가 흐르게 된다. 누설전류는 태양전지 표면에 전하를 축적 시켜 발전 효율을 감소시키게 된다. 이러한 누설전류는 온도와 습도가 높을수록 많이 발생하는 것으로 알려져 있다. 본 논문에서는 PV모듈을 구성하는 재료가 PID에 의한 출력변화에 어떠한 영향을 주는지에 관한 연구를 수행하였다. PID가 쉽게 발생하는 태양전지를 이용하여 일반적으로 PV모듈을 제작 할 때 사용되는 전 후면 재료를 이용하여 각각의 출력변화에 대한 연구를 수행하였다. PV모듈의 전 후면 재료를 각각 다르게 하여 이에 따른 PID 발생 정도를 출력 변화로 확인하였으며 PID의 원인이 되는 누설전류에 어떠한 변화를 주는지 분석하였다. PV모듈의 후면 재료는 PV모듈 내부로의 수분 침투와 관련하여 PID 발생에 영향을 주고 전면재료인 저철분 강화유리는 PV모듈 내부에 전하를 공급하여 누설전류가 발생하게 하는 역할을 하는 것으로 판단된다.
-
태양전지에서 SiNX층은 반사방지막 역할과 표면 페시베이션의 역할을 동시에 하고 있다. SiNx에서 굴절율과 두께는 반사율과 밀접한 관계가 있으며 동시에 표면 소수캐리어 수명에도 큰 영향을 미친다. 따라서 굴절율과 두께를 조절하여 낮은 반사도와 긴 소수캐리어 수명을 가지는 SiNx 박막을 제조하여야 우수한 효율의 태양전지를 제조할 수 있다. 본 연구에서는 다양한 굴절율과 두께의 SiNx 박막을 결정질 실리콘 태양전지에 적용하여 효율과의 상관관계를 해석하였다. SiNx 박막은 PECVD장비를 이용하여 RF파워, 가스혼합량, 증착시간 등을 각각 변화시키며 형성하였다. RF 파워는 100~500 W로 변화 시켰고 혼합가스 변화는 SiH4가스와 NH3가스, Ar가스를 각각 주입하며 증착하였다. RF 파워 300W, 가스혼합량 SiH4 90sccm, NH3 26sccm, Ar 99sccm과 기판 온도
$300^{\circ}C$ , 공정시간 58초에서 포면 반사율 1.09%와 굴절률 1.965, 두께 76nm를 갖는 SiNx층을 형성 할 수 있었다. SiNx층을 증착하여 셀을 제작한 결과, 개방전압: 0.612V, 전류밀도: 38.49 mA/cm2, 충실도: 75.62%, 효율: 17.82%를 얻을 수 있었다. -
현재 결정질 실리콘 태양전지의 전 후면 전극의 형성은 스크린 프린팅 방법이 주를 이루고 있다. 스크린 프린팅 방법은 쉽고 빠르게 인쇄가 가능한 반면 단가가 높고 금속 페이스트에 첨가된 여러 혼합물에 의해서 전극과 기판 사이의 저항이 크다는 단점이 있다. 본 논문에서는 스크린 프린팅 방법으로 태양전지의 seed layer를 인쇄하고, Cu도금을 진행함으로써 태양전지의 전기적 특성을 비교하였다. 주요 전극 형성을 Cu 도금을 사용함으로써 전극과 기판사이의 저항을 감소시키고 값비싼 Ag페이스트를 값싼 Cu로 대체함으로써 가격을 낮출 수 있는 장점이 있다. 실험에 사용된 Si 웨이퍼 특성은
$156{\times}156$ mm2, 200${\mu}m$ , 0.5-3.0${\Omega}{\cdot}cm$ and p-type 웨이퍼를 사용하였다. 웨이퍼는 표면조직화, p-n접합 형성, 반사방지막 코팅을 하였으며 스크린 프린팅 방법을 이용해 전 후면 전극을 인쇄하고 열처리 과정을 통해 전극을 형성하였다. 이 후 전면에 Cu도금을 실행하여 태양전지를 완성하였다. 완성된 태양전지는 솔라 시뮬레이터 및 TLM패턴을 이용하여 전기적 특성을 분석하였으며, SEM과 linescan, 광학현미경 등을 이용하여 전극을 분석하였다. -
본 연구에서는 기존에 연구된 Solar Cell 보다 구조 및 제작이 단순한
$Al/Al_2O_3/Si$ (100) Solar cell을 제작하여 평가하였다. 기판으로는 p-type Si(100), 0.5~2${\Omega}{\cdot}cm$ 을 사용하여 chemical cleaning 후 ALD(Atom Layer Deposition)법으로 Al2O3 터널링 절연막을 증착하였으며, 박막의 두께를 1~10 nm로 변화시켜 MIS 커패시터의 터널링 효과를 평가하였다. MIS 커패시터의 전기적 특성평가를 위해 누설전류 밀도-전계 특성은 pA meter/DC Voltage source를 사용하였고, 커패시턴스-전압특성, D-factor 특성은 precision LCR meter를 사용하였다.$Al/Al_2O_3/Si$ (100) Solar cell의 특성평가를 위해 300~1100nm 파장영역에 따른 양자 효율을 평가하기 위해 Quantum Efficiency system (QE)을 사용하였고, Stanard Test Conditions 100$mW/cm^2$ , AM1.5,$25^{\circ}C$ 조건의 Voc, Isc, Jsc, FF (Fill Factor) 및 Efficiency(%)를 평가하기 위해 Solar simulator를 이용하였다. -
Transparent conducting nanoscale-domes were periodically patterned on a Si substrate by nanoimprint method. Transparent conductor of indium-tin-oxide (ITO) was shaped as a nanodome, which effectively drives the incident light effectively into a light-absorber and therefore induces a substantially enhanced photo-response. An ITO nanodome is electrically isolated from the neighboring nanodomes. This structure benefits to provide a low contact between a Si substrate and a front metal electrode giving an efficient electrical path. The ITO nanodome device showed a significantly enhanced photo-response of 6010 from the value of 72.9 of a planar ITO film. The electrical and optical advantage of an ITO nanodome is suitable for various photoelectric applications.
-
CIGS 박막의 물성은 조성에 크게 영향을 받으며, 특히 박막 내 Cu/(In+Ga) 비는 매우 중요한 변수로서 태양전지 특성에 영향을 주게 된다. Cu(In1-xGax)Se2 박막의 전하농도 및 반도체로의 성격을 가장 명확하게 결정하는 조성비는 Cu/(In+Ga) 비이다. 태양전지와 같은 소자로 작용하기 위해서는 Cu/(In+Ga) 비가 1보다 작아야 한다. 고효율의 태양전지는 Cu/(In+Ga)조성이 0.85~0.95로 slightly Cu-poor가 되어야 만들어진다. 본 연구에서는 Cu조성에 따른 CIGS 박막의 구조적, 전기적 특성과 CIGS 태양전지 효율 특성에 관하여 연구하였다. 미세구조 분석결과 Cu 조성이 증가함에 따라 큰 결정립을 가지며 결정립의 성장이 고르게 되어 접합 형성을 좋게 하는 경향을 보였다. X선 회절 분석결과, Cu 함유량 비율이 증가하면서 <112>의 우선배향성에서 <220/204>으로 변화하였다. 그러나, Cu/(In+Ga) 비율이 1이상이 첨가됨에 따라 우선배향은 다시 <112>로 변화함을 알 수 있었다. EDX 분석결과 Ga/(In+Ga) 0.31, Cu/(In+Ga) 0.86의 비율일 때, Carrier density
$1.49{\times}1016$ cm-3을 나타내었다. CIGS의 태양전지의 효율 측정결과 Voc=596mV, Jsc=37.84mA/cm2, FF=72.96%로${\eta}$ =16.47%를 달성하였다. -
표면 조직화의 목적은 태양전지 표면에서의 입사되는 빛의 반사율을 감소 시키고, 웨이퍼 내에서 빛의 통과 길이를 길게 하며, 흡수되는 빛의 양을 증가시키는 것이다. 본 연구에는 습식, 건식 표면조직화 방법에 따른 표면 형상과 표면 반사도를 분석 하였으며, 셀을 제작하여 전기적 특성과 광학적 특성의 상관관계를 분석하였다. 표면 조직화 공정은 염기성 용액인 KOH를 이용한 식각 방법과 Ag를 이용한 metal-assisted 식각, 산증기를 이용한 식각, 플라즈마를 이용한 반응성 이온식각을 적용하여 제작하였다. 표면 반사율을 400~1000 nm 사이의 파장에서 측정하였으며 KOH를 이용하여 식각한 샘플이 9.11%의 표면 반사율을 가졌으며 KOH를 이용하여 식각한 표면에 추가로 metal-assisted 식각을 한 샘플이 2%로 가장 낮은 표면 반사율을 보였다. 표면 조직화 후 동일 조건으로 셀을 제작 하여 효율 측정 결과 Ag를 이용한 2단계 metal-assisted chemical 식각이 15.83%의 가장 낮은 광변환 효율을 보였으며 RIE를 이용한 2단계 반응성 이온 식각공정이 17.78%로 가장 높은 광변환 효율을 보였다. 이 결과는 반사도 결과와 일치 하지 않았다. 표면 조직화 모양에 따른 셀 효율의 변화는 도핑 프로파일과 표면 재결합 속도의 변화 때문이라 생각되며 더 명확한 분석을 위해 양자 효율을 측정하여 분석을 시도하였다. 측정 결과 단파장 대역에서 낮은 응답특성을 가지는 것을 확인 할 수 있었는데 그 이유는 낮은 반사도를 가지는 표면조직화 공정의 경우 나노사이즈의 구조를 갖기 때문에 균일한 도핑 프로파일을 얻지 못해 전자 정공의 분리가 제대로 이루어지지 못하였고 표면 재결합 속도증가의 원인으로 단락전류와 개방전압이 낮아져 효율이 떨어진 것으로 판단된다. 실험 결과 도핑 프로파일의 균일성은 셀 효율 개선을 위해 낮은 표면 반사율 만큼 중요하다는 점을 알게되었다. 낮은 반사율을 갖는 표면조직화 공정도 중요하지만 표면에 따른 균일한 도핑 프로파일을 갖는 공정을 개발한다면 단파장 응답도가 향상되어 단락전류밀도의 상승효과를 얻을 수 있을 것이라 판단된다.
-
본 논문에서는 반사방지 VF2-TrFE 박막을 이용한 MIS Solar cell을 제작하여 전기적, 구조적 특성을 평가하였다. ALD법을 이용하여 고유전율의 화학적 안정성이 우수한 산화알루미늄을 절연층으로 한 Al/Al2O3/Si(100)을 제작하였으며 cell의 효율을 향상시키기 위해 spin coating법을 이용하여 VF2-TrFE 반사방지막을 증착시켰다. 제작된 반사방지 VF2-TrFE 박막 MIS solar cell은 MIS 커패시터의 전류밀도-전계 특성, 커패시턴스-전압 특성과 반사방지막 열처리 조건에 따른 태양전지 효율을 Solar simulator 및 Quantum Efficiency system으로 측정하였다.
-
유기 태양전지는 다른 무기계 태양전지에 비해 물질 자체의 쉬운 가공성과 유연한 특성으로 차세대 플렉시블 태양전지로 각광받고 있지만 상대적으로 짧은 수명으로 상용화에 걸림돌이 되고 있다. 현재까지 알려진 유기 태양전지의 짧은 수명의 원인으로는 수분과 산소에 의한 광활성층의 화학적 열화 및 표면의 변화, 전극의 열화, 전자-전공 수송층의 흡습현상 등이 있다 [1]. 본 연구에서는 이러한 이론적인 열화 메커니즘에 기초하여 일정한 수분과 산소 분위기에서 각 단위층들이 열화되는 현상을 광학적, 화학적 분석을 통해 짧은 수명의 원인을 밝혀내고자 한다. 유기 태양전지의 가혹시험은
$85^{\circ}C$ 의 온도와 85%의 습도 조건에서 이뤄졌으며, 가혹시간에 따른 열화현상 비교를 위해 0, 50, 100, 250, 500 h 동안 시험을 진행하였다. C-O, C=O 결합 형성에 의한 광활성층의${\pi}$ 공액의 변형은 FT-IR(fourier transform infrared spectroscopy)과 XRD (X-ray diffraction) 측정을 통해 분석하였고 변화된 표면 형상은 FE-SEM (field emission scanning electron microscopy) 측정을 통해 관찰하였다. -
Ultrathin oxide encapsulated metal-oxide hybrid nanocatalysts have been fabricated by a soft chemical and facile route. First, SiO2 nanoparticles of 25~30 nm size have been synthesized by modified Stobber's method followed by amine functionalization. Metal nanoparticles (Ru, Rh, Pt) capped with polymer/citrate have been deposited on functionalized SiO2 and finally an ultrathin layer of TiO2 coated on surface which prevents sintering and provides high thermal stability while maximizing the metal-oxide interface for higher catalytic activity. TEM studies confirmed that 2.5 nm sized metal nanoparticles are well dispersed and distributed throughout the surface of 25 nm SiO2 nanoparticles with a 3-4 nm TiO2 ultrathin layer. The metal nanoparticles are still well exposed to outer surface, being enabled for surface characterization and catalytic activity. Even after calcination at
$600^{\circ}C$ , the structure and morphology of hybrid nanocatalysts remain intact confirm the high thermal stability. XPS spectra of hybrid nanocatalyst suggest the metallic states as well as their corresponding oxide states. The catalytic activity has been evaluated for high temperature CO oxidation reaction as well as photocatalytic H2 generation under solar simulation. The design of hybrid structure, high thermal stability, and better exposure of metal active sites are the key parameters for the high catalytic activity. The maximization of metal-TiO2 interface interaction has the great role in photocatalytic H2 production. -
Nowadays Cu2ZnSnS4 (CZTS) solar cell is attracting a lot of attention as a strong alternative to CIGS solar cell due to nontoxic and inexpensive constituent elements of CZTS. From various processes for the fabrication of CZTS solar cell, solution-based deposition of CZTS thin films is well-known non-vacuum process and many researchers are focusing on this method because of large-area deposition, high-throughput, and efficient material usage. Typically the solution-based process consists of two steps, coating of precursor solution and annealing of the precursor thin films. Unlike vacuum-based deposition, precursor solution contains unnecessary elements except Cu, Zn, Sn, and S in order to form high quality precursor thin films, and thus the precise control of precursor thin film preparation is essential for achieving high efficient CZTS solar cells. In this work, we have investigated the effect of preparation condition of CZTS precursor thin films on the performance of CZTS solar cells. The composition of CZTS precursor solution was controlled for obtaining optimized chemical composition of CZTS absorber layers for high-efficiency solar cells. Pre-annealing process of the CZTS precursor thin films was also investigated to confirm the effect of thermal treatment on chemical composition and carbon residues of CZTS absorber layers. The change of the morphology of CZTS precursor thin film by the preparation condition was also observed.
-
기존의 염료감응형 태양전지(Dye Sensitized Solar Cells; DSSCs)는 최대 효율 11~12%의 광전변환효율을 가지고 있다. 이러한 한계를 극복하기 위해서 광흡수 층 최적화, 상대전극의 촉매성 증대, 전해질의 산화 환원 반응 최적화 등의 많은 연구가 이루어지고 있다. 본 연구에서는 DSSCs의 광전변환효율을 증가시키고자 기존의 투명전극 및 기판으로 사용되는 FTO(Fluor-doped Tin Oxide)를 GZO(Gallium-doped Zinc Oxide)를 사용하여 투명전극기판에 따른 계면 저항, 전류손실 등 DSSCs에 미치는 영향을 분석하였다. 본 연구에 사용된 FTO는
${\sim}7{\Omega}/{\square}$ 의 면저항과 80%이상의 투과도를 갖고 있으나 Ion-Sputtering 법으로 증착된 GZO는 열처리 과정을 통하여$3{\sim}4{\Omega}/{\square}$ 의 면 저항을 나타내고 80%이상의 우수한 투과도를 가지고 있다. 이러한 두 기판의 특성 비교를 위해, UV-Visble Spectrophotometer를 사용하여 광학적 특성을 분석하고, SEM(Scanning Electron Microscope), AFM(Atomic Force Microscope)를 사용하여 표면 특성을 평가하였다. 또한 전기적 특성을 분석하기 위하여 4-Point-probe를 이용하여 면 저항을 측정하였고, DSSCs의 효율 및 Fill Factor를 분석하기 위하여 Solar Simulator의 I-V measurement를 이용하였다. -
Solid oxide fuel cells (SOFCs) have been recognized as one of emerging renewable energy sources, due to minimized pollutant production and high efficiency in operation. The performance of SOFCs is largely dependent on the electrode polarization which involves the oxidation/reduction in cathodes and anodes along with the charge transport of ions and electronic carriers. Atomic layer deposition is based on the alternate chemical surface reaction occurring at low temperatures with high uniformity and superior step coverage. Such features can be extended into the coating of metal oxide and/or metal layer onto the porous materials. In particular, the atomic layer deposition is can manipulated in controlling the charge transport in terms of triple phase boundaries, in order to control artificially the electrochemical polarization in electrodes of SOFC. The current work applied atomic layer deposition of metal oxides intro the electrodes of SOFCs. The corresponding effect was monitored in terms of the electrochemical characterization. The roles of atomic layer deposition in solid oxide fuel cells are discussed towards optimized towards long-term durability at intermediate temperature.
-
투명 발열체는 심미적인 기능을 부가할 수 있기 때문에 다양한 용도의 어플리케이션이 가능하여 저온용 뿐 아니라 고온용 발열체에 대한 많은 연구가 진행되고 있다. 본 연구에서는 스퍼터링과 솔루션 공정으로 제작된 ITO와 ZnO를 이용하여, 투명 면상 발열체를 제작하였다. ITO 발열 테스트 결과 온도가 상승함에 따라 발열이 일부분에 집중되는 현상을 확인하였으며, ITO층 위에 용액공정을 통해 film-like의 ZnO 나노구조체를 형성한 기판의 경우 열이 균일하게 분산되는 것을 알 수 있었다. 발열체의 특성을 최적화 하기 위해 씨드층 및 film-like ZnO 나노구조체의 두께에 따라 발열 특성을 비교하였고, 제작된 발열체는
$350^{\circ}C$ 이상에서 안정적으로 발열이 되었다. 4 Point Probe, UV-Visible spectrometer, FE-SEM와 XRD를 이용하여 제작된 발열체의 특성을 비교분석하였다. -
We have investigated the effect of surface passivation for crystalline silicon solar cell using ozone-based atomic layer deposited (ALD)
$Al_2O_3$ . We examined passivation properties such as uniformity, carrier lifetime, thickness, negative fixed charge density at AlOx/Si interface, and reflectance. The influences of process temperature and heat treatment were investigated using microwave photoconductance decay (PCD). Ozone-based ALD$Al_2O_3$ film shows the best carrier lifetime at lower deposition temperature than$H_2O$ -based ALD. -
본 연구는 RF 마그네트론 스퍼터링 장치를 이용하여 AZO 박막을 증착하였다. 증착되어진 AZO 박막은 플라즈마 화학기상증착장치를 이용하여 플라즈마 처리를 하였다. 플라즈마 가스로는 산소가스를 사용하였으며, AZO 박막을 산소플라즈마 처리 시간과 플라즈마 파워에 따라 박막의 특성이 변화되는 것을 관찰하였다. RF 마그네트론 스퍼터링 장치로 증착되어진 AZO 박막의 비저항값과 투과율을 측정한 결과 각각
$5.6{\times}10-4\;{\Omega}{\cdot}cm$ 과 80%를 나타내었다. 증착되어진 AZO 박막을 플라즈마 처리 시간과 플라즈마 파워에 따라 산소플라즈마 처리를 실시하였고, 플라즈마 처리가 되어진 AZO 박막의 구조적, 전기적, 광학적 특성등을 고찰하였으며, 태양전지 응용을 위하여 AZO 박막의 기계적인 특성들을 고찰하였다. -
높은 광흡수 계수를 갖는 Cu(In,Ga)Se2(CIGS) 화합물 박막 소재는 고효율 태양전지 양산을 위해 가장 전도유망한 재료이나 상대적으로 매장량이 적은 In 및 Ga을 사용한다는 소재적 한계가 있다. Cu2ZnSnSe4(CZTSe) 혹은 Cu2ZnSnS4(CZTS)와 같은 Cu-Zn-Sn-Se계 화합물 반도체는 CIGS 내 희소원소인 In과 Ga이 범용원소인 Zn 및 Sn으로 대체된 소재로써 미래형 저가 태양전지 개발을 위해 활발히 연구되고 있는데, 그 화합물 조합에 따라 0.8eV부터 1.5eV까지의 에너지 밴드갭을 갖는 것으로 알려져 있다. 본 연구에서는 열분해법으로 CZTS 나노 입자를 합성하였다. 용매로 Oleylamine을 사용하였는데,
$260{\sim}340^{\circ}C$ 의 온도 범위에서 5시간 30분 동안 CZTS 나노입자를 합성하였고,$300^{\circ}C$ 에서 5시간 30분~9시간까지 합성하였다. 헥산을 이용하여 원심분리기와 초음파세척기로 용매인 Oleylamine을 제거하였고, 진공오븐에서 건조된 CZTS 분말의 FE-SEM (Field Emission Scanning Electron Microscope), XRD (X-Ray Diffraction), EDS (Energy Dispersive Spectroscopy) 분석 등을 통해 합성온도에 따른 구조적, 화학적 조성 변화를 조사하였다. -
CdS는 2.42 eV의 밴드 갭을 가지는 직접 천이형 반도체로서 CdTe계와 CGIS계 태양전지의 접합 partner로 많이 이용되어 왔다. 태양전지의 광투과층으로 사용되는 CdS 박막의 필요한 물성으로는 높은 광투과도와 얇은 두께이다. 광투과층으로 사용되는 CdS 막의 광투과도가 높아야 많은 양의 빛이 손실 없이 투과하여 광흡수층인 CIGS에 도달할 수 있다. 특히, CdS막의 두께가 얇으면 밴드 갭 이상의 에너지를 가지는 파장의 빛도 투과시킬 수 있어 태양전지의 효율의 증가을 얻을 수가 있다. 그러나 CdS 막의 두께가 얇을 경우, pinhole이 생성되는 등 막의 균질성이 문제가 되기 때문에 얇으면서도 pinhole이 없는 CdS 박막을 만들기 위한 연구가 진행되고 있다. 본 연구에서는 높은 변환 효율을 갖는 CIGS 박막 태양전지 제작에 적합한 chemical bath depostion(츙)법을 이용하여 CdS 박막을 제조하였다. 또한 반응온도, Cd 및 S source 비, 반응용액의 pH와 같은 증착 조건에 따른 박막의 구조적, 광학적 특성을 조사하였다.