Proceedings of the Korean Vacuum Society Conference (한국진공학회:학술대회논문집)
- Semi Annual
Domain
- Physics > Optics
2014.02a
-
2002년 21세기 프론티어 연구개발사업으로 착수한 양성자기반공학기술개발사업이 2012년말 완료되었다. 이 사업을 통하여 이온원, RFQ, DTL, 초전도 가속관, 빔라인, 고주파 시스템, 제어 시스템 등 양성자 및 이온 가속기의 핵심 요소기술을 개발하고 100 MeV 선형 양성자가속기 및 이온가속기를 제작하여 경주에건설한 양성자속기연구센터에 설치하고 사업을 마무리하였다. 2013년 상반기에 냉각시스템 등 부대시설의 시운전, 양성자가속기와 20 MeV 및 100 MeV 빔라인 각 1기를 포함한 모든 시설의 시운전을 마무리하고, 한국원자력안전기술원의 방사선안전시설검사를 거쳐 7월부터 운영을 착수하였다. 양성자가속기는 2013년말 까지 총 2,290 시간을 가동하여 937건의 이용자 빔 서비스를 제공하였다. 기체, 금속, 대전류 이온을 공급할 수 있는 이온가속기 3대는 기업체의 공정 및 제품개발을 위한 이용을 중심으로 622건의 이용자 빔 서비스를 제공하였다. 2014년도는 양성자가속기는 연간 2,500 시간 가동, 빔 서비스 1,100건을 목표로 하고 있으며, 현재 20 MeV 및 100 MeV 각 1기인 뿐인 빔라인 증설을 준비하고자 한다. 이온가속기는 상반기에만 이용자 빔 서비스를 제공하고 2014년 11월 완공될 빔이용연구동으로 이전 설치하여 보다 양질의 이온빔을 공급할 수 있도록 장치를 보완 할예정이다. 더불어 2015년에는 3 MeV 헬륨빔과 1 MeV 기체 이온빔을 제공할 수 있는 장치도 추가로 설치할 예정이다. 빔이용연구동 및 이온가속기 업그레드가 완료되면 보다 다양한 양질의 이온빔을공급하여, 특히 중소기업의 제품 개발에 도움을 줄 수 있도록노력할 예정이다. 양성자가속기연구센터는 장기 비전인 펄스형 중성자원의 구축을 실현하고자 노력을 지속할 것이다. 미국의 SNS 및 일본의 J-PARC 파쇄 중성자원은우수한 연구 성과를 생산하기 시작하였고 우리나라도 이에 대한 수요가 생겨나도 있다. 충분한 수요가 형성되면 이미 확보한 부지와 초전도 가속기 기술을 활용하여 단시간 내에 펄스형 중성자원 구축이 가능할 것이다. 펄스형 중성자원이 구축되면 양성자가속기연구센터는 당초 목표한 양성자, 중성자 및 다양한 종의 이온을 한 사이트에서 제공하여, 입자빔을 이용한 다양한 연구개발에서 상당한 시너지 효과를 낼 수 있을 것으로 기대한다.
-
Recently, many state-of-art spectroscopy techniques are used to unravel the mysteries of condensed matters. And numerous heterostructures have provided a new avenue to search for new emergent phenomena. Especially, near the interface, various forms of symmetry-breaking can appear, which induces many novel phenomena. Although these intriguing phenomena can be emerged at the interface, by using conventional measurement techniques, the experimental investigations have been limited due to the buried nature of interface. One of the ways to overcome this limitation is in situ investigation of the layer-by-layer evolution of the electronic structure with increasing of the thickness. Namely, with very thin layer, we can measure the electronic structure strongly affected by the interface effect, but with thick layer, the bulk property becomes strong. Angle-resolved photoemission spectroscopy (ARPES) is powerful tool to directly obtain electronic structure, and it is very surface sensitive. Thus, the layer-by-layer evolution of the electronic structure in oxide heterostructure can be investigated by using in situ ARPES. LaNiO3 (LNO) heterostructures have recently attracted much attention due to theoretical predictions for many intriguing quantum phenomena. The theories suggest that, by tuning external parameters such as misfit strain and dimensionality in LNO heterostructure, the latent orders, which is absent in bulk, including charge disproportionation, spin-density-wave order and Mott insulator, could be emerged in LNO heterostructure. Here, we performed in situ ARPES studies on LNO films with varying the misfit strain and thickness. (1) By using LaAlO3 (-1.3%), NdGaO3 (+0.3%), and SrTiO3 (+1.7%) substrates, we could obtain LNO films under compressive strain, nearly strain-free, and tensile strain, respectively. As strain state changes from compressive to tensile, the Ni eg bands are rearranged and cross the Fermi level, which induces a change of Fermi surface (FS) topology. Additionally, two different FS superstructures are observed depending on strain states, which are attributed to signatures of latent charge and spin orderings in LNO films. (2) We also deposited LNO ultrathin films under tensile strain with thickness between 1 and 10 unit-cells. We found that the Fermi surface nesting effect becomes strong in two-dimensions and significantly enhances spin-density-wave order. The further details are discussed more in presentation. This work was collaborated with Hyang Keun Yoo, Seung Ill Hyun, Eli Rotenberg, Ji Hoon Shim, Young Jun Chang and Hyeong-Do Kim.
-
An OLED(Organic Light-Emitting Diode) device based on the emissive electroluminescent layer a film of organic materials. OLED is used for many electronic devices such as TV, mobile phones, handheld games consoles. ULVAC's mass production systems are indispensable to the manufacturing of OLED device. ULVAC is a manufacturer and worldwide supplier of equipment and vacuum systems for the OLED, LCD, Semiconductor, Electronics, Optical device and related high technology industries. The SMD Series are single-substrate sputtering systems for deposition of films such as metal films and TCO (Transparent Conductive Oxide) films. ULVAC has delivered a large number of these systems not only Organic Evaporating systems but also LTPS CVD systems. The most important technology of thin-film encapsulation (TFE) is preventing moisture(
$H_2O$ ) and oxygen permeation into flexible OLED devices. As a polymer substrate does not offer the same barrier performance as glass substrate, the TFE should be developed on both the bottom and top side of the device layers for sufficient lifetimes. This report provides a review of promising thin-film barrier technologies as well as the WVTR(Water Vapor Transmission Rate) properties. Multilayer thin-film deposition technology of organic and inorganic layer is very effective method for increasing barrier performance of OLED device. Gases and water in the organic evaporating system is having a strong influence as impurities to OLED device. CRYO pump is one of the very useful vacuum components to reduce above impurities. There for CRYO pump is faster than conventional TMP exhaust velocity of gases and water. So, we suggest new method to make a good vacuum condition which is CRYO Trap addition on OLED evaporator. Alignment accuracy is one of the key technologies to perform high resolution OLED device. In order to reduce vibration characteristic of CRYO pump, ULVAC has developed low vibration CRYO pumps to achieve high resolution alignment performance between Metal mask and substrate. This report also includes ULVAC's approach for these issues. -
Transparent oxide semiconductors (TOSs) are. currently attracting attention for application to transparent electrodes in optoelectronic devices and active channel layers in thin-film transistors. One of the key issues for the realization of next generation transparent electronic devices such as transparent complementary metal-oxide-semiconductor thin-film transistors (CMOS TFTs), transparent wall light, sensors, and transparent solar cell is to develop p-type TOSs. In this talks, I will introduce issues and status related to p-type TOSs such as LnCuOQ (Ln=lanthanide, Q=S, Se),
$SrCu_2O_2$ ,$CuMO_2$ (M=Al, Ga, Cr, In), ZnO,$Cu_2O$ and SnO. The growth and properties of SnO and Cu-based oxides and their application to electronic devices will be discussed. -
미래형 전자소자는 높은 성능과 더불어 소형화, 박막화를 거쳐 휘어질 수 있고(flexible), 착용이 가능하며(wearable), 접을 수 있거나(foldable) 늘어날 수 있는(stretchable) 방향으로 발전해 가고 있다. 실리콘, 갈륨비소 등 무기소재를 기반으로 하는 전자소자의 경우 그 성능은 우수하나 딱딱하고 휘어질 수 없는 반면, 유기소재를 기반으로 하는 경우 보다 유연한 구조를 가질 수 있지만 성능이나 신뢰성면에서 아직 개선할 점이 많이 남아있다. 최근에는 성능이 우수한 무기소재를 초박막 형태로 구성하여 이를 휘거나 늘어날 수 있는 기판에 부착하는 형태의 소자들이 많이 개발되고 있으며 이를 통해 박막트랜지스터, LED, CMOS 회로, 태양 전지 및 각종 센서 등이 휘거나 심하게 변형될 수 있는 형태로 진화하였으며, 기존에 구현하기 어려웠던 피부에 부착이 가능한 전자소자, 반구형 구조를 갖는 이미지 센서 등의 구현이 가능하게 되었다. 무기소재 박막을 플렉서블 기판에 부착시키는 데에는 전사 프린팅(transfer printing) 방법이 핵심기술로서 주로 이용된다. 본 튜토리얼은 플렉서블/스트레쳐블 전자소자의 구현을 위한 전사 프린팅 방법을 소개하고, 구체적인 공정 방법과 이를 이용한 독특한 형태 전자소자의 개발에 대해 다루고자 한다.
-
주사전자현미경(Scanning Electron Microscopy: SEM)은 고체상태에서 미세조직과 형상을 관찰하는 데에 가장 다양하게 쓰이는 분석기기로서 최근에 판매되고 있는 고분해능 SEM은 수 나노미터의 분해능을 가지고 있다. 그리고 SEM의 초점심도가 크기 때문에 3차원적인 영상의 관찰이 용이해서 곡면 혹은 울퉁불퉁한 표면의 영상을 육안으로 관찰하는 것처럼 보여준다. 활용도도 매우 다양해서 금속파면, 광물과 화석, 반도체 소자와 회로망의 품질검사, 고분자 및 유기물, 생체시료 nnnnnnnnn와 유가공 제품 등 모든 산업영역에 걸쳐 있다(Fig. 1). 입사된 전자빔이 시료의 원자와 탄성, 비탄성 충돌을 할 때 2차 전자(secondary electron)외에 후방산란전자(back scattered electron), X선, 음극형광 등이 발생하게 되는 이것을 통하여 topography (시료의 표면 형상), morphology(시료의 구성입자의 형상), composition(시료의 구성원소), crystallography (시료의 원자배열상태)등의 정보를 얻을 수 있다. SEM은 2차 전자를 이용하여 시료의 표면형상을 측정하고 그 외에는 SEM을 플랫폼으로 하여 EDS (Energy Dispersive X-ray Spectroscopy), WDS (Wave Dispersive X-ray Spectroscope), EPMA (Electron Probe X-ray Micro Analyzer), FIB (Focus Ion Beam), EBIC (Electron Beam Induced Current), EBSD (Electron Backscatter Diffraction), PBMS (Particle Beam Mass Spectrometer) 등의 많은 분석장치들이 SEM에 부가적으로 장착되어 다양한 시료의 측정이 이루어진다. 이 중 결정구조, 조성분석을 쉽고 효과적으로 할 수 있게 하는 X선 분석장치인 EDS를 SEM에 일체화시킨 장비와 EDS 및 PBMS를 SEM에 장착하여 반도체 공정 중 발생하는 나노입자의 형상, 성분, 크기분포를 측정하는 PCDS(Particle Characteristic Diagnosis System)에 대해 소개하고자 한다. - EDS와 통합된 SEM 시스템 기본적으로 SEM과 EDS는 상호보완적인 기능을 통하여 매우 밀접하게 사용되고 있으나 제조사와 기술적 근간의 차이로 인해 전혀 다른 방식으로 운영되고 있다. 일반적으로 SEM과 EDS는 별개의 시스템으로 스캔회로와 이미지 프로세싱 회로가 개별적으로 구현되어 있지만 로렌츠힘에 의해 발생하는 전자빔의 왜곡을 보정을 위해 EDS 시스템은 SEM 시스템과 연동되어 운영될 수 밖에 없다. 따라서, 각각의 시스템에서는 필요하지만 전체 시스템에서 보면 중복된 기능을 가지는 전자회로들이 존재하게 되고 이로 인해 SEM과 EDS에서 보는 시료의 이미지의 차이로 인한 측정오차가 발생한다(Fig. 2). EDS와 통합된 SEM 시스템은 중복된 기능인 스캔을 담당하는 scanning generation circuit과 이미지 프로세싱을 담당하는 FPGA circuit 및 응용프로그램을 SEM의 회로와 프로그램을 사용하게 함으로 SEM과 EDS가 보는 시료의 이미지가 정확히 일치함으로 이미지 캘리브레이션이 필요없고 측정오차가 제거된 EDS 측정이 가능하다. - PCDS 공정 중 발생하는 입자는 반도체 생산 수율에 가장 큰 영향을 끼치는 원인으로 파악되고 있으며, 생산수율을 저하시키는 원인 중 70% 가량이 이와 관련된 것으로 알려져 있다. 현재 반도체 공정 중이나 반도체 공정 장비에서 발생하는 입자는 제어가 되고 있지 않은 실정이며 대부분의 반도체 공정은 저압환경에서 이루어지기에 이 때 발생하는 입자를 제어하기 위해서는 저압환경에서 측정할 수 있는 측정시스템이 필요하다. 최근 국내에서는 CVD (Chemical Vapor Deposition) 시스템 내 파이프내벽에서의 오염입자 침착은 심각한 문제점으로 인식되고 있다(Fig. 3). PCDS (Particle Characteristic Diagnosis System)는 오염입자의 형상을 측정할 수 있는 SEM, 오염입자의 성분을 측정할 수 있는 EDS, 저압환경에서 기체에 포함된 입자를 빔 형태로 집속, 가속, 포화상태에 이르게 대전시켜 오염입자의 크기분포를 측정할 수 있는 PBMS가 일체화 되어 반도체 공정 중 발생하는 나노입자 대해 실시간으로 대처와 조치가 가능하게 한다.
-
수중방전을 환경분야에 적용하기 위한 플라즈마 부상법이 개발되었다. 플라즈마 부상법은 물 속에서 발생시킨 플라즈마가 가지고 있는 주요특성 중 물리적 특징인 쇼크웨이브, UV조사, 버블생성 등과 화학적 특징인 OH라디칼 및 염소산화물 생성 등을 이용하여 물 속에 존재하는 용존성 및 입자성 물질을 부상분리 기법으로 제거하는 공법이다. 유기물을 제거하는 기작으로는 침전, 여과, 분해 등이 있고, 이를 구현하기 위한 공정으로 중력침강법, 부상분리법, 멤브레인법, 미생물법 등이 있다. 이 중에서 가압공기부상법은 침강법에 비해 부지면적을 적게 소모하고 처리시간이 50% 이상 감소되는 특징이 있다. 가압공기부상법은 물 속에 공기를 과포화시킨 후 노즐을 통해 재분사할 때 발생하는 압력차에 의해 미세기포가 발생함을 이용하여 유기물을 분리하는 공법이다. 그러나, 가압용 장비 및 반송수가 필요하고, 미생물분리는 불가능한 단점이 있다. 이에 본 연구에서는 미생물살균과 유기물 분리가 동시에 일어나는 플라즈마를 이용한 부상분리기법을 개발하였다. 본 연구에서는 난분해성 용존유기물인 휴믹산 100 mg/L의 플라즈마 공기부상법에 의한 제거능을 확인하였다. 용존성 휴믹산을 입자성 물질로 전환하여 플록을 형성시키고자 알루미늄설페이트(Al2(SO4)
$3{\cdot}18H2O$ )를 100 mg/L 주입하였고, 침출수와 같이 염도가 높은 물을 모사하고자 35 g/L의 염화나트륨을 첨가한 상태에서 방전을 실시하였다. 방전에 사용된 전원은 EESYS사에서 제작한 펄스형 고전압 전원장치를 사용하였고 최대 15 kW의 출력 중 6 kW의 전력을 인가하였다. 전극 한 개는 2 mm 텅스텐봉을 세라믹튜브로 감싼 구조로 총 사용전극은 28개이다. 전극 한 개당 대략 200 Watt의 전력이 소모되며 이 때 최대의 버블이 생성됨을 확인하였다. 전극 1개에서 생성되는 버블의 부피는 14 mL/min 로 측정되었다. 버블의 크기는 평균 70 um이고 가압공기부상법에서 최적공기크기로 제시하고 있는 40~80 um 의 버블은 약 80% 가량 생성된다. 본 연구에서 사용된 반응시스템에서의 물의 높이는 약 500 mm 이고 전체 40 L의 수조가 3개의 벽으로 분리되어 4개의 수조로 분리되었다. 각 수조는 하부에 7개의 전극을 포함하고 있다. 플라즈마 발생시 생성되는 기포는 약 1분 방전 후에 포화농도에 도달하며 방전종료 후 약 4분간 수체 내에 남아있게 된다. 이를 공정에 적용하여 1분 방전 및 4분 휴지의 순서로 플라즈마를 인가하였다. 휴믹산 용액의 유량을 2 lpm 으로 운전하였을 때 최종 처리율은 94% 이고 이때의 대장균 살균능은 99%이다. -
In 1991, Prof. Toshio Masuda of Kyoto University for the first time synthesized a representative of diphenylacetylene polymer derivatives, poly[1-phenyl-2-(p-trimethylsilyl)phenylacetylene] [PTMSDPA]. This polymer is highly soluble nevertheless a ultra-high molecular weight (Mw) of >
$1.0{\times}10^6$ which showed excellent chemical, physical, mechanical properties [1]. As one of the most interesting features of PTMSDPA, Prof. Katsumi Yoshino of Osaka Univ. reported that this polymer emits an intense fluorescence (FL) in a visible region because of the effective exciton confinement within the resonant structure between the polyene pi-conjugated chain and side phenyl full-aromatic bulky groups [2]. Very recently, Prof. Ben-Zhong Tang of Hong-Kong Institute of Science and Technology clarified the idea that the FL emission of disubstituted acetylene polymer derivatives originates from intramolecular excimer due to the face-to-face stacking of the side phenyl groups [3]. Thus, to know what influence to intramolecular excimer emission in the film as well as to further understand how the intramolecular excimer forms in the film became more crucial in order to further precisely design the optimized molecular structure for highly emissive, substituted acetylene polymers in the solid state. In recent studies, we have focused our interests on the origin of the FL emission in order to expand our knowledge to developments of novel sensor applications. It was found that the intramolecular phenyl-pheyl stack structure of PTMSDPA in film was variable in response to various external chemical stimuli. Using PTMSDPA and its derivatives, we have developed various potential applications such as latent fingerprint identification, viscosity sensor, chemical-responsive actuator, gum-like soft conjugated polymer, and bioimaging. The details will be presented in the 49th KVS Symposium held in Pyong Chang city. -
Label-free biomolecular assay based localized surface plasmon resonance (LSPR) of noble metal nanoparticles enables simple and rapid detection with the use of simple equipment. Nanosized metal nanoparticles exhibit a strong absorption band when the incident light frequency is resonant with the collective oscillation of the electrons, which is known as the LSPR. Here we demonstrate localized surface plasmon resonance (LSPR) substrates such as plasmonic Au nanodisks fabricated by a nanoimprinting process and gold nanorod-immobilized surfaces and their applications to highly sensitive and/or label-free biosensing. To increase detection sensitivity various bioreceptors weree designed. A single chain variable fragment (scFv) was used as a receptor to bind C-reactive protein (CRP). The results of this effort showed that CRP in human serum could be quantitatively detected lower than 1 ng/ml. Aptamers, which were immobilized on gold nanorods, were used to detect mycotoxins. The specific binding of ochratoxin A (OTA) to the aptamer was monitored by the longitudinal wavelength shift of LSPR peak in the UV-Vis spectra resulting from the changes of local refractive index near the GNR surface induced by accumulation of OTA and G-quadruplex structure formation of the aptamer. According to our results, OTA could be quantitatively detected lower than 1 nM level. Additionally, aptamer-functionalized GNR substrate was quite robust and can be regenerated many times by rinsing at 70 OC to remove bound target. During seven times of washing steps, the developed OTA sensing system could be reusable. Moreover, the proposed biosensor exhibited selectivity over other mycotoxins with an excellent recovery for detection in grinded corn samples, suggesting that the proposed LSPR based aptasensor plays an important role in label-free detection of mycotoxins.
-
Heo, Cheol;Kim, Bong-Gyu;Kim, Wan-Jung;Hong, Jong-Cheol;A, Chil-Seong;Kim, Sang-Hyeop;Seong, Geon-Yong 127
인체 내 소량의 생체성분(혈액, 소변 등)을 감지하는 바이오센서 기술은 질병 진단뿐만 아니라 예방 및 관리로 의료서비스 확대, 개인 맞춤형 진료 및 의료비 감소 효과를 가져올 수 있는 기술이다. 광바이오센서는 광학적인 측정방법을 이용하여 다양한 생화학물질들의 상호 반응을 검출해 낼 수 있는 바이오센서로 현재 활발하게 연구가 진행되고 있다. 광 바이오센서는 생체성분 내에 존재하는 전하를 가진 많은 이온들 및 Salt 농도 등에 영향을 받지 않기 때문에 나노 와이어를 이용한 FET (field-effect transistor)형 바이오센서에 비해 많은 장점을 가지고 있다. 일반적으로 광 바이오센서는 형광물질, 인광물질, 발색물질, 방사선 물질 등의 발광물질을 인식물질에 표지하여 인식물질과 분석물질과의 반응유무를 표지된 발광물질의 광학 신호를 감지하여 분석물질을 검출해내는 표지식 광 바이오센서 기술이 상용화되고 있다. 그러나 이러한 분석 방법은 민감도는 우수하지만 분석 시간이 매우 느리고, 고가의 분석 장비 및 복잡한 제조 공정 등의 단점들을 가지고 있다. 이러한 단점들을 극복하기 위하여 생화학 반응 유무를 표지물질 없이 광학적 방식으로 직접 측정할 수 있는 비표지식 광 바이오센서 기술이 최근 들어 많이 연구되고 있다. 본 논문에서는 광파장 이하의 주기를 가진 주기적 공진 격자 표면에서 일어나는 바이오 항원-항체 반응에 대한 공진 반사 파장을 측정하여 생체성분 내에 존재하는 바이오 항원을 고감도로 검출할 수 있는 비표지식 공진반사광 바이오센서 기술을 소개하고자 한다. 공진반사광 바이오센서를 이용하여 human serum내에 존재하는 심근경색 마커인 troponin I (cTnI), creatine kinase MB (CK-MB), myoglobin (MYO)을 0.1 ng/mL 이하의 농도까지 고감도로 측정할 수 있었다. -
양자점은 전통적인 유기 염료에 비해 흡광영역이 넓고 발광 피크의 폭이 좁으며, 흡광과 발광 사이의 에너지 차가 커서 검출이 용이하고, 광안정성이 우수할 뿐만 아니라, 단순히 크기를 조절함으로써 발광 피크의 에너지를 제어할 수 있는 특장 때문에 많은 연구가 진행되었다. 그러나 많은 나노입자들과 마찬가지로 실질적인 응용을 위해서는 양자점 나노입자들도 대부분 표면개질을 거쳐야 하는데, 이 과정이 까다롭고 또 표면개질 중에 나노입자들의 응집이 일어나거나 광특성이 나빠지는 등의 문제가 흔히 발생한다. 한편, 서브미크론 크기의 입자들은 나노입자에 비해 응집현상이 미미해서 상대적으로 취급이 용이하다. 그 중에서도 실리카 입자들은 합성방법도 쉽게 확립되어 있고 생체친화성이 우수하며 그 표면화학 반응이 이미 잘 알려져 있어서 활용하기가 매우 용이하다. 따라서 양자점 층을 실리카 표면 가까이에 자기조립을 통해 배열한 하이브리드 구조는 양자점의 장점을 편리하게 이용할 뿐만 아니라 실리카의 표면개질 특성도 그대로 이용할 수 있다는 이중의 장점이 있다. 본 논문에서는 코어/쉘 구조로 안정화된 II-VI 반도체 양자점 층을 아래 그림 1과 같이 실리카 콜로이드 내에 배열한 하이브리드 구조를 소개하고, 이 하이브리드 구조를 표면개질 하여 LED 칩 위에 패키징 함으로써 백색광을 제조한 연구 및 더 나아가 중심에 초상자성 클러스터 핵을 배치하고 이를 둘러싼 실리카 콜로이드 표면 가까이에 양자점 층을 배열한 초상자성 하이브리드 구조를 합성하여 이를 on-site sensor에 적용한 연구 결과를 소개한다.
-
최근 반도체의 고집적화로 high dose implant 도입과 소자의 동작 특성 향상을 위한 low-k 물질 도입에 따라 다양한 주변 공정의 변화를 이끌고 있다. 이에 따라 반도체 제조의 핵심 공정 단계 중 하나인 ashing 단계에서 기존 성능 이상의 장비를 기대하고 있으며, 그것을 평가하기 위한 중요 요소로 uniformity와 fast stripping이 있다. 본 연구에서는 유체해석 시뮬레이션을 통해 450 mm ashing 챔버에서의 gas inlet baffle과 wafer stage 사이의 최적 거리를 예측했다. 우선적으로 시뮬레이션의 신뢰도를 높이기 위해 실험으로 측정한 300 mm ashing 결과와 유체해석 결과 molecular flux의 상관관계를 파악하여, 450 mm ashing 챔버의 최적 구조를 예측하였다. 선행 연구한 300 mm 시뮬레이션 결과를 바탕으로 이상적인 450 mm ashing 챔버를 설계하였다. 유체해석 결과는 동일한 형태의 수직형 구조 장비에서 baffle과 wafer stage 사이의 거리가 35 mm에서 60 mm일 때, 450 mm wafer surface 위에서 더욱 균일한 density 분포를 나타내었다. Reactant flux 분포는 거리가 60 mm에서 80 mm 사이일 경우 더 균일하게 나타났다. 그러므로, 450 mm 챔버에서 gas inlet baffle과 wafer stage 간격이 60 mm일 때 최적의 구조로 판단된다.
-
건식 진공펌프(dry vacuum pump)는 기계적 저진공펌프 중 펌프 내부의 기체 배기통로에 오일을 전혀 사용하지 않는 펌프의 통칭으로써, 대개 비접촉형으로 여러 형태의 회전자가 고속으로 회전하면서 흡기구로부터 들어온 기체를 압축해 배기를 한다. 비접촉형에는 루츠(roots)형, 클로(claw)형, 스크류(screw)형, 스크롤(scroll)형 등이 있다. 그 중 스크류형 펌프는 큰 배기속도를 갖는 펌프의 설계에 유리하고 높은 운용온도가 가능하여 다수공정에 쉽게 적용할 수 있는 장점이 있다. 그러나 1단 펌프 구성을 갖게 됨에 따라 루츠형 대비 높은 소비전력 특성을 보이는 약점을 가지고 있다. 본 논문에서는 건식 진공펌프 중의 하나인 스크류형 진공펌프에 대하여, 기본적인 1단 펌프 구성에 스크류 리드(lead)의 변화를 주어 기존의 똑같은 리드의 스크류 진공펌프에 대한 소비전력 및 배기구 온도 등 스크류 형상에 대한 성능평가 연구를 진행 하고자 한다.
-
열플라즈마는 주로 아크 방전에 의해 발생시킨 전자, 이온, 중성입자(원자 및 분자)로 구성된 부분 이온화된 기체로, 국소열평형상태를 유지하여 구성입자가 모두 수천에서 수만도에 이르는 같은 온도를 갖는 고속의 제트 화염 형태를 이루고 있다. 이렇게 고온, 고열용량, 고속, 다량의 활성입자를 갖는 열플라즈마의 특성을 이용하여, 종래 기술에서는 얻을 수 없는 다양하고 효율적인 산업적 이용이 활발히 진행되고 있다. 용사코팅은 노즐 출구를 통해서 외부로 방출되는 열 플라즈마 화염을 이용하는 것으로 이 화염의 와류 특성으로 인하여 외기의 가스가 화염내부로 침투하는 특성을 가진다. 이러한 현상은 열원의 냉각효과 외에도 외기를 구성하는 기체 분자의 내부 유입을 의미하는 것으로 대기 상태에서 공정이 이루어진다면 열원 내로 유입되는 대기 내의 산소가 모재 표면과 반응하여 산화가 진행된다. 이러한 산화과정은 용사 코팅의 품질을 저하시키는 요인이 되므로, W, Ti 등과 같은 반응성이 높은 재료의 코팅은 산화과정을 방지하기 위하여 진공에서 코팅을 하여야만 한다. 진공 플라즈마용사코팅은 진공 또는 저압의 불활성 분위기 중에서 열플라즈마 화염에 용사재료를 투입하여 플라즈마 화염 내부에서 순간적으로 이를 용융시킨 후 고속으로 분출, 모재에 적층시키는 코팅공정이다. 이때 분말상의 용사재료를 고속으로 화염 중심에 투입하여 최대 에너지 전달이 이루어지도록 하는 것이 적층효율 및 코팅품질을 향상에 필수적이다. 하지만 플라즈마 화염 내부를 고속으로 이동하는 입자의 온도와 속도 및 궤적을 측정하여 제어하는 것은 매우 어렵기 때문에, 통상 형성된 코팅의 구조와 두께로부터 경험적으로 파라미터를 결정하는 것이 일반적이다. 본 연구에서는 초고속 레이저 카메라와 이미지 분석용 소프트웨어를 이용하여 플라즈마 화염내의 비행입자 궤적을 추적하고, 이를 통해 분말 이송가스의 유량이 코팅 효율 및 미세구조에 미치는 영향을 조사하였다. 플라즈마 화염은 중심부가 가장 높은 온도와 속도를 가지고 있기 때문에, 분말 이송가스의 유량이 적을 경우 투입된 분말은 단지 플라즈마 화염의 상부 경계면을 지나는 궤적을 갖게된다. 이로 인해 분말의 용융이 충분히 이루어지지 않아 적층 효율이 낮고 미용융 입자 및 기공이 많은 미세구조를 보였다. 이송가스 유량을 증가시키게 되면, 분말의 궤적은 플라즈마 화염의 중심부를 지나게 되어 적층 효율이 증가하고 미세구조 또한 개선되었다. 하지만 이송가스 유량이 지나치게 클 경우, 투입된 분말 입자는 플라즈마 화염을 조기에 관통하게 되어 비행궤적은 온도와 속도가 낮은 영역에 형성되었다.
-
최근 들어 wearable computing에 대한 수요가 증가하면서 flexible device에 대한 연구가 활발히 진행되고 있다. 하지만, flexible device를 구현하기 위해서는 기판의 damage를 줄이기 위한 저온공정, device life-time 향상을 위한 passivation, 와이어 본딩 등 다양한 문제들이 해결 되어야 한다. 이러한 문제들 중, polymer 기판과 금속간의 접착력을 향상시키기 위해서 많은 연구자들은 기판의 표면에 adhesive layer를 도포하거나 금속잉크의 solvent를 변화시키는 등의 연구를 진행해왔다. 종래의 연구는 기존 device를 대체 할 수 있을 정도의 생산성과 polymer 기판에 대한 열 적인 손상 이 문제가 되었다. 종래의 문제를 해결하기 위하여 저온공정, in-line system이 가능한 준 준 대기압 플라즈마를 사용하였다. 본 연구에서는 금속잉크를 Ink-jet으로 jetting하여 와이어 본딩 하는 과정에서 전도성 ink의 선폭을 유지시키고 접착력을 향상하기 위하여 준 대기압 플라즈마 공정을 이용하여 이러한 문제점을 해결하고자 하였다. Polymer 기판 표면에 roughness를 만들기 위해 대략 수백 nm 크기를 갖는 graphene flake를 spray coating하여 마스크로 사용하고 준 대기압 플라즈마를 이용하여 표면을 식각 함으로써 roughness를 형성시켰다. 준 대기압 플라즈마를 발생시키기 위해 double discharge system에서 6 slm/1.5 slm (He/O2) gas composition을 하부 전극에 흘려보내고 60 kHz, 5 kV 파워를 인가하였다. 동시에 상부 전극에는 30 kHz, 5 kV 파워를 인가하여 110초 동안 표면 식각 공정을 진행하였다. Graphene flake mask가 coating되어 있는 유연기판을 산소 플라즈마 처리 한 후 물에 3초 동안 세척하여 표면에 남아있는 graphene flake를 제거하고 6 slm/0.3 slm (He/SF6)의 유량으로 주파수와 파워 모두 동일 조건으로 110초 동안 표면 처리를 하였다. Figure 1은 표면 개질 과정과 graphene flake를 mask로 사용하여 얻은 roughness 결과를 SEM을 이용하여 관찰한 결과이다. 이와 같이 실험한 결과 ink와 기판간의 접촉면적을 늘려주고 접촉 각을 조절하여 Wenzel model 을 형성 할 수 있는 표면 roughness를 생성하였고 표면의 화학적 결합을 C-F group으로 치환하여 표면의 물과 접촉각 이
$47^{\circ}$ 에서$130^{\circ}$ 로 증가하는 것을 확인하였다. -
Jung, Min Wook;Myung, Sung;Kim, Kiwoong;Jo, You-Young;Lee, Sun Suk;Lim, Jongsun;Park, Chong-Yun;An, Ki-Seok 165
In this study, we demonstrated that the soft lithographic patterning processing of chemical vapor deposition (CVD) graphene and rGO sheets as large scale, low cost, high quality and simplicity for future industrial applications. Recently, a previous study has reported that single layer graphene grown via CVD was patterned and transferred to a target surface by controlling the surface energy of the polydimethylsiloxane (PDMS) stamp [1]. Using this approach, the surface of a relief-patterned elastomeric stamp was functionalized with hydrophilic dimethylsulfoxide (DMSO) molecules to enhance the surface energy of the stamp and to remove the graphene-based layer from the initial substrate and transfer it to a target surface [2]. Further, we developed a soft lithographic patterning process via surface energy modification for advanced graphene-based flexible devices such as transistors or simple and efficient chemical sensor consisting of reduced graphene oxide (rGO) and a metallic nanoparticle composite. A flexible graphene-based device on a biocompatible silk fibroin substrate, which is attachable to an arbitrary target surface, was also successfully fabricated. -
Lee, Jeihyun;Kim, Hyein;Shin, Dongguen;Lee, Younjoo;Park, Soohyung;Yoo, Jisu;Jeong, Junkyeong;Hyun, Gyeongho;Jeong, Kwangho;Yi, Yeonjin 169
Interfacial electronic structure of bathocuproine and Al was investigated using in-situ photoemission spectroscopy and density functional theory (DFT) calculations. Bathocuproine is used for exciton blocking and electron transport material in organic photovoltaics and Al is typical cathode material. When thin thickness of Al was thermally evaporated on BCP, gap states were observed by ultraviolet photoemission spectroscopy. The closest gap state yielded below 0.3 eV from Fermi level. By x-ray photoemission spectroscopy, interaction of Al with nitrogen of BCP was observed. To understand the origin of gap states, DFT calculation was carried out and gap states was verified with successive calculation of interaction of Al and nitrogen of BCP. Furthermore, emergency of another state above Fermi level was observed. Remarkable reduction of electron injection barrier between Al and BCP, therefore, is possible. -
Memristor devices are one of the most promising candidate approaches to next-generation memory technologies. Memristive switching phenomena usually rely on repeated electrical resistive switching between non-volatile resistance states in an active material under the application of an electrical stimulus, such as a voltage or current. Recent reports have explored the use of variety of external operating parameters, such as the modulation of an applied magnetic field, temperature, or illumination conditions to activate changes in the memristive switching behaviors. Among these possible choices of signal controlling factors of memristor, photon is particularly attractive because photonic signals are not only easier to reach directly over long distances than electrical signal, but they also efficiently manage the interactions between logic devices without any signal interference. Furthermore, due to the inherent wave characteristics of photons, the facile manipulation of the light ray enables incident light angle controlled memristive switching. So that, in the tautological sense, device orienting position with regard to a photon source determines the occurrence of memristive switching as well. To demonstrate this position controlled memory device functionality, we have fabricated a metal-semiconductor-metal memristive switching nanodevice using ZnO nanorods. Superhydrophobicity employed in this memristor gives rise to illumination direction selectivity as an extra controlling parameter which is important feature in emerging. When light irradiates from a point source in water to the surface treated device, refraction of light ray takes place at the water/air interface because of the optical density differences in two media (water/air). When incident light travels through a higher refractive index medium (water; n=1.33) to lower one (air; n=1), a total reflection occurs for incidence angles over the critical value. Thus, when we watch the submerged NW arrays at the view angles over the critical angle, a mirror-like surface is observed due to the presence of air pocket layer. From this processes, the reversible switching characteristics were verified by modulating the light incident angle between the resistor and memristor.
-
Yu, Yeong-Jun;Hwang, Ji-Seop;Park, Jeong-Su;Lee, Ju-Yeol;Gang, Ji-Hun;Kim, Gi-Won;Lee, Gwang-Hun;Lee, Bo-Hwa;Lee, Yeong-Baek 182
Multiferroic materials have attracted much attention due to their own fascinating fundamental physical properties and potential technological applications to magnetic/ferroelectric data storage systems, quantum electromagnets, spintronics, and sensor devices. Among single-phase multiferroic materials,$BiFeO_3$ , in particular, has received considerable attention because the enhanced ferromagnetism was found by the Fe-site ion substitution with magnetic ions. The structural, the magnetic and the ferroelectric properties of polycrystalline$BiFe_{1-x}Ni_xO_3$ (x=0, 0.01, 0.02, 0.03 and 0.05), which were prepared by the solid-state reaction and the rapid-sintering method, have been investigated. The x-ray diffraction patterns reveal that all the samples are in single phase and show rhombohedral structure with R3c space group. The magnetic properties are enhanced according to the doping content. The Ni-doped$BiFeO_3$ samples exhibit lossy P-E loop due to the oxygen vacancy. The leakage current density of Ni-doped samples (x=0.01 and 0.02) is increased by four orders of magnitude. On the other hand, the x=0.03 and 0.05 samples show the relative reduction of the leakage current. -
Recently, multiferroic materials gain much attention due to their fascinating fundamental physical properties. These materials offer wide range of potential applications such as data storage, spintronic devices and sensors, where both electronic and magnetic polarizations can be coupled. Among single-phase multiferroic materials,
$BiFeO_3$ is typical because of the room-temperature magnetoelectric coupling in view of long-range magnetic- and ferroelectric-ordering temperatures. However,$BiFeO_3$ is well known to have large leakage current and small spontaneous polarization due to the existence of oxygen vacancies and other defects. Furthermore the magnetic moment of pure$BiFeO_3$ is very weak owing to its antiferromagnetic nature. Recently, various attempts have been performed to improve the multiferroic properties of$BiFeO_3$ through the co-doping at the A and the B sites, by making use of the fact that the intrinsic polarization and magnetization are associated with the lone pair of$Bi^{3+}$ ions at the A sites and the partially-filled 3d orbitals of$Fe^{3+}$ ions at the B sites, respectively. In this study,$BiFeO_3$ ,$Bi_{0.9}Ho_{0.1}FeO_3$ ,$BiFe_{0.97}Ni_{0.03}O_3$ and$Bi_{0.9}Ho_{0.1}Fe_{0.97}Ni_{0.03}O_3$ bulk compounds were prepared by solid-state reaction and rapid sintering. High-purity$Bi_2O_3$ ,$Ho_2O_3$ ,$Fe_2O_3$ and$NiO_2$ powders with the stoichiometric proportions were mixed, and calcined at$500^{\circ}C$ for 24 h to produce the samples. The samples were immediately put into an oven, which was heated up to$800^{\circ}C$ and sintered in air for 1 h. The crystalline structure of samples was investigated at room temperature by using a Rigaku Miniflex powder diffractometer. The field-dependent and temperature-dependent magnetization measurements were performed with a vibrating-sample magnetometer and superconducting quantum-interference device. -
Seok, Cheol-Gyun;Choe, Min-Gyeong;Jeong, Jin-Uk;Park, Se-Hun;Park, Yong-Jo;Yang, In-Sang;Yun, Ui-Jun 203
Due to the electrical properties such as narrow bandgap and high carrier mobility, indium antimonide (InSb) has attracted a lot of attention recently. For the fabrication of electronic or photonic devices, an etching process is required. However, during etching process, enegetic ions can induce structural damages on the bombarded surface. Especially, InSb has a very weak binding energy between In atom and Sb stom, it can be easily damaged by impingement of ions. In the previous work, to evaluate the surface properties after Ar ion beam etching, the plasma-induced structural damage on the etched InSb(100) surface had been examined by resonant Raman spectroscopy. As a result, we demonstrated the relation between the enhanced transverse optical(TO) peak in the Raman spectrum and the ion-induced structral damage near the InSb surface. In this work, the annealing effect on the etched InSb(100) surface has investigated. Annealing process was performed at$450^{\circ}C$ for 10 minute under antimony ambient. As-etched InSb(100) surface had shown a strongly enhanced TO scattering intensity in the Raman spectrum. However, the annealing process with antimony flowing caused the intensity to recover due to the structural reordering and the reduction of antimony vacancies. It proves that the origin of enhanced TO scattering is Sb vacancies. Furthermore, it shows that etching-induced damage can be cured effectively by the following annealing process under Sb ambient. -
이트리아 안정화 지그코니아(Yttria-stabilized zirconia, YSZ)는 이트리아의 첨가에 의해 지르코니아에 생성된 산소 빈자리(oxygen vacancy)로
$O^{2-}$ 이온이 전도성을 가지게 되는 특징이 알려지면서 최근 고체산화물 연료전지연구에서 많은 관심을 받고 있다.[1] YSZ를 기반으로한 고체산화물 연료전지의 특성을 개선하기 위해서는 YSZ 내에서의 산소교환 메카니즘을 이해하는 것이 매우 중요하다. 본 연구에서는$^{18}O2$ 추적 기체(tracer gas) 이용하여 확산된 YSZ박막에서의 산소 확산 거동을 초미세이차이온질량분석기(Nano Secondary Ion Mass Spectrometry, Nano SIMS)를 이용하여 조사하였다. Nano SIMS는 작은 입사 이온빔의 크기를 구현할 수 있고, 다중검출기를 이용하여 높은 질량분해능으로 간섭없이 산소동위원소를 동시에 모두 검출할 수 있는 장점이 있다. 본 발표에서는 Nano SIMS를 이용한 YSZ박막에서의 산소 거동 평가 결과를 상세하게 보일 것이다. -
Red color light emitting diodes (LEDs) were fabricated using CdSe/CdZnS quantum dots (QDs). During the device fabrication process, oxygen plasma treatment on the ITO surface was performed to improve the interfacial contact between ITO anode and the hole injection layer. CdSe/CdZnS quantum dots were cross-linked to remove their surrounded organic surfactants. The device shows red emission at 622 nm, which is consistent with the dimension of the QDs (band gap=1.99 eV). The luminance shows 6026% improvement compared with that of LEDs fabricated without oxygen plasma treatment and quantum dots cross-linking process. This approach would be useful for the fabrication of high-performance QLEDs with ITO electrode and PEDOT:PSS hole injection layers.
-
The present work proposes an improved numerical simulator for design and modification of large area capacitively coupled plasma (CCP) processing chamber. CCP, as notoriously well-known, demands the tremendously huge computational cost for carrying out transient analyses in realistic multi-dimensional models, because electron dissociations take place in a much smaller time scale (
${\Delta}t{\appro x}10-8{\sim}10-10$ ) than time scale of those happened between neutrals (${\Delta}t{\approx}10-1{\sim}10-3$ ), due to the rf drive frequencies of external electric field. And also, for spatial discretization of electron flux (Je), exponential scheme such as Scharfetter-Gummel method needs to be used in order to alleviate the numerical stiffness and resolve exponential change of spatial distribution of electron temperature (Te) and electron number density (Ne) in the vicinity of electrodes. Due to such computational intractability, it is prohibited to simulate CCP deposition in a three-dimension within acceptable calculation runtimes (<24 h). Under the situation where process conditions require thickness non-uniformity below 5%, however, detailed flow features of reactive gases induced from three-dimensional geometric effects such as gas distribution through the perforated plates (showerhead) should be considered. Without considering plasma chemistry, we therefore simulated flow, temperature and species fields in three-dimensional geometry first, and then, based on that data, boundary conditions of two-dimensional plasma discharge model are set. In the particular case of SiH4-NH3-N2-He CCP discharge to produce deposition of SiNxHy thin film, a cylindrical showerhead electrode reactor was studied by numerical modeling of mass, momentum and energy transports for charged particles in an axi-symmetric geometry. By solving transport equations of electron and radicals simultaneously, we observed that the way how source gases are consumed in the non-isothermal flow field and such consequences on active species production were outlined as playing the leading parts in the processes. As an example of application of the model for the prediction of the deposited thickness uniformity in a 300 mm wafer plasma processing chamber, the results were compared with the experimentally measured deposition profiles along the radius of the wafer varying inter-electrode gap. The simulation results were in good agreement with experimental data. -
일반적인 Cosine law를 이용한 증착 두께의 분포에 대한 계산은 적분의 형태로 이루어져있다. LCD 8G 급의 경우 마그네트론 스퍼터링 타겟의 크기가 깊이 3 m, 폭 25 cm정도인데 대략 6~8개를 설치하여 공정 시간을 줄이고 있다. 이 때 한 쪽 방향으로 이동하는 기판이 타겟 표면과 이루는 각도는 아주 작은 각에서 수직으로 다시 음의 각도로 변화한다. 이 때 발생하는 박막의 미세 조직 변화는 박막 특성에 많은 영향을 준다. 이에 대한 연구를 위한 1단계로 타겟 표면과 기판 표면을 모두 미소 면적소로 구분하고 각각의 면적소 간에 이루어지는 증착 원자의 비행을 충돌이 없다는 가정하에 direct flux 알고리즘으로 처리하였다. 이 때 소요되는 계산 시간은 매우 길어서 single core CPU에서 serial job으로 처리하는 경우 여러 시간이 소요된다. 이에 대한 대안으로 OpenMP를 이용한 작업의 병렬화를 시도하였다. 4 core machine에서 최대 96%의 병렬 효율을 달성하였다.
-
OLED 소자에 사용되는 유기물들은 대부분 전자에 비해 정공의 이동속도가 매우 빨라 소자 효율의 손실이 일어난다. 본 연구에서는 이러한 전하 이동도의 불균형에 의한 OLED 소자 성능의 감소를 개선하기 위해 HBL (hole blocking layer) 물질로 BCP (HOMO : 6.5 eV, LUMO : 2.83 eV)를 도입하였다. 그러나 BCP의 LUMO 값이 약 3 eV를 가지기 때문에 전자의 이동에 영향을 미치는 것으로 예상되어 더 높은 효율을 가지는 소자를 제작하기 위해 host 물질을 상용물질(PGH02)로 교체하였다. PGH02의 HOMO 값은 약 5.86 eV로 소자에 사용된 HTL (hole transport layer)의 HOMO 값(5.54 eV)에 비해 높은 값을 가지기 때문에 HBL의 역할 역시 가능하여 소자의 성능이 상당히 개선되는 것을 확인할 수 있었다. 또한 전하 이동도의 균형을 맞추기 위해 ETL 물질로는 기존에 많이 사용되고 있는 Alq3 (
${\mu}{\sim}10-5cm2/Vs$ )에 비해 이동도가 10배 이상 빠른 Bebq2 (${\mu}{\sim}10-4cm2/Vs$ )를 사용하였다. HTL (hole transport layer) 물질로는 상용물질(LHT 259)를 사용하였고, LHT 259의 전하 이동도는 FET (field effect transistor)를 제작하여 측정하였다. 이를 기반으로 하여 ETL과 HTL의 두께를 조절하여 전하 이동도가 균형을 이루는 OLED 소자를 제작하기 위해 실험을 진행하였다. -
InGaZnO 박막트랜지스터(TFT)는 기존의 널리 사용되던 비정질 실리콘보다 높은 전하이동도와 Ion/off, 우수한 균일성과 신뢰성의 장점으로 최근 AMOLED양산에 적용되기 시작 하였다. 그러나 60인치 이상의 대면적 디스플레이와 초고해상도의 성능을 동시에 만족하기 위해 10 cm2/Vs정도의 전하이동도를 가지는 InGaZnO로는 한계가 있어 30 cm2/Vs 이상의 전하이동도를 가지는 물질의 연구가 필요하다. 연구에서는 높은 전하이동도를 만족하기 위해 InO2를, 우수한 신뢰성을 가지는 SnO2를 포함하는 InZnSnO로 실험을 진행하였다. 스퍼터링 시스템에서 ITO 타겟과 ZTO 타겟을 사용하여 동시증착법으로 채널을 증착하였고, 산소 분압 변화시에 IZTO TFT 소자 특성의 의존성을 평가하였다. Ar : O2=10 : 0 일 때와 Ar : O2=7 : 3 일 때의 이동도가 각각 12.6cm2/Vs, 19.7cm2/Vs로 산소 비율이 증가함에 따라 전하이동도가 증가하였다. 기존 IGZO 산화물 반도체에서는 산소 비율이 증가하면 산소공공(VO) 농도감소로 인해 전하이동도가 감소한다. 이는 전하농도가 증가하면 전하이동도가 증가하는 percolation 전도기구로 이해할 수 있다. 그러나 본 IZTO 물질에서는 산소비율 증가에 따라 오히려 전하이동도가 증가하였는데, 이는 IZTO 반도체에 함유된 Sn 이온의 가전자상태가 +2/+4가의 상대적 비율이 산소농도에 따라 의존하기 때문인 것으로 분석되었다.
-
대면적 사각형 기판의 플라즈마 표면처리를 위한 유도 결합 플라즈마 발생 시스템의 수치 계산을 유체 모델을 이용하여 진행하였다. 연산 자원이 많이 요구되는 3차원 모델임을 감안하여 준중성 조건을 이용한 간략화 알고리즘을 사용하였다. Poisson 방정식을 풀지 않고 준중성 조건에 의한 양극성 전기장을 계산하여 이용한다. 쉬스는 모델을 이용하여 처리하였다. 1차적으로 사각 spiral 형태의 안테나를 가정하여 LCD 3세대 급의 기판을 대상으로 작성하였다. 다중 분할을 하지 않고 4개의 가지를 갖는 single spiral을 적용하였고 1.125 turn의 low impedance 구조에 대해서 계산하였다. Ar을 이용한 sputter etching 공정을 타겟으로 하여 기판에서의 Ar 이온 밀도 분포의 균일도가 어떤 설계 변수에 의해서 영향을 받는지를 중점적으로 계산하였다.
-
Yang, Chan-Ho;Lee, Yeong-U;Cha, Jong-Myeong;Kumar, Vishwanath Sujaya;Lee, Seong-Nam;Kim, Ji-Hun 252
투명전극 제조에 이용되고 있는 진공기반 ITO공정의 제조 단가를 줄이기 위하여 용액 기반의 투명전극 제조 기술에 대한 연구를 수행 하였다. 용액공정을 수행하기 위하여 ITO 나노입자를 이용한 잉크를 제조하고 이를 잉크젯 인쇄공정에 적용하여 ITO 투명전극을 제조하였다. 열처리 온도에 따른 전기적 광학적 특성에 대한 분석을 진행하였다. 전기적 물성의 극대화를 위해 Ag metal grid를 인쇄공정을 통해 제작하고 용액기반 ITO 박막과 융합화(hybridization) 시켰다. Ag metal grid의 line width를 최소화 하기 위하여 전기수력학 방식의 잉크젯 시스템을 사용하여 metal grid를 형성하였고 Ag metal grid는 약 10um의 선폭을 가졌다. 인쇄된 Ag-grid/ITO 박막의 경우 550 nm파장에서(Ag grid pitch: 500 um기준) 약88%의 투과도를 보이며 저항이$5{\Omega}/{\square}$ 이하의 특성을 나타내었다. -
Spark discharge in water generates shockwaves which have been utilized to generate mechanical actuation for potential use in pumping application. Discharge pulses of several microseconds generate shockwaves and vapor bubbles which subsequently displace the water for a period of milliseconds. Through the use of a sealed discharge chamber and metal bellow spring, the fluid motion can be used create an oscillating linear actuator. Continuous actuation of the bellow has been demonstrated through the use of high frequency spark discharge. Discharge in water forms a region of high electric field around the electrode tip which leads to the creation of a thermal plasma channel. This process produces fast thermal expansion, vapor and bubble generation, and a subsequent shockwave in the water which creates physical displacement of the water [1]. Previous work was been conducted to utilize the shockwave effect of spark discharge in water for the inactivation of bacteria, removal of mineral fouling, and the formation of sheet metal [2-4]. Pulses ranging from 25 to 40 kV and 600 to 900 A are generated inside of the chamber and the bellow motion is captured using a slow motion video camera. The maximum displacements measured are from 0.7 to 1.2 mm and show that there is a correlation between discharge energy input to the water and the displacement that is generated. Subsequent oscillations of the bellow are created by the spring force of the bellow and vapor in the chamber. Using microsecond shutter speed ICCD imaging, the development of the discharge bubble and spark can be observed and measured.
-
Dermatophytes can invade in keratinized tissues and cause dermatophytosis [1] that rank among the most widespread and common infectious diseases world-wide. Although several systemically and topically administered drugs with activities against these fungi are available, still complete eradication of some of these infections, is difficult and relapses and remissions are often observed [2,3]. In addition, some people are allergic to many of the available drugs which add complications even more. Therefore, the search for novel, selective and more effective therapy is always required and it may help the clinicians to choose the correct treatment for their patients. Non-thermal plasmas primarily generate reactive species and recently have emerged as an efficient tool for medical applications including sterilization. In this study, we evaluated the ability of non-thermal dielectric barrier discharge (DBD) plasma for the inactivation of clinical isolates of Trichophyton genera, Trichophyton mentagrophytes (T. mentagrophytes) and Trichophyton rubrum (T. rubrum), which cause infections of nails and skin and, are two of the most frequently isolated dermatophytes [4]. Our results showed that DBD plasma has considerable time dependent inactivation potential on both T. mentagrophytes and T. rubrum in-vitro. Furthermore, the mechanisms for plasma based T. mentagrophytes and T. rubrum inactivation and planning for in-vivo future studies will be discussed.
-
Kim, Sang-Hun;Yun, Myeong-Su;Jo, Tae-Hun;Park, Jong-In;Park, Hye-Jin;Jo, Gwang-Seop;Choe, Eun-Ha;Gwon, Gi-Cheong 265
일반적으로 태양전지 및 반도체 공정에서 불순물 주입 과정인 도핑(Doping)공정은 크게 몇 가지 방법으로 구분해 볼 수 있다. 소성로(Furnace)를 이용하여 열을 통해 불순물을 웨이퍼 내부로 확산시키는 열확산 방법과 진공 챔버 내부에서 전자기장을 걸어 이온을 극도로 가속시켜 진행하는 이온 주입(Ion implantation)이나 이온 샤워(Ion shower)를 이용한 도핑 방법이 있다. 또한 최근 자외영역 파장의 레이저광을 조사하여 광화학 반응에 의해 도펀트 물질를 분해하는 동시에 조사 부분을 용해하여 불순물을 도포하는 기법인 레이져 도핑(Laser doping) 방법이 개발중이다. 그러나 레이져나 이온 도핑 공정기술은 고가의 복잡한 장비가 필요하여 매출 수익성 및 대량생산에 비효율적이며 이온 주입에 의한 박막의 손상을 치료하기 위한 후속 어닐링(Post-annealing) 과정이 요구되는 단점을 가지고 있고 열확산 도핑 방법은 정량적인 불순물 주입 제어가 어렵고 시간 대비 생산량의 한계가 있다. 반면 대기압 플라즈마로 도핑을 할 경우 기존에 진공개념을 벗어나 공정상에서 보다 저가의 생산을 가능케 할 뿐아니라 멀티 플라즈마 소스 개발로 이어진다면 시간적인 측면에서도 단연 단축시킬 수가 있어 보다 대량 생산 공정에 효과적이다. 따라서 본 연구에서는 새로운 도핑 방법인 대기압 플라즈마를 이용한 도핑 공정기술의 가능성을 제안하고자 도핑 공정 시 웨이퍼 내 전류 패스(Current path)에 대한 메카니즘을 연구하였다. 대기압 플라즈마 방전 시 전류가 웨이퍼 내부에 흐를 때 발생되는 열을 이용하여 도핑이 되는 형식이란 점을 가정하고 이 점에 대한 원리를 증명하고자 실험을 진행하였다. 실험 방식은 그라운드(Ground) 내 웨이퍼의 위치와 웨이퍼 내 방전 위치에 따라 적외선 화상(IR image: Infrared image) 화상을 서로 비교하였다. 적외선 화상은 실험 조건에 따라 화상 내 고온의 표식이 상이하게 변하는 경향성을 나타내었다. 이 고온의 표식이 전류 패스라는 점을 증명하고자 시뮬레이션을 통해 자기장의 전산모사를 한 결과 전류 패스의 수직 방향으로 자기장이 형성이 됨을 확인하였으며 이는 즉 웨이퍼 내부 전류 패스에 따라 도핑이 된다는 사실을 명백히 말해주는 것이며 전류 패스 제어의 가능성과 이에 따라 SE(Selective Emitter) 공정 분야 응용 가능성을 보여준다. -
디스플레이용 유도결합 플라즈마 시스템에서 CF4/O2 혼합가스를 이용하여 SiO2 식각공정에 대한 연구를 하기 위해 플라즈마 변수들에 대한 공간 분포를 살펴 보았다. 장비의 규격은 8세대 급, 안테나는 4turn을 기본으로 하며 동일한 크기의 안테나 9개를 배치하였다. 시뮬레이션 결과에 따른 플라즈마 주요변수들(전자밀도, 전자온도, 전위차)의 공간분포와 CF3+, CF2+, CF+, O2+, O-, F+, F- 이온들에 대한 공간분포를 확인 할 수 있었다.
-
본 연구에서는 최근 다양한 전자 소자로써의 연구가 진행되고 있는 그라핀을 SiO2/Si 기판 위에 전자빔 식각(Electron-Beam Lithography)을 이용하여 후면 게이트 전극 구조의 그라핀 채널을 갖는 삼단자 소자를 형성하고 가스 유입이 가능한 진공 Probe Measurement System을 이용하여 금속 전극과 그라핀 간의 접촉저항 (Rc) 및 길이가 다른 채널저항(Rch)를 구하고, 채널 길이, 가스 유량, 온도, 게이트 전압에 따른 I-V 변화를 측정함으로써, 후면 게이트 전극 구조의 그라핀 채널을 갖는 삼단자 소자의 가스 센서로서의 가능성을 연구하였다. 후면 게이트 전극 구조의 그라핀 채널을 갖는 삼단자 소자는 전자빔 식각(Electron-Beam Lithography)에 의해 패턴을 제작하고 Evaporator를 이용하여 전극을 증착 하였다. 소자의 소스 (Source)와 드레인 (Drain)은 TLM (Transfer Length Method)패턴을 이용하여 인접한 두 개의 전극간 범위를 변화시키는 형태로 제작함으로써 소스-드레인간 채널 길이가 다르게 하였다. 이 때 전극의 크기는 가로, 세로 각각
$20{\mu}m$ ,$40{\mu}m$ 이며 전극간 간격은$20/30/40/50/60{\mu}m$ 로 서로 다르게 배열 하였다. 제작된 그라핀 소자는 진공 Probe Measurement System 내에서 게이트 전압(VG)를 변화시킴으로써 VG 변화에 따른 소자의 특성을 평가하였는데, mTorr 상태의 챔버 내로 O2 가스를 주입하여 그라핀의 Dangling bond 및 Defect site에 결합 된 가스로 인한 전기적 특성의 변화를 측정하고, 이 때 가스의 유량을 50 sccm에서 500 sccm 까지 변화시킴으로써 전기적 특성 변화를 측정하여 센서 소자의 민감도를 평가하였다. 또한, 서로 다르게 배열한 소스-드레인 간의 채널 길이로 인하여 채널과의 접촉 면적에 따른 센서 소자의 민감도 또한 평가할 수 있었다. 그리고 챔버 내 온도를 77 K에서 400 K까지 변화시킴으로써 온도에 따른 소자의 작동 범위를 확인하고 소자의 온도의존성을 평가하였다. -
SnO thin films, 100 nm in thickness, were deposited on glass substrates by RF magnetron sputtering. A stack structure of
$SnO_2/SnO$ , where few nanometers of$SnO_2$ were determined on the SnO thin film by X-ray photoelectron spectroscopy. In addition, XPS depth profile analysis of the pristine and heat treated thin films were introduced. The electrical behavior of the as-sputtered films during the annealing was recorded to investigate the working conditions for the SnO sensor. Subsequently, The NH3 sensing properties of the SnO sensor at operating temperature of$50-200^{\circ}C$ were examined, in which the p-type semiconducting sensing properties of the thin film were noted. The sensor shows good sensitivity and repeatability to$NH_3$ vapor. The sensor properties toward several gases like$H_2S$ ,$CH_4$ and$C_3H_8$ were also introduced. Finally, a sensing mechanism was proposed and discussed. -
금속칼코게나이드 화합물중 하나인
$MoS_2$ 는 초저 마찰계수의 금속성 윤활제로 널리 사용되고 있으며 흑연과 비슷한 판상 구조를 지니고 있어 기계적 박리법을 통한 그래핀의 발견 이후 2차원 박막 합성법에 대한 활발한 연구가 진행되고 있다. 최근 다양한 응용이 진행 중인 그래핀의 경우 높은 전자이동도, 기계적 강도, 유연성, 열전도도 등 뛰어난 물리적 특성을 지니고 있으나 zero-bandgap으로 인한 낮은 on/off ratio는 thin film transistor (TFT), 논리회로(logic circuit) 등 반도체 소자 응용에 한계가 있다. 하지만$MoS_2$ 는 벌크상태에서 약 1.2 eV의 indirect band-gap을 지닌 반면 단일층의 경우 1.8 eV의 direct-bandgap을 나타내고 있다. 또한 단일층$MoS_2$ 를 이용하여$HfO_2/MoS_2/SiO_2$ 구조의 트랜지스터를 제작하였을 때$200cm^2/v^{-1}s^{-1}$ 의 높은 mobility와$10^8$ 이상의 on/off ratio 나타낸다는 연구가 보고되어 있어 박막형 트랜지스터 응용을 위한 신소재로 주목을 받고 있다. 한편 2차원$MoS_2$ 박막을 합성하기 위한 대표적인 방법인 기계적 박리법의 경우 고품질의 단일층$MoS_2$ 성장이 가능하지만 대면적 합성에 한계를 지니고 있으며 화학기상증착법(CVD)의 경우 공정 gas의 분해를 위한 높은 온도가 요구되므로 박막형 투명 트랜지스터 응용을 위한 플라스틱 기판으로의 in-situ 성장이 어렵기 때문에 이를 보완할 수 있는$MoS_2$ 박막 합성 공정 개발이 필요하다. 특히 Plasma enhanced chemical vapor deposition (PECVD) 방법은 공정 gas가 전기적 에너지로 분해되어 chamber 내부에서 cold-plasma 형태로 존 재하기 때문에 박막의 저온성장 및 대면적 합성이 가능하며 고진공을 바탕으로 합성 중 발생하는 오염 요소를 효과적으로 제어할 수 있다. 본 연구에서는PECVD를 이용하여 plasma power, 공정압력, 공정 gas의 유량 등 다양한 공정 변수를 조절함으로써 저온, 저압 조건하에서의$MoS_2$ 박막 성장 가능성을 확인하였으며 전구체로는 Mo 금속과$H_2S$ gas를 사용하였다. 또한 향후 flexible 소자 응용을 위한 플라스틱 기판의 녹는점을 고려하여 공정 온도는$300^{\circ}C$ 이하로 설정하였으며 합성된$MoS_2$ 박막의 두께 및 화학적 구성은 Raman spectroscopy를 이용하여 확인 하였다. 공정온도$200^{\circ}C$ 와$150^{\circ}C$ 에서 성장한$MoS_2$ 박막의 Raman peak의 경우 상대적으로 낮은 공정온도로 인하여 Mo와 H2S의 화학적 결합이 감소된 것을 관찰할 수 있었고$300^{\circ}C$ 의 경우 약$26{\sim}27cm^{-1}$ 의 Raman peak 간격을 통해 5~6층의$MoS_2$ 박막이 형성 된 것을 확인할 수 있었다. -
High-performance, fully-transparent, and top-gated oxide thin-film transistor (TFT) was successfully fabricated with Ta2O5 high-k gate dielectric on a glass substrate. Through a self-passivation with the gate dielectric and top electrode, the top-gated oxide TFT was not affected from H2O and O2 causing the electrical instability. Heat-treated InSnO (ITO) was used as the top and source/drain electrode with a low resistance and a transparent property in visible region. A InGaZnO (IGZO) thin-film was used as a active channel with a broad optical bandgap of 3.72 eV and transparent property. In addition, using a X-ray diffraction, amorphous phase of IGZO thin-film was observed until it was heat-treated at 500 oC. The fabricated device was demonstrated that an applied electric field efficiently controlled electron transfer in the IGZO active channel using the Ta2O5 gate dielectric. With the transparent ITO electrodes and IGZO active channel, the fabricated oxide TFT on a glass substrate showed optical transparency and high carrier mobility. These results expected that the top-gated oxide TFT with the high-k gate dielectric accelerates the realization of presence of fully-transparent electronics.
-
Tchoe, Youngbin;Jo, Janghyun;Kim, Miyoung;Heo, Jaehyuk;Yoo, Geonwook;Sone, Cheolsoo;Yi, Gyu-Chul 280
We report the fabrication and electroluminescent characteristics of GaN/InxGa1-xN microdonut-shaped light-emitting diode (LED) microarrays as variable-color emitters. The diameter, width, height, and period of the GaN microdonuts were controlled by their growth parameters and the geometrical factors of the growth mask patterns. For the fabrication of microdonut LEDs, p-GaN/p-AlxGa1-xN/u-GaN/u-InxGa1-xN heteroepitaxial layers were coated on the entire surface of n-GaN microdonuts. The microdonut LED arrays showed strong light emission, which could be seen with the unaided eye under normal room illumination. Additionally, magnified optical images of microdonut LED arrays exhibited microdonut-shaped light emissions having spatially resolved blue and green colors. Their electroluminescence spectra had two dominant peaks at 460 and 560 nm. With increasing applied voltage, the intensity of the blue emission peak increased much faster than that of the green emission peak, indicating that the color of the LEDs is tunable. We also demonstrated that EL spectra of the devices could be controlled by changing the size of microdonut LEDs. What we want to emphasize here with the microdonut LEDs is that they have additional inner sidewall facets which did not exist for other typical three-dimensional structures including nanopyramids and nanorods, and that InxGa1-xN single quantum well formed on the inner sidewall facets had unique thickness and chemical composition, which generated additional EL color. The origin of the electroluminescence peaks was investigated by structural characterizations and chemical analyses. -
The field emission properties of GaN are reported in the present study. To be a good field emitter, it requires a low work function, high aspect ratio, and strong mechanical stability. In the case of GaN, it has a quite low work function (4.1eV) and strong chemical/mechanical/thermal stabilities. However, so far, it was difficult to fabricate vertical GaN nanostructures with a high aspect ratio. In this study, we successfully achieved vertically well aligned GaN nanostructures with chemical vapor-phase etching methods [1] (Fig. 1). In this method, we chemically etched the GaN film using hydrogen chloride and ammonia gases at high temperature around
$900^{\circ}C$ . This process effectively forms vertical nanostructures without patterning procedure. This favorable shape of GaN nanostructures for electron emitting results in excellent field emission properties such as a low turn-on field and long term stability. In addition, we observed a uniform fluorescence image from a phosphor film attached at the anode part. The turn-on field for the GaN nanostructures is found to be about$0.8V/{\mu}m$ at current density of$20{\mu}A$ /cm^2. This value is even lower than that of typical carbon nanotubes ($1V/{\mu}m$ ). Moreover, threshold field is$1.8V/{\mu}m$ at current density of$1mA$ /cm^2. The GaN nanostructures achieved a high current density within a small applied field range. We believe that our chemically etched vertical nanostructures are the promising structures for various field emitting devices. -
Wide band gap semiconductors, such as III-nitrides (GaN, AlN, InN, and their alloys), SiC, and diamond are expected to play an important role in the next-generation electronic devices. Specifically, GaN-based high electron mobility transistors (HEMTs) have been targeted for high power, high frequency, and high temperature operation electronic devices for mobile communication systems, radars, and power electronics because of their high critical breakdown fields, high saturation velocities, and high thermal conductivities. For the stable operation, high power, high frequency and high breakdown voltage and high current density, the fabrication methods have to be optimized with considerable attention. In this study, low ohmic contact resistance and smooth surface morphology to AlGaN/GaN on 2 inch c-plane sapphire substrate has been obtained with stepwise annealing at three different temperatures. The metallization was performed under deposition of a composite metal layer of Ti/Al/Ni/Au with thickness. After multi-layer metal stacking, rapid thermal annealing (RTA) process was applied with stepwise annealing temperature program profile. As results, we obtained a minimum specific contact resistance of
$1.6{\times}10^{-7}{\Omega}cm2$ . -
Over last decade InGaN alloy structures have become the one of the most promising materials among the numerous compound semiconductors for high efficiency light sources because of their direct band-gap and a wide spectral region (ultraviolet to infrared). The primary cause for the high quantum efficiency of the InGaN alloy in spite of high threading dislocation density caused by lattice misfit between GaN and sapphire substrate and severe built-in electric field of a few MV/cm due to the spontaneous and piezoelectric polarizations is generally known as the strong exciton localization trapped by lattice-parameter-scale In-N clusters in the random InGaN alloy. Nonetheless, violet-emitting (390 nm) conventional low-In-content InGaN/GaN multi-quantum wells (MQWs) show the degradation in internal quantum efficiency compared to blue-emitting (450 nm) MQWs owing higher In-content due to the less localization of carrier and the smaller band offset. We expected that an improvement of internal quantum efficiency in the violet region can be achieved by replacing the conventional low-In-content InGaN/GaN MQWs with ultra-thin, high-In-content (UTHI) InGaN/GaN MQWs because of better localization of carriers and smaller quantum-confined Stark effect (QCSE). We successfully obtain the UTHI InGaN/GaN MQWs grown via employing the GI technique by using the metal-organic chemical vapor deposition. In this work, 1 the optical and structural properties of the violet-light-emitting UTHI InGaN/GaN MQWs grown by employing the GI technique in comparison with conventional low-In-content InGaN/GaN MQWs were investigated. Stronger localization of carriers and smaller QCSE were observed in UTHI MQWs as a result of enlarged potential fluctuation and thinner QW thickness compared to those in conventional low-In-content MQWs. We hope that these strong carrier localization and reduced QCSE can turn the UTHI InGaN/GaN MQWs into an attractive candidate for high efficient violet emitter. Detailed structural and optical characteristics of UTHI InGaN/GaN MQWs compared to the conventional InGaN/GaN MQWs will be given.
-
Developing magnetic thin films with desirable physical properties is a key step to promote research in spintronics. Organic-based magnetic material is a relatively new kind of materials which has magnetic properties in a molecular and microscopic level. These materials have been constructed by the coordination between 3d transition metal and organic materials producing long-range magnetic orders with a relatively high transition temperature. However, these materials were mostly synthesized as a form of powder, which is difficult to study for their physical properties as well as apply for electronic/spintronic devices. In this study, we have employed physical vapor deposition (PVD) to develop a new organic-based hybrid magnetic film that is achieved by the coordination of Fe and tetracyanoquinodimethane (TCNQ). The IR spectra of the grown film show modified CN vibration modes in TCNQ, which suggest a strong bonding between Fe and TCNQ. The thin film has both ferromagnetic and semiconducting behaviors, which is suitable for molecular spintronic applications. The high resolution photoemission (HRPES) spectra also show shift of 1s peak point of nitrogen and the carbon 1s peaks display traces of charge transfer from Fe to TCNQ as well as shake-up features, which suggest strong bonding and anti-bonding nature of coordination between Fe and TCNQ.
-
Ko, Bit-Na;Kim, Jae-Hyeon;Kim, Gyu-Tae;Sin, Ju-Hyeon;Jeong, Pil-Hun;Chu, So-Yeong;Choe, Hak-Jong;Hyeon, Seok;Lee, Heon 295
일반적으로 박막 태양전지의 효율은 박막 종류에 따른 광 흡수율에 의해 결정되며, 이는 증착한 박막의 두께에 의해 결정된다. 증착한 박막의 두께가 두꺼워질수록 광 흡수율은 증가하지만, 박막 두께가 지나치게 두꺼워지면 열화 현상으로 인한 모듈의 효율 감소가 생기므로 적절한 박막의 두께가 요구된다. 특히 a-Si:H의 경우 가시광 영역에서 높은 흡수계수를 가지고 있어서 얇은 박막 두께로도 태양전지의 제작이 가능하지만, 동일한 박막 두께에서 효율을 더욱 향상시키기 위한 다양한 광 포획 기술에 대한 연구가 많이 진행 되고 있다. 본 연구에서는 자외선을 이용한 nano-imprint lithography 기술을 이용하여 a-Si:H 태양전지의 유리기판 위에 pattern을 삽입하여 광 산란 효과를 향상 시키고자 하였다. 또한 유리기판의 굴절률 (n=1.5)과 투명전극의 굴절률 (n=1.9)의 중간 값을 갖는 ZnO nanoparticles (n=1.7)이 분산 된 imprinting resin을 사용함으로써 점진적으로 굴절률을 변화시켜, 최종적으로 a-Si:H 층까지의 광 투과율을 높이고자 하였다. 제작한 기판의 종류는 다음과 같다. 첫 번째 기판으로는 유리기판 위에 ZnO nanoparticles이 분산 된 imprinting resin을 spin-coating 하여 점진적인 굴절률의 변화에 의한 투과도 향상을 확인하고자 하였다. 두 번째 기판으로는 규칙적인 배열을 갖는 micro 크기의 패턴을 형성하였다. 마지막으로는 불규칙한 배열을 갖는 nano 크기와 micro 크기가 혼재 된 패턴을 형성하여 투과도 향상과 동시에 빛의 산란을 증가시키고자 하였다. 후에 이 세가지 종류를 기판으로 사용하여 a-Si:H 기반의 박막 태양전지를 제작하였다. 먼저 제작한 박막 태양전지용 기판의 광학적 전기적 특성을 분석하였다. 유리 기판 위에 형성한 패턴에 의한 roughness 변화를 확인하기 위해 atomic force microscopy (AFM)를 이용하여 시편의 표면을 측정하였다. 또한 제작한 유리 기판 위에 투명 전극층을 형성 후, 이로 인한 전기적 특성의 변화를 확인하기 위해 hall measurement system을 이용하여 sheet resistance, carrier mobility, carrier concentration 등의 특성을 측정하였다. 또한, UV-visible photospectrometer 장비를 이용하여 각 공정마다 시편의 광학적 특성(투과도, 반사도, 산란도, 흡수도 등)을 측정하였고, 최종적으로 제작한 박막 태양전지의 I-V 특성과 외부양자효율을 측정하여 태양전지의 효율 변화를 확인하였다. 그 결과 일반적인 유리에 기판에 제작된 a-Si:H 기반의 박막 태양전지에 비해, ZnO nanoparticles이 분산 된 imprinting resin을 spin-coating 하여 점진적인 굴절률 변화를 준 것만으로도 약 12%의 태양전지 효율이 증가하였다. 또한, micro 크기의 패턴과 nano-micro 크기가 혼재된 패턴을 형성한 경우 일반적인 유리를 사용한 경우에 비해 각각 27%, 36%까지 효율이 증가함을 확인하였다. -
Yoon, Su-Jin;Hwang, Jeongwoo;Lee, Myeong-Ju;Kang, Sang-Woo;Kim, Jong-Su;Ku, Zahyun;Urbas, Augustine;Lee, Sang Jun 296
We investigate experimentally and theoretically the splitting of surface plasmon (SP) resonance peaks under TE- and TM-polarized illumination. The SP structure at infrared wavelength is fabricated with a 2-dimensional square periodic array of circular holes penetrating through Au (gold) film. In brief, the processing steps to fabricate the SP structure are as follows. (i) A standard optical lithography was performed to produce to a periodic array of photoresist (PR) circular cylinders. (ii) After the PR pattern, e-beam evaporation was used to deposit a 50-nm thick layer of Au. (iii) A lift-off processing with acetone to remove the PR layer, leading to final structure (pitch,$p=2.2{\mu}m$ ; aperture size,$d=1.1{\mu}m$ ) as shown in Fig. 1(a). The transmission is measured using a Nicolet Fourier-transform infrared spectroscopy (FTIR) at the incident angle from$0^{\circ}$ to$36^{\circ}$ with a step of$4^{\circ}$ both in TE and TM polarization. Measured first and second order SP resonances at interface between Au and GaAs exhibit the splitting into two branches under TM-polarized light as shown in Fig. 1(b). However, as the incidence angle under TE polarization is increased, the$1^{st}$ order SP resonance peak blue-shifts slightly while the splitting of$2^{nd}$ order SP resonance peak tends to be larger (not shown here). For the purpose of understanding our experimental results qualitatively, SP resonance peak wavelengths can be calculated from momentum matching condition (black circle depicted in Fig. 2(b)),$k_{sp}=k_{\parallel}{\pm}iG_x{\pm}jG_y$ , where$k_{sp}$ is the SP wavevector,$k_{\parallel}$ is the in-plane component of incident light wavevector, i and j are SP coupling order, and G is the grating momentum wavevector. Moreover, for better understanding we performed 3D full field electromagnetic simulations of SP structure using a finite integration technique (CST Microwave Studio). Fig. 1(b) shows an excellent agreement between the experimental, calculated and CST-simulated splitting of SP resonance peaks with various incidence angles under TM-polarized illumination (TE results are not shown here). The simulated z-component electric field (Ez) distribution at incident angle,$4^{\circ}$ and$16^{\circ}$ under TM polarization and at the corresponding SP resonance wavelength is shown in Fig. 1(c). The analysis and comparison of theoretical results with experiment indicates a good agreement of the splitting behavior of the surface plasmon resonance modes at oblique incidence both in TE and TM polarization. -
Han, Im Sik;Byun, Young-Jin;Lee, Yong Seok;Noh, Sam Kyu;Kang, Sangwoo;Kim, Jong Su;Kim, Jun Oh;Krishna, Sanjay;Ku, Zahyun;Urbas, Augustine;Lee, Sang Jun 298
Quantum dot infrared photodetectors (QDIPs) based on Stranski-Krastanov (SK) quantum dots (QDs) have been widely explored for improved device performance using various designs of heterostructures. However, one of the biggest limitations of this approach is the "pancake" shape of the dot, with a base of 20-30 nm and a height of 4-6 nm. This limits the 3D confinement in the quantum dot and reduces the ratio of normal incidence absorption to the off-axis absorption. One of the alternative growth modes to the formation of SK QDs is a sub-monolayer (SML) deposition technique, which can achieve a much higher density, smaller size, better uniformity, and has no wetting layer as compared to the SK growth mode. Due to the advantages of SML-QDs, the SML-QDIP design has attractive features such as increased normal incidence absorption, strong in-plane quantum confinement, and narrow spectral wavelength detection as compared with SK-DWELL. In this study, we report on the improved device performance of InAs/InGaAs SML-QDIP with different composition of$Al_xGa1-_xAs$ barrier. Two SML-QDIPs (x=0.07 for sample A and x=0.20 for sample B) are grown with the 4 stacks 0.3 ML InAs. It is investigated that sample A with a confinement-enhanced (CE)$Al_{0.22}Ga_{0.78}As$ barrier had a single peak at$7.8{\mu}m$ at 77 K. However, sample B with an$Al_{0.20}Ga_{0.80}As$ barrier had three peaks at (${\sim}3.5{\mu}m$ ,${\sim}5{\mu}m$ ,${\sim}7{\mu}m$ ) due to various quantum confined transitions. The measured peak responsivities (see Fig) are ~0.45 A/W (sample A, at$7.8{\mu}m$ ,$V_b=-0.4V$ bias) and ~1.3 A/W (sample B, at$7{\mu}m$ ,$V_b=-1.5V$ bias). At 77 K, sample A and B had a detectivity of$1.2{\times}10^{11}cm.Hz^{1/2}/W$ ($V_b=-0.4V$ bias) and$5.4{\times}10^{11}cm.Hz^{1/2}/W$ ($V_b=-1.5V$ bias), respectively. It is obvious that the higher$D^*$ of sample B (than sample A) is mainly due to the low dark current and high responsivity. -
기존의 고출력 광원들이 환경문제 등으로 외국에서 규제대상으로 지정되고 있는 가운데고체 상태의 광원인 Light-emitting diode (LED)는 기존의 광원에 비해 에너지 절감효과 크기 때문에 인해 널리 사용되고 있는 추세이다. 대부분의 백색 LED의 경우 청색 LED에 황색 형광체를 사용하는 것이 일반적이다. 그러나 이의 경우 빛의 흡수와 재방출 과정에서 생기는 에너지 변환손실의 문제가 불가피하다. 또한, 두 종류의 색을 섞어서 나타나는 낮은 연색성의 문제가 있고 사용할 수 있는 형광체의 종류와 조합도 일본 등 해외에 출원된 특허권으로 연구개발에 어려움이 있다. 이를 해결하기 위해 본 연구에서는 형광체를 사용하지 않는 단일 백색 LED를 개발을 위하여 극성과 반극성을 조합한 구조를 연구하였다. Photo-lithography를 이용하여 다양한 크기와 구조의 홀 패턴을 얻을 수 있었으며, metal organic chemical vapor deposition을 이용하여 다양한 형태의 피라미드 구조를 성장할 수 있었다. 패턴의 홀 크기와 홀 사이의 간격을 조절하면서 성장을 진행 하였고, 그 결과 pyramid와 truncated pyramid 모양의 GaN 구조를 성장할 수 있었다. [그림 1] Pyramid 구조의 반극성 면과 truncated pyramid 구조의 극성 면사이의 성장속도 차이 때문에 양자우물의 두께가 달라짐을 확인하였다. 이로 인해 양자구속효과가 달라져 다른 파장의 발광을 기대할 수 있었다. 뿐만 아니라 In의 확산거리가 Ga보다 길어서 홀사이 간격을 달리하면 In조성비가 달라지는 효과가 있음을 확인하였고 다양한 홀 사이 간격으로부터 각기 다른 파장의 발광을 얻을 수 있었다. 파장을 조금 더 상세하게 분석하기 위하여 Photoluminescence과 Cathodoluminescence을 사용하였다. 이로써 여러 파장을 발광하는 패턴을 섞어 넓은 영역의 발광 스펙트럼을 만들었다. 특히 패턴을 섞는 방법도 홀과 에피 구조를 섞는 방법, 크기가 다른 홀 패턴을 배열하는 방법등 다양히 하며 가장 좋을 패턴을 연구하였다. 그리하여 최적의 패턴과 구조, 성장조건을 찾아 백색의 CIE 좌표값을 얻을 수 있었다.
-
양자점(Quantum dots)은 3차원적 운반자 구속과 낮은 전류와 높은 온도에서 작동하는 나노 크기의 전기적, 광학적 소자로 응용이 적합하기 때문에 그 특성을 이용한 단전자 트랜지스터, 적외선 검출기, 레이저, LED, 태양전지 등 반도체 소자로의 응용연구가 활발히 진행되고 있다. 특히 양자점의 낮은 임계전류밀도와 높은 차동 이득(differential gain), 그리고 고온에서 작동이 용이하여 양자점 레이저로 활용되고 있다. 이러한 분야에 양자점을 응용하기 위해서는 양자점의 운반자 동역학을 이해하고 양자점의 모양, 크기, 크기 분포와 같은 특성 조절이 필요하다. 또한 기존의 연구들은 III-V족 화합물 반도체 양자점에 대한 연구가 대부분이며, II-VI족으로 구성된 연구가 미흡한 상황이기 때문에 II-VI족 화합물 반도체 양자점에 대한 많은 연구가 필요한 상황이다. II-VI 족 화합물 반도체 양자점은 기존의 III-V 족 양자점보다 더 큰 엑시톤 결합에너지(exciton binding energy)를 가지고 있으며, 이러한 특성을 가지는 II-VI 족 화합물 반도체 양자점 중에서도 CdTe 양자점은 높은 엑시톤 결합에너지와 녹색 스펙트럼 영역을 필요로 하는 광학적 장치들에 응용 가능성이 높기 때문에 더욱 주목받고 있다. 본 연구에서는 분자 선속 에피 성장법(Molecular Beam Epitaxy; MBE)과 원자 층 교대 성장법(Atomic Layer Epitaxy; ALE)으로 CdTe/ZnTe 나노구조에서 ZnTe 완충층의 두께에 따른 운반자 동역학 및 광학적 특성을 연구 하였다. 저온 광루미네센스 측정(Photoluminescence; PL) 을 통하여 ZnTe 완충층 두께가 증가할수록 양자점의 광루미네센스 피크가 낮은 에너지로 이동함을 알 수 있었는데, 이는 ZnTe 완충층의 두께가 증가할수록 ZnTe 완충층과 CdTe 양자점의 격자 불일치(lattice mismatch)로 인한 구조 변형력이 감소하고 이에 따라 CdTe 양자점으로 가해지는 변형(Strain)이 감소하여 CdTe 양자점의 크기가 증가했기 때문이다. 그리고 ZnTe 완충층의 두께가 증가할수록 PL 세기가 증가함을 알 수 있었는데, 이는 ZnTe 완충층의 두께가 증가할수록 양자 구속 효과로부터 electronic state와 conduction band edge 사이의 에너지 차이의 증가 때문이다. 또한 시분해 광루미네센스 측정 결과 ZnTe의 두께가 증가할수록 양자점의 소멸 시간이 더 길게 측정되었는데, 이는 더 큰 양자점 일수록 엑시톤 오실레이터 강도가 감소하기 때문에 더 긴 소멸 시간을 나타내는 것을 확인할 수 있었다. 결과적으로 본 연구는 ZnTe 두께 변화를 통해 양자점의 에너지 밴드를 제어할 수 있으며, 양자점의 효율 향상을 할 수 있는 좋은 방법임을 제시하고 있다.
-
정보화 시대로 접어들면서 동일한 공간에 더 많은 정보를 저장할 수 있고, 보다 빠른 동작이 가능한 비휘발성 메모리 소자에 대한 요구가 증가하고 있다. 하지만, 최근 비휘발성 메모리 소자 관련 연구보고에 따르면, 메모리 소자의 소형화 및 직접화 측면에서, 전하 저장을 기반으로 하는 기존의 Floating-Gate(FG) Flash 메모리는 20 nm 이하 공정에서 한계가 예측 되고 있다. 따라서, 이러한 FG Flash 메모리의 한계를 해결하기 위해, 기존에 FET 기반의 FG Flash 구조와 같은 3 terminal이 아닌, Diode와 같은 2 terminal로 동작이 가능한 ReRAM, PRAM, STT-MRAM, PoRAM 등 저항변화를 기반으로 하는 다양한 종류의 차세대 메모리 소자가 연구되고 있다. 그 중, 저항 변화 메모리(ReRAM)는 CMOS 공정 호환성, 3D 직접도, 낮은 소비전력과 빠른 동작 속도 등의 우수한 동작 특성을 가져 차세대 비휘발성 메모리로 주목을 받고 있다. 또한, 상하부 전극의 2 terminal 만으로 소자 구동이 가능하기 때문에 Passive Crossbar-Array(CBA)로 적용하여 플래시 메모리를 대체할 수 있는 유력한 차세대 메모리 소자이다. 하지만, 이를 현실화하기 위해서는 Passive CBA 구조에서 발생할 수 있는 Read Disturb 현상, 즉 Word-Line과 Bit-Line을 통해 선택된 소자를 제외하고 주변의 다른 소자를 통해 흐르는 Sneak Leakage Current(SLC)를 차단하여 소자의 메모리 State를 정확히 sensing하기 위한 연구가 선행 되어야 한다. 따라서, 현재 이러한 이슈를 해결하기 위해서, 많은 연구 그룹에서 Diodes, Threshold Switches와 같은 ReRAM에 Selector 소자를 추가하는 방법, 또는 Self-Rectifying 특성 및 CRS 특성을 보이는 ReRAM 구조를 제안 하여 SLC를 차단하고자 하는 연구가 시도 되고 있지만, 아직까지 기초연구 단계로서 아이디어에 대한 가능성 정도만 보고되고 있는 현실 이다. 이에 본 논문은 Passive CBA구조에서 발생하는 SLC를 해결하기 위한 새로운 아이디어로써, 본 연구 그룹에서 선행 연구로 확보된 안정적인 저항변화 물질인 SiN를 정류 특성을 가지는 n-Si/Ti 기반의 Schottky Diode와 결합함으로써 기존의 CBA 메모리의 Read 동작에서 발생하는 SLC를 차단 할 수 있는 1SD-1R 구조의 메모리 구조를 제작 하였으며, 본 연구 결과 기존에 문제가 되었던 SLC를 차단 할 수 있었다.
-
ZnO박막은 넓은 밴드갭 (3.37 eV), 높은 여기 결합 에너지 (60 meV)를 가지는 육방정계 우르자이트(hexagonal wurtzite) 결정구조를 가지는 II-VI족 화합물 반도체로, 가시광선 영역에서의 높은 광학적 투과도 특성과 자외선 파장에서 발광이 가능한 장점을 가진다. 최근, ZnO박막 성장 기술이 상당히 발전하였지만, 아직까지도 p-형 ZnO박막 성장 기술은 충분히 발전하지 못하여 ZnO의 동종접합 LED는 아직 상용화되지 않고 있는 실정이다. 따라서, 많은 연구 그룹에서 p-GaN, p-SiC, p-diamond, p-Si 등과 같은 p-type 물질 위에 n-type ZnO를 성장시킨 이종접합 다이오드가 연구되고 있다. 특히, p-GaN의 경우 ZnO와의 격자 불일치 정도가 1.8 % 정도로 작다는 장점이 있어 많은 연구가 이루어 지고 있다. 일반적으로 c-축을 기반으로 한 극성ZnO 발광다이오드에서는 자발 분극과 압전 분극 현상에 의해 밴드 휨 현상이 발생하고, 이로 인해 전자와 정공의 공간적 분리가 발생하게 되어 발광 재결합 효율이 제한되고 있다는 문제가 발생한다. 따라서, 본 연구에서는 극성 (0001) 및 비극성 (10-10) n-ZnO/p-GaN 발광다이오드의 성장 및 발광 소자의 전기 및 광학적 특성에 대한 비교 연구를 진행하였다. 금속유기 화학증착법을 이용하여 c-면과 m-면 위에 각각 극성 (0001) 및 반극성 (11-22) GaN박막을
$2.0{\mu}m$ 성장시킨 후 Mg 도핑을 한 p-GaN을$0.4{\mu}m$ 성장시켜 각각 극성 (0001) 및 반극성 (11-22) p-GaN템플릿을 준비하였다. 이후, N2분위기$700^{\circ}C$ 에서 3분동안 열처리를 통하여 Mg 도펀트를 활성화시킨 후 원자층 증착법을 이용하여 동시에 극성 및 반극성 p-GaN의 위에 n-ZnO를$0.11{\mu}m$ 성장시켜 이종접합구조의 발광소자를 형성하였다. 이때, 극성 (0001) p-GaN 위에는 극성의 n-ZnO 박막이 성장되는 반면, 반극성 (11-22) p-GaN 위에는 비극성 (10-10) n-ZnO 박막이 성장됨을 HR-XRD로 확인하였다. 극성 (0001) n-ZnO/p-GaN이종접합 발광다이오드의 전계 발광 스펙트럼에서는 430 nm 와 550 nm의 두 피크가 동시에 관찰되었다. 430 nm 대역의 파장은 p-GaN의 깊은 준위에서 발광하는 것으로 판단되며, 550 nm 피크 영역은 ZnO의 깊은 준위에서 발광되는 것으로 판단된다. 특히, 10 mA 이하의 저전류 주입시 550 nm의 피크는 430 nm 영역보다 더 큰 발광세기를 나타내고 있다. 하지만, 10 mA 이상의 전류주입 하에서는 550 nm의 영역보다 430 nm의 발광세기가 더욱 증가하는 것을 확인할 수 있었다. 이것은 ZnO의 밴드갭이 3.37 eV로 GaN의 밴드갭인 3.4 eV다 작기 때문에 우선적으로 ZnO의 깊은 준위에서 발광하는 550 nm가 더욱 우세하지만, 지속적으로 전류주입 증가에 따른 캐리어 증가시 n-ZnO에서 p-GaN로 전자가 넘어가며 p-GaN의 깊은 준위인 430 nm에서의 피크가 우세해지는 것으로 판단된다. 반면에, 비극성 (10-10) n-ZnO/반극성 (11-22) p-GaN 구조의 이종접합 발광다이오드로 전계 발광 스펙트럼에서는 극성 (0001) n-ZnO/p-GaN에 비하여 매우 낮은 전계 발광 세기를 나타내고 있다. 이는, 극성 n-ZnO/p-GaN에 비하여 비극성 n-ZnO/반극성 p-GaN의 결정성이 상대적으로 낮기 때문으로 판단된다. 또한, 20 mA 영역에서도 510 nm의 깊은 준위와 430 nm의 발광이 관찰되었다. 동일한 20 mA하에서 두 피크의 발광세기를 비교하면 430 nm의 영역은 극성 n-ZnO/p-GaN에 비하여 매우 낮은 값을 나타내고 있다. 이는 반극성 (11-22) p-GaN의 경우 극성 (0001) p-GaN에 비하여 우수한 p-형 특성에 기인한 것으로 판단된다. -
III-N계 물질로 이루어진 GaN 기반의 광 반도체는 직접 천이형 넓은 밴드갭 구조를 갖고 있기 때문에 적외선부터 가시광선 및 자외선까지를 포함한 폭 넓은 발광파장 조절이 가능하여 조명 및 디스플레이 관련 차세대 광원으로 많은 관심을 받고 있다. 하지만, GaN기반의 발광 다이오드는 많은 연구기관들의 오랜 연구에도 불구하고 고출력을 내는데 있어 여전히 많은 문제들이 존재한다. 그 중, 주입전류 증가에 따른 효율감소 현상은 출력을 저해하는 대표적인 요소로 알려져 있는데, 이전의 연구 결과에서 알려진 효율감소 현상의 원인으로 결정결함에 의한 누설전류, Auger 재결합, 이송자 넘침 현상 그리고 p-n접합부의 온도 상승 등의 현상이 알려져 있다 [1-2]. 하지만 여전히 주입 전류 증가에 따른 효율 감소 현상의 원인에 대해 명확한 해답은 없으며 아직도 많은 논의가 이루어 지고 있다. 따라서, 본 연구에서는 GaN기반의 청색 및 녹색 LD와 LED소자를 이용하여 주입전류 밀도의 변화에 따른 자발 발광 영역에서의 효율감소 현상의 원인을 규명하고 한다. 유기금속화학증착법(MOCVD)를 이용하여 c면 사파이어 위에 서로 다른 발광파장을 가지는 InGaN/GaN 다중양자우물구조의 질화물계 LED와 LD 박막을 제작하였으며 성장 구조에 의한 특성으로 인해 발생하는 효율 저하 현상을 방지하고자 InGaN/GaN으로 이루어진 다중양자우물층의 조성만 제어하여 청색과 녹색으로 발광하도록 하였다. 청색 및 녹색 LD 웨이퍼들을 이용하여 주입전류 증가에 따른 발광특성을 조사하기 위해 LD와 LED는 표준 팹 공정에 의해 제작되었다. 전계 발광 측정을 위해 상온에서 직류 전류를 주입하여 GaN계 청색 및 녹색 LED와 LD에 각 5 mA/cm2에서 50 mA/cm2까지 전류밀도를 증가시킴에 따라 LD 및 LED칩 형태에 상관없이 청색 LD와 LED의 파장은 약 465nm에서 약 458nm로 감소하였고 녹색 LD와 LED의 파장은 약 521nm에서 약 511~513 nm까지 단파장화가 발생했다. 이는 동일한 웨이퍼에 동일한 전류 밀도를 주입하였기 때문에 발생하는 것으로 판단된다. 그러나, 청색 LED의 효율은 50 mA/cm2에서 약 70%정도로 감소하고 반면 녹색 LED의 경우 동일한 전류밀도 하에 약 52%정도로 감소하였지만, 청색과 녹색 LD의 경우 동일한 전류 밀도의 범위 내에서 더욱 낮은 효율저하 현상을 나타내었다. 또한, 접합 온도를 측정한 바 청색소자가 녹색 소자에 비하여 낮은 접합 온도를 나타낼 뿐아니라, 청색 및 녹색 LD의 경우 LED 보다 낮은 접합 온도를 나타내고 있었다. 이는 InGaN 활성층의 In 조성이 증가할수록 비발광 센터에 의한 접합온도 상승 뿐 아니라, LD ridge 구조에서 더 많은 열이 방출되어 접합 온도가 감소될 수 있는 것으로 판단된다. 우리는 동일한 웨이퍼에 LED와 LD를 제작하였고, 동일한 전류 주입밀도를 인가하였기 때문에 LD와 LED의 효율 감소 현상의 차이는 이송자 넘침 현상, 결정 결함, 오제 재결합 등이 원인보다 활성층의 접합 온도 상승이 가장 큰 영향이 될 수 있을 것으로 판단된다.
-
GaN계 물질 기반의 광 반도체는 조명 및 디스플레이 관련 차세대 광원으로 많은 관심을 받고 있고, 효율 증대를 위한 에피, 소자 구조 및 패키지 등의 많은 연구가 진행되고 있다. 특히, 투명 전극을 이용한 광 추출 효율의 증가에 대한 연구는 전체 외부양자효율을 증가시키는 중요한 기술로 각광을 받고 있다. 이러한 투명전극은 가시광 영역의 빛을 투과하면서도 전기 전도성을 갖는 기능성 박막 전극으로 산화인듐주석이 널리 사용되고 있으나 인듐 가격의 상승과 산화인듐주석 전극 자체의 크랙 특성으로 인하여 많은 문제점이 지적되고 있다. 이러한 문제를 극복하기 위하여 GaN계 발광 다이오드에 있어서 산화인듐주석 투명 전극의 대체 물질들에 대한 많은 연구들이 활발하게 이루어 지고 있다. 특히, 투명전극 층으로 사용되는 산화인듐주석 대체 박막으로 산화아연에 대한 연구가 각광을 받고 있는 실정이다. 또한, 발광 다이오드의 효율 증가를 위해 발광소자에 표면 요철 구조 형성과 나노구조체 형성 등 박막 표면의 구조 변화를 통한 광추출효율 향상에 대한 많은 연구가 진행되고 있다. 본 연구에서는 산화아연 박막을 투명전극으로 사용하였으며 광추출효율 향상을 위해 산화아연 투명전극에 패터닝을 형성하고, 그 위에 산화아연 나노막대를 형성하여 기존에 사용하던 산화아연 투명전극보다 우수한 추출효율 및 전류 퍼짐 향상 구조를 제안하고 이에 따른 LED 소자의 광추출효율 향상을 연구하였다. 금속유기화학증착법을 이용하여 c-면 사파이어 기판에 n-GaN, 5주기의 InGaN/GaN 다중양자우물 구조 및 p-GaN의 간단한 LED구조를 성장한 후, p-GaN층 상부에 원자층 증착법을 이용하여 투명전극인 산화아연 박막을 60 nm 두께로 증착하였다. 산화아연 투명전극만 증착한 LED-A와 이후 0.1% HCl을 이용한 습식식각을 통하여 산화아연 투명전극에 육각형 모양의 패턴을 형성한 LED-B, 그리고 LED-B위에 전기화학증착법을 이용하여
$1.0{\mu}m$ 의 산화아연 나노 막대를 증착한 LED-C를 제작하였다. LED-A, -B 및 -C에 대한 표면 구조는 SEM이미지를 통하여 확인한 바 산화아연의 육각 패턴과 그 상부에 산화아연의 나노막대가 잘 형성된 것을 확인하였다. I-L 분석으로부터 패턴이 형성되지 않은 산화아연 투명전극으로만 구성된 LED-A에 비하여 산화아연 투명 전극에 육각 패턴을 형성한 LED-B의 전계 발광 세기가 더욱 큰 것을 확인하였다. 또한, 육각 패턴에 산화아연 나노막대를 성장시켜 융합구조를 형성한 LED-C에서는 LED-B와 -A보다 더 큰 전계 발광세기를 확인할 수 있었다. 특히, 인가 전류가 고전류로 갈수록 LED-C의 발광세기가 더욱 강해지는 것으로 효율저하현상 또한 나노융합구조의 LED-C에서 확인할 수 있었다. 이는 기존 산화아연 투명전극에 육각형의 패턴 및 나노막대융합구조를 형성할 경우 전류퍼짐현상을 극대화 할 뿐 아니라, 추가적인 광추출효율 향상 효과에 의해 질화갈륨 기반LED 소자의 광효율이 증가된 것으로 판단된다. -
Two different transparent conducting oxide (TCO) layers of Al-doped ZnO (AZO) and
$WO_3$ were prepared by a rf sputtering process. Working pressure, deposition time, and target-to-substrate distance were varied for the sputtering process to improve electrical properties of the resulting layer. Thickness of the TCO layers was measured by a profile meter of${\alpha}$ -step. To evaluate the electrical conductivity, surface resistivity of the TCO layers was measured by a four-point probe technique. Decrease of the working pressure resulted in increase of deposition rate and decrease of surface resistivity of the resulting layer. Increase of the layer thickness due to increased deposition time resulted in decrease of surface resistivity of the resulting layer. The shorter the target-to-substrate distance was, the lower was the surface resistivity of the resulting layer. -
본 연구에서는 이종접합 태양전지의 효율 증가를 위해서 초박 두께의 LiF 유전체 층을 후면에 증착하였다. 유전체 LiF층은 금속 전극의 Schottky barrier와 일 함수를 dipole moment를 통해 낮추게 되고 더 높은 전하 주입을 유도하여 장파장대에서 양자 효율을 높인다. 최적화된 20nm 두께의 LiF층은 후면에 ITO가 증착된 이종접합 태양전지와 ITO가 없는 태양전지에 각각 적용하였다. ITO층이 없는 이종접합 태양전지는 690 mV의 개방전압, 33.62 mA/cm2의 단락전류와 17.13 %의 효율을 보였으며 ITO층이 증착된 태양전지에서는 688 mV의 개방전압, 32.73 mA/cm2의 단락전류 그리고 16.83%의 효율을 보였다. QE와 단락전류에서의 개선은 장파장대에서의 광전하 수집이 기인한 것으로 보인다.
-
Amorphous silicon alloy (a-Si) solar cells and modules have been receiving a great deal of attention as a low-cost alternate energy source for large-scale terrestrial applications. Key to the achievement of high-efficiency solar cells using the multi-junction approach is the development of high quality, low band-gap materials which can capture the low-energy photons of the solar spectrum. Several cell designs have been reported in the past where grading or buffer layers have been incorporated at the junction interface to reduce carrier recombination near the junction. We have investigated profiling the composition of the a-SiGe alloy throughout the bulk of the intrinsic material so as to have a built-in electrical field in a substantial portion of the intrinsic material. As a result, the band gap mismatch between a-Si:H and
$a-Si_{1-x}Ge_x:H$ creates a barrier for carrier transport. Previous reports have proposed a graded band gap structure in the absorber layer not only effectively increases the short wavelength absorption near the p/i interface, but also enhances the hole transport near the i-n interface. Here, we modulated the GeH4 flow rate to control the band gap to be graded from 1.75 eV (a-Si:H) to 1.55 eV ($a-Si_{1-x}Ge_x:H$ ). The band structure in the absorber layer thus became like a U-shape in which the lowest band gap was located in the middle of the i-layer. Incorporation of this structure in the middle and top cell of the triple-cell configuration is expected to increase the conversion efficiency further. -
Gong, Deuk-Jo;Gang, Chang-Mo;Choe, Sang-Bae;Seo, Dong-Ju;Sim, Jae-Pil;Nam, Seung-Yong;Lee, Dong-Seon 321
GaN는 LED, 태양전지, 그리고 전자소자 등에 쓰이는 물질로, 관련 연구가 활발히 진행되고 있으며, 이와 더불어 top-down방식을 활용한 소자제작 방법 또한 발달되고 있다. 하지만, top-down공정 시 발생 되는 건식 식각에 의한 소자의 손상이 발생되고, 이로 인하여 누설전류가 발생하는 등 여러 가지 문제점이 발생하고 있다. 특히, top-down에서 널리 사용하는 건식식각을 통한 GaN 식각의 경우, nonpolar 면이 아닌, semipolar 면이 드러나게 되며, 이 면은 건식 식각시 발생하는 손상을 포함하고 있다. 본 연구에서는 이러한 문제를 해결하기 위해서, 약$2{\mu}m$ 크기의 diameter를 갖는 micro-sized column LED를 제작하고, 건식 식각 이후, KOH surface treatment를 통해 손상된 면을 제거함과 동시에 nonpolar면을 드러내는 실험을 실시하였으며, 더불어 column의 diameter를 줄이는 방법을 논하고자 한다. -
기존의 태양전지 기술은 기술 장벽이 매우 낮고 대량 생산을 통한 단가 절감하는 구조를 가지고 있어 대규모 자본을 가진 후발 기업에게 잠식되기 쉽다. 그러나, III-V족 화합물 반도체를 이용한 집광형 고효율 태양전지는 기술 장벽이 매우 높은 기술 집약 산업이므로 독자적인 기술을 확보하게 되면 독점적인 시장을 확보 할 수 있어 미래 고부가 가치 산업으로 적합하다. 특히 III-V족 화합물 반도체 태양전지는 III족 원소(In, Ga, Al)와 V족 원소(As, P)의 조합으로 0.3 eV~2.5 eV까지 밴드갭을 가지는 다양한 박막 제조가 가능하여 다양한 흡수 대역을 가지는 태양전지 제조가 가능하기 때문에 다중 접합 태양전지 제작이 가능하다. 또한 III-V 화합물 반도체는 고온 특성이 우수하여 온도 안정성 및 신뢰성이 우수하고, 또한 집광 시 효율이 상승하는 특성이 있어 고배율 집광형 태양광 발전 시스템에 가장 적합하다. Si 태양전지의 경우 100배 이하의 집광에서 사용하나, III-V 화합물 반도체 태양전지의 경우 500~1000배 정도의 고집광이 가능하다. 이러한 특성으로 III-V 화합물 반도체 태양전지 모듈 가격을 낮출 수 있고, 따라서 Si 태양전지 시스템과 비교하여 발전 단가 면에서 경쟁력을 확보할 수 있다. III-V 화합물 반도체는 다양한 밴드갭 에너지를 가지는 박막 제조가 용이하고, 직접천이(direct bandgap) 구조를 가지고 있어 실리콘에 비해 광 흡수율이 높다. 또한 터널정션(tunnel junction)을 이용하면 광학적 손실과 전기적 소실을 최소화 하면서 다양한 밴드갭을 가지는 태양전지를 직렬 연결이 가능하여 한 번의 박막 증착 공정으로 넓은 흡수대역을 가지며 효율이 높은 다중접합 태양전지 제작이 가능하다. 이에 걸맞게 본연구에서는 화학기상증착장치(MOCVD)를 이용하여 InAsP 나노선을 코어 쉘 구조로 성장하여 태양전지를 제작하였다. P-type Dopant로는 Disilane (Si2H6)을 전구체로 사용하였다. 또한 Benzocyclobutene (BCB) 폴리머를 이용하여 Dielectric을 형성하였고 Sputtering 방법으로 증착한 ZnO을 투명 전극으로 사용하여 나노선 끝부분과 실리콘 기판에 메탈 전극을 형성하였다. 이를 통해 제작한 태양전지는 솔라시뮬레이터로 측정했을때 최고 7%에 달하는 변환효율을 나타내었다.
-
Park, Min-Su;Kim, Ho-Seong;Yang, Hyeon-Deok;Song, Jin-Dong;Kim, Sang-Hyeok;Yun, Ye-Seul;Choe, Won-Jun 324
Quantum wells infrared photodetectors (QWIPs) have been used to detect infrared radiations through the principle based on the localized stated in quantum wells (QWs) [1]. The mature III-V compound semiconductor technology used to fabricate these devices results in much lower costs, larger array sizes, higher pixel operability, and better uniformity than those achievable with competing technologies such as HgCdTe. Especially, GaAs/AlGaAs QWIPs have been extensively used for large focal plane arrays (FPAs) of infrared imaging system. However, the research efforts for increasing sensitivity and operating temperature of the QWIPs still have pursued. The modification of heterostructures [2] and the various fabrications for preventing polarization selection rule [3] were suggested. In order to enhance optical performances of the QWIPs, double barrier quantum well (DBQW) structures will be introduced as the absorption layers for the suggested QWIPs. The DBWQ structure is an adequate solution for photodetectors working in the mid-wavelength infrared (MWIR) region and broadens the responsivity spectrum [4]. In this study, InGaAs/GaAs/AlGaAs double barrier quantum well infrared photodetectors (DB-QWIPs) are successfully fabricated and characterized. The heterostructures of the InGaAs/GaAs/AlGaAs DB-QWIPs are grown by molecular beam epitaxy (MBE) system. Photoluminescence (PL) spectroscopy is used to examine the heterostructures of the InGaAs/GaAs/AlGaAs DB-QWIP. The mesa-type DB-QWIPs (Area :$2mm{\times}2mm$ ) are fabricated by conventional optical lithography and wet etching process and Ni/Ge/Au ohmic contacts were evaporated onto the top and bottom layers. The dark current are measured at different temperatures and the temperature and applied bias dependence of the intersubband photocurrents are studied by using Fourier transform infrared spectrometer (FTIR) system equipped with cryostat. The photovoltaic behavior of the DB-QWIPs can be observed up to 120 K due to the generated built-in electric field caused from the asymmetric heterostructures of the DB-QWIPs. The fabricated DB-QWIPs exhibit spectral photoresponses at wavelengths range from 3 to$7{\mu}m$ . Grating structure formed on the window surface of the DB-QWIP will induce the enhancement of optical responses. -
It has been known since the mid 1960s that Ag can be photodissolved in chalcogenide glasses to form materials with interesting technological properties. In the 40 years since, this effect has been used in diverse applications such as the fabrication of relief images in optical elements, micro photolithographic schemes, and for direct imaging by photoinduced Ag surface deposition. ReRAM, also known as conductive bridging RAM (CBRAM), is a resistive switching memory based on non-volatile formation and dissolution of a conductive filament in a solid electrolyte. Especially, Ag-doped chalcogenide glasses and thin films have become attractive materials for fundamental research of their structure, properties, and preparation. Ag-doped chalcogenide glasses have been used in the formation of solid electrolyte which is the active medium in ReRAM devices. In this paper, we investigated the nature of thin films formed by the photo-dissolution of Ag into Ge-Se glasses for use in ReRAM devices. These devices rely on ion transport in the film so produced to create electrically programmable resistance states [1-3]. We have demonstrated functionalities of Ag doped chalcogenide glasses based on their capabilities as solid electrolytes. Formation of such amorphous systems by the introduction of Ag+ ions photo-induced diffusion in thin chalcogenide films is considered. The influence of Ag+ ions is regarded in terms of diffusion kinetics and Ag saturation is related to the composition of the hosting material. Saturated Ag+ ions have been used in the formation of conductive filaments at the solid electrolyte which is the active medium in ReRAM devices. Following fabrication, the cell displays a metal-insulator-metal structure. We measured the I-V characteristics of a cell, similar results were obtained with different via sizes, due to the filamentary nature of resistance switching in ReRAM cell. As the voltage is swept from 0 V to a positive top electrode voltage, the device switches from a high resistive to a low resistive, or set. The low conducting, or reset, state can be restored by means of a negative voltage sweep where the switch-off of the device usually occurs.
-
Kim, Seok-Won;Kim, Su-Jin;Kim, Hui-Dong;Kim, Gyeong-Heon;Park, Ju-Hyeon;Lee, Byeong-Ryong;U, Gi-Yeong;Kim, Tae-Geun 331
3족 질화물에 기반한 발광다이오드는 비소화물이나 인화물에 비해 여러 가지 장점을 가져 각광받아왔다. 특히, (Al)GaN 에 기반한 자외선 영역 발광 다이오드는 자외선 경화, 소독 등의 여러 가지 응용 가능성을 가진다 [1]. 하지만, 심자외선 영역으로 갈수록 높은 접촉 저항과 투명전극에서의 광흡수에 의해 전류주입 효율과 광추출 효율이 감소하여 결국 외부양자 효율이 더욱 열화되는 특성을 보인다. 이는 넓은 밴드갭을 가지는 물질을 이용하여 p-(Al)GaN 층에서 오믹접촉을 이루어야만 해결이 가능하지만 아직까지 이러한 결과가 보고된 바 없다. 본 연구에서는, 우리는 넓은 밴드갭을 가지는 silicon dioxide (SiO2) 에 전기장을 인가하여 p-GaN, and p-AlGaN 층에 전도성 필라멘트를 형성하여 전기전도도를 부여하는 연구를 진행하였다. p-GaN 과 p-AlGaN 위에서 5 nm 두께의 SiO2는 schottky 한 특성과 280 nm의 파장대역에서 약 97%의 투과율을 보였다. 비록 schottky 장벽이 형성되었지만, 전기전도도가 크게 향상되었으며 심자외선 영역에서 매우 낮은 흡수율을 보였다. 이는 기존의 증착후 열처리를 거쳐 제조된 전극에 비하여 우수한 특성을 지니며 향후 심자외선 영역 발광다이오드의 p-(Al)GaN 층 위에 오믹접촉을 이룰수 있는 가능성을 제시한다. -
Over the past several years, transparent conducting oxides have been extensively studied in order to replace indium tin oxide (ITO). Here we report on fluorine doped zinc tin oxide (FZTO) films deposited on glass substrates by radio-frequency (RF) magnetron sputtering using a 30 wt% ZnO with 70 wt% SnO2 ceramic targets. The F-doping was carried out by introducing a mixed gas of pure Ar, CF4, and O2 forming gas into the sputtering chamber while sputtering ZTO target. Annealing temperature affects the structural, electrical and optical properties of FZTO thin films. All the as-deposited FZTO films grown at room temperature are found to be amorphous because of the immiscibility of SnO2 and ZnO. Even after the as-deposited FZTO films were annealed from
$300{\sim}500^{\circ}C$ , there were no significant changes. However, when the sample is annealed temperature up to$600^{\circ}C$ , two distinct diffraction peaks appear in XRD spectra at$2{\Theta}=34.0^{\circ}$ and$52.02^{\circ}$ , respectively, which correspond to the (101) and (211) planes of rutile phase SnO2. FZTO thin film annealed at$600^{\circ}C$ resulted in decrease of resistivity$5.47{\times}10^{-3}{\Omega}cm$ , carrier concentration ~1019 cm-3, mobility~20 cm2 V-1s-1 and increase of optical band gap from 3.41 to 3.60 eV with increasing the annealing temperatures and well explained by Burstein-Moss effect. Change of work function with the annealing temperature was obtained by ultraviolet photoemission spectroscopy. The increase of annealing temperature leads to increase of work function from${\phi}=3.80eV$ (as-deposited FZTO) to${\phi}=4.10eV$ ($600^{\circ}C$ annealed FZTO) which are quite smaller than 4.62 eV for Al-ZnO and 4.74 eV for SnO2. Through X-ray photoelectron spectroscopy, incorporation of F atoms was found at around the binding energy of 684.28 eV in the as-deposited and annealed FZTO up to 400oC, but can't be observed in the annealed FZTO at 500oC. This result indicates that F atoms in FZTO films are loosely bound or probably located in the interstitial sites instead of substitutional sites and thus easily diffused into the vacuum from the films by thermal annealing. The optical transmittance of FZTO films was higher than 80% in all specimens and 2-3% higher than ZTO films. FZTO is a possible potential transparent conducting oxide (TCO) alternative for application in optoelectronics. -
투명 전도성 산화물(transparent conductive oxide: TCO) 박막은 높은 투과율과 낮은 비저항 덕분에 LCD (liquid crystal display), PDP (plasma display panel), OLED (organic light emitting display) 등 평판 디스플레이에 널리 사용되고 있다. 현재 양산되고 있는 ITO (indium tin oxide)는 90% 이상의 높은 투과율과 우수한 전도성으로 인해 TCO 박막 가운데서 디스플레이 산업에서 가장 널리 쓰이고 있다. 그런데, ITO의 인듐산화물에 의한 간질성 폐렴(interstitial pneumonia)의 유발 위험이 있다든가, 인듐의 매장량이 적어 원자재 가격이 비싼 단점도 가지고 있다. 이에 최근 ITO를 대체할 수 있는 TCO물질로 많은 연구가 이루어지고 있는데, 특히 AZO (aluminum-doped zinc oxide)는 그 중 대표적인 대체물질로서 독성이 없고 가격도 저렴하여 많은 관심이 증폭되고 있다. 현재 AZO는 sol-gel 방법이나 CVD (chemical vapor deposition) 또는 스퍼터링 방법 등으로 증착되고 있다. 본 연구에서는 두 개의 이종타겟(hetero target)을 장착한 대향 타겟 스퍼터링(facing target sputtering: FTS) 장치를 사용하여 AZO 박막을 제작한다. 기존의 여러 증착법과 달리, FTS 장치는 두 타겟 사이에 형성되는 플라즈마 내의
${\gamma}$ -전자를 구속하게 되며, 낮은 가스 압력에서 고밀도 플라즈마가 생성되어 빠른 증착 속도와 안정적인 방전을 유지한 상태에서 박막을 증착할 수가 있다. 또한 기판과 플라즈마가 이격되어 있어 높은 에너지를 갖는 입자들의 기판 충돌을 억제할 수 있는 장점들을 갖는다. 이종 타겟인 ZnO와 Al2O3를 사용하고 각 타겟에 인가되는 파워 변화를 통해 AZO 박막 내 Al2O3의 성분비를 조절하였다. ZnO 타겟의 증착 파워를 100 W로 고정할 경우, Al2O3 타겟의 증착 파워가 (50~90) W으로 실험을 하였으며, Al2O3 타겟의 증착 파워가 70 W일 때 AZO 박막의 Al2O3 성분비는 2.02 wt.%이며 박막의 비저항 값은$5{\times}10^{-4}{\Omega}{\cdot}cm$ 로 최소값을 보였다. 이러한 비저항의 변화는 파워에 따른 AZO 박막의 캐리어 이동도(Hall mobility)와 캐리어의 농도(Carrier Concentration)의 변화와 밀접한 관계가 있음을 보여주며, 특히 AZO 박막의 캐리어 농도와 캐리어 이동도는 AZO 박막을 형성하고 있는 결정립의 크기에 의존하는 것이 X-선 회절 패턴과 SEM으로부터 확인되었다. 특히, 본 연구에서는 두 개의 이종 타겟(hetero target) Al2O3와 ZnO를 장착하고 각각의 파워를 변화시켜 도핑 량을 조절할 수는 대향 타겟 스퍼터링(FTS: facing-target sputtering) 방법을 이용하여 제작된 AZO 박막에 대해 전기적, 광학적 및 구조적 특성을 분석하고 ITO의 대체물로서의 가능성을 검토하고자 한다. -
We reported on the electrical, optical, structural and morphological properties fabricated by co-sputtering for use as an anode for organic solar cells (OSCs). By adjusting RF and DC power of
$MoO_3$ and IZO targets during co-sputtering, we fabricated the$MoO_3$ -IZO electrode with graded content of the$MoO_3$ on the IZO films. At optimized$MoO_3$ thickness of 20 nm, the$MoO_3$ graded IZO electrode showed a higher mobility ($33cm^2/V-Sec$ ) than directly deposited$MoO_3$ on IZO film ($26cm^2/V-Sec$ ). At visible range (400nm~800nm), optical transmittance of the$MoO_3$ graded IZO electrode is higher than that of directly deposited$MoO_3$ on IZO film. High mobility of$MoO_3$ graded on IZO is attributed to less interface scattering between$MoO_3$ and IZO. To investigate the feasibility of$MoO_3$ graded IZO films, we fabricated conventional P3HT:PCBM based OSCs with$MoO_3$ graded IZO as a function of MoO3 thickness. The OSC fabricated on the$MoO_3$ graded IZO anode showed a fill factor of 66.53%, a short circuit current of$8.121mA/cm^2$ , an open circuit voltage of 0.592 V, and a power conversion efficiency of 3.2% comparable to OSC fabricated on ITO anode and higher than directly deposited$MoO_3$ on IZO film. We suggested possible mechanism to explain the high performance of OSCs with a$MoO_3$ graded IZO. -
Lim, Sang Chul;Koo, Jae Bon;Park, Chan Woo;Jung, Soon-Won;Na, Bock Soon;Lee, Sang Seok;Cho, Kyoung Ik;Chu, Hye Yong 344
Transparent amorphous oxide semiconductors such as a In-Ga-Zn-O (a-IGZO) have advantages for large area electronic devices; e.g., uniform deposition at a large area, optical transparency, a smooth surface, and large electron mobility >10 cm2/Vs, which is more than an order of magnitude larger than that of hydrogen amorphous silicon (a-Si;H).1) Thin film transistors (TFTs) that employ amorphous oxide semiconductors such as ZnO, In-Ga-Zn-O, or Hf-In-Zn-O (HIZO) are currently subject of intensive study owing to their high potential for application in flat panel displays. The device fabrication process involves a series of thin film deposition and photolithographic patterning steps. In order to minimize contamination, the substrates usually undergo a cleaning procedure using deionized water, before and after the growth of thin films by sputtering methods. The devices structure were fabricated top-contact gate TFTs using the a-IGZO films on the plastic substrates. The channel width and length were 80 and 20 um, respectively. The source and drain electrode regions were defined by photolithography and wet etching process. The electrodes consisting of Ti(15 nm)/Al(120 nm)/Ti(15nm) trilayers were deposited by direct current sputtering. The 30 nm thickness active IGZO layer deposited by rf magnetron sputtering at room temperature. The deposition condition is as follows: a rf power 200 W, a pressure of 5 mtorr, 10% of oxygen [O2/(O2+Ar)=0.1], and room temperature. A 9-nm-thick Al2O3 layer was formed as a first, third gate insulator by ALD deposition. A 290-nm-thick SS6908 organic dielectrics formed as second gate insulator by spin-coating. The schematic structure of the IGZO TFT is top gate contact geometry device structure for typical TFTs fabricated in this study. Drain current (IDS) versus drain-source voltage (VDS) output characteristics curve of a IGZO TFTs fabricated using the 3-layer gate insulator on a plastic substrate and log(IDS)-gate voltage (VG) characteristics for typical IGZO TFTs. The TFTs device has a channel width (W) of$80{\mu}m$ and a channel length (L) of$20{\mu}m$ . The IDS-VDS curves showed well-defined transistor characteristics with saturation effects at VG>-10 V and VDS>-20 V for the inkjet printing IGZO device. The carrier charge mobility was determined to be 15.18 cm^2 V-1s-1 with FET threshold voltage of -3 V and on/off current ratio 10^9. -
최근 디스플레이 기술은 급속도로 발전해 가고 있다. 정보화 기술의 발전으로 언제 어디서나 쉽게 정보를 얻을 수 있는 유비쿼터스 시대로 접근하고 있으며, 휴대가 간편하고 이동성을 가진 휴대용 기기가 인기를 끌고 있다. 이에 따라 더 얇고 더 가벼우며 휴대하기 쉬운 디스플레이가 요구 되고 있고, 더 나아가 떨어뜨려도 깨지지 않고 유연하며, 디자인 변형이 자유로우며, 때론 종이처럼 접거나 휘어지거나 두루마리처럼 말을 수 있는 이른바 "플렉서블 디스플레이"에 대한 필요성이 점점 대두되고 있다. 이러한 첨단 디스플레이의 핵심 소자 중 하나는 산화물 박막 트랜지스터 이다. 산화물 반도체는 넓은 밴드갭을 가지고 가시광선 영역에서 투명하며, 높은 이동도를 가지고 있어 차세대 평판디스플레이, 투명디스플레이 및 플렉서블 디스플레이용 박막트랜지스터(TFT)를 위한 채널층으로써 광범위하게 연구되고 있다. 하지만 현재 대부분의 산화물 박막 트랜지스터 제조 공정은 고온에서의 열처리를 필요로 한다. 고온에서의 열처리 공정은 산화물 박막의 제조 공정 단가를 증가시키는 문제점이 있으며, 산화물 박막이 형성되는 기판의 녹는점이 낮은 경우에는 상기 기판의 변형을 가져오므로(예를 들면, 플라스틱 기판, 섬유 기재 등), 상기 산화물 박막이 적용되는 기판의 종류에 제한이 생기는 문제점이 있었다. 이에 플렉시블 디스플레이 등을 위해서는 저온공정이 필수로 선행 되어야 한다. 산화물 TFT는 당초, ZnO계의 재료가 연구되었지만 2004년 말에 Hosono 그룹이 Nature지에 "IGZO (In, Ga, Zn, O)"을 사용한 TFT를 보고한 이후 IGZO, IZO, ISZO, IYZO, HIZO와 같은 투명 산화물반도체가 TFT의 채널물질로써 많이 거론되고 있다. 그 중에서 인듐갈륨 산화물(IGO)는 삼성분계 n-형 산화물 반도체이고, 채널 이동성이 좋고 광투과도가 우수해 투명 TFT에 매우 유용하게 사용할 수 있다. 이 실험에서 우리는 인듐갈륨 산화물 박막 및 트랜지스터 특성 연구를 진행하였다. 인듐갈륨 산화물 박막은 상온에서 rf-magnetron sputtering법을 사용하여 산소분압 1~10%에서 증착 되었다. 증착된 인듐갈륨 산화물 박막은 cubic
$In_2O_3$ 다결정으로 나타났으며, 2차상은 관찰 되지 않았다. 산소분압이 10%에서 1%로 변함에 따라 박막의 전도도는$2.65{\times}10^{-6}S/cm$ 에서 5.38S/cm 범위에서 조절되었으며, 이를 바탕으로 인듐갈륨 박막을 active층으로 사용하는 bottom gate 구조의 박막트랜지스터를 제작 하였다. 인듐갈륨산화물 박막트랜지스터는 산소분압 10%에서 on/off 비${\sim}10^8$ , field-effect mobility$24cm^2/V{\cdot}S$ 를 나타내며 상온에서 플렉서블용 고 이동도 소자 제작의 가능성을 보여준다. -
차세대 디스플레이 구동 회로 소자를 위한 재료로서, Amorphous Oxide Semiconductor (AOS)가 주목받고 있다. AOS는 기존의 Amorphous Silicon과 비교하여 뛰어난 이동도를 가지고 있으며, 넓은 밴드 갭에 의한 투명한 광학적 특성을 가지고 있다. 이러한 장점을 이용하여, AOS 박막은 thin film transistor (TFT)의 active channel로 이용 되고 있다. 하지만, AOS를 이용한 TFT의 경우, 시간이 경과함에 따라
$O_2$ 및$H_2O$ 흡착에 의해 전기적 특성이 변하는 현상이 있다. 이러한 현상은 소자의 신뢰성에 있어 중요한 문제가 된다. 이러한 문제를 연구하기 위해 본 논문에서는, AOS 박막을 이용하여 bottom 게이트형 TFT를 제작하였다. 이를 위해 먼저, p-type Si 위에 건식산화방식으로$SiO_2$ (100 nm)를 성장시켜 게이트 산화막으로 이용하였다. 그리고 Zn과 Sn이 1: 2의 조성비를 가진 ZnSnO (ZTO) 용액을 제조한 후, 게이트 산화막 위에 spin coating 하였다. Splin coating된 용액에 남아 있는 솔벤트를 제거하기 위해 10분 동안$230^{\circ}C$ 로 열처리를 한 후, 포토리소그래피와 에칭 공정을 이용하여 ZTO active channel을 형성하였다. 그 후, 박막 내에 남아 있는 불순물을 제거하고 ZTO TFT의 전기적인 특성을 향상시키기 위하여,$600^{\circ}C$ 의 열처리를 30분 동안 진행 하여 junctionless형 TFT 제작을 완료 하였다. 제작된 소자의 시간 경과에 따른 열화를 확인하기 위하여, 대기 중에서 2시간마다 HP-4156B 장비를 이용하여 전기적인 특성을 확인 하였으며, 이러한 열화는 후처리 공정을 통하여 회복시킬 수 있었다. 열화의 회복을 위한 후처리 공정으로, 퍼니스를 이용한 고온에서의 열처리와 microwave를 이용하여 저온 처리를 이용하였다. 결과적으로, TFT는 소자가 제작된 이후, 시간에 경과함에 따라서 on/off ratio가 감소하여 열화되는 경향을 보여 주었다. 이러한 현상은, TFT 소자의 ZTO back-channel에 대기 중에 있는$O_2$ 및$H_2O$ 의 분자의 물리적인 흡착으로 인한 것으로 보인다. 그리고 추가적인 후처리 공정들에 통해서, 다시 on/off ratio가 회복 되는 현상을 확인 하였다. 이러한 추가적인 후처리 공정은, 열화된 소자에 퍼니스에 의한 고온에서의 장시간 열처리, microwave를 이용한 저온에서 장시간 열처리, 그리고 microwave를 이용한 저온에서의 단 시간 처리를 수행 하였으며, 모든 소자에서 성공적으로 열화 되었던 전기적 특성이 회복됨을 확인 할 수 있었다. 이러한 결과는, 저온임에도 불구하고, microwave를 이용함으로 인하여, 물리적으로 흡착된$O_2$ 및$H_2O$ 가 짧은 시간 안에 ZTO TFT의 back-channel로부터 탈착이 가능함과 동시에 소자의 특성을 회복 가능 함 의미한다. -
ITO는 결정질 실리콘 태양전지의 anti-reflection coating (ARC) 층으로써 적합한 물질이다. ARC layer로써 구조적, 전기적 그리고 광학적 최적 조건의 특성을 얻기 위해는 높은 figure of merit(FOM)를 가져야 하고 결정방향 제어를 해야 한다. 본 연구에서는 결정질 실리콘 태양전지에 가장 적합한 ITO ARC layer의 특성 찾기 위해 Radio frequency magnetron sputter를 이용하여 공정 조건가변 실험을 진행 하였으며 높은 FOM을 갖는 ITO 반사방지막을 shallow emitter형 결정질 실리콘 태양전지에 적용하였으며 ITO 박막은 shallow emitter층과 완벽한 ohmic 접합을 이루었다. ITO ARC layer를 적용한 Shallow emitter형 태양전지는 81.59%의 fill factor와
$35.52mA/cm^2$ 의 단락전류를 보이며 17.27%의 광변환 효율을 보였다. -
본 연구에서는 Sputter를 이용하여 Room Temp.에서 증착된 NbOx 박막의 열처리에 따른 결정도를 분석하고, 이러한 결정도의 변화가 Metal Insulator Transition특성에 의한 Threshold switching에 어떠한 영향을 미치는 지에 대하여 연구하였다. NbOx 박막의 threshold switching 특성 분석을 위해, 1.4um의 TiN 위에 15nm의 NbOx를 증착하고 Top Electrode로 Pt를 증착하여 측정하였다. 증착된 NbOx는 Nb metal target으로 Reactive Sputter를 이용하여 Room Temp.에서 증착하였으며, 조성은 Partial Oxygen Pressure를 이용하여 조절하였다. 증착된 박막의 결정도는 TEM 및 XRD를 통하여 분석하였고 조성은 XPS를 이용하여 분석하였다. Sputter로 NbOx 증착 시 Partial Oxygen Pressure에 따른 조성을 XPS로 확인한 결과, Partial Oxygen Pressure 2%에서 NbOx의 조성을, 5%이상일 경우, Nb2O5의 조성을 가지는 것으로 확인되었다. Partial Oxygen Pressure 2%에서 증착한 NbOx 박막의 열처리에 따른 결정도를 분석한 결과, As-Dep상태에서는 amorphous상태였다가 600'C이상으로 1분간 열처리를 하였을 때 NbOx의 결정도가 증가함을 확인하였다. I-V 특성 측정 결과, 열처리 온도가 증가함에 따라 initial current가 점진적으로 증가하는 경향을 보이는데, 이는 열처리 시 amorphous상에서 poly-crystalline으로 미세구조의 변화가 일어나면서 grain boundary가 생성되며 생성된 grain boundary를 통해 leakage current가 증가하는 것으로 추측된다. 또한, 결정도가 증가함에 따라 electro-forming voltage가 감소하는 경향을 보이며 안정된 threshold switching 특성을 보이고 있다. 특히, 700'C 1분간 열처리 시에는 electro-forming 과정이 없이 threshold switching이 나타나는 현상이 관찰되었다. 이로 미루어 보아, threshold switching에서 나타나는 forming 현상은 local joule heating에 의해 박막이 결정화 되는 과정으로 추측된다. 결론적으로, 박막의 결정도가 initial current 및 Threshold switching 특성에 큰 영향을 미치는 것으로 예상된다.
-
인간과 기기간의 상호작용 심화에 의하여 모든 기기의 지능화, 첨단화 등이 요구됨에 따라 정보 기술 및 디스플레이 기술의 개발이 활발히 이루어지고 있는 가운데 투명 전자 소자에 대한 연구가 급증하고 있다. 산화물 반도체는 가시광 영역에서 투명하고, 비정질 반도체에 비하여 이동도가 100 배 이상 크고, 결정화 공정을 거친 폴리 실리콘과 비슷한 값을 가지거나 조금 낮으며 유연한 소자에도 쉽게 적용이 가능하다는 장점을 가지고 있어 투명 전자 소자 제작시에 주로 이용되는 물질이다. 대부분의 산화물 반도체 박막 증착 방법은 스퍼터링 방법이나 유기금속 화학증착법과 같은 방법으로 막을 형성하는데 이러한 증착 방법들은 고품질의 박막을 성장시킬 수 있다는 장점이 있으나 고가의 진공장비 및 부대 시설이 이용되고 이로 인한 제조비용의 상승이 되고, 기판 선택에 제약이 있는 단점이 있다. 따라서, 이러한 문제점을 개선하기 위하여 고가의 진공 장비가 필요 없이 스핀 코팅 방법이나 딥핑 방법 등에 의하여 공정 단계의 간소화, 높은 균일성, 기판 종류에 상관없는 소자의 대면적화가 가능한 용액 공정 기술이 각광을 받고 있다. 그러나 용액 공정 기반의 박막을 형성하기 위해서는 비교적 높은 공정온도 혹은 압력 등의 외부 에너지를 필요로 하므로 열에 약한 유리 기판이나 유연한 기판에 적용하기가 어렵다. 최근 이러한 문제점을 해결하기 위하여 높은 온도의 열처리(thermal annealing) 를 대신 할 수 있는 microwave irradiation (MWI)에 대한 연구가 보고되고 있다. MWI는
$100^{\circ}C$ 이하에서의 저온 공정이 가능하여 높은 공정 온도에 대한 문제점을 해결할 뿐만 아니라 열처리 방향을 선택적으로 할 수 있다는 장점을 가지고 있어 현재 투명 디스플레이 분야에서 주로 이용되고 있다. 따라서 본 연구에서는 HfOx 기반의 metal-oxide-semiconductor (MOS) capacitor를 제작하여 MWI에 따른 전기적 특성을 평가하였다. MWI는 금속의 증착 전과 후, 그리고 시간에 따른 조건을 적용하였으며 최적화된 조건의 MWI은 일반적인 퍼니스 장비에서의 높은 온도 열처리에 준하는 우수한 전기적 특성을 확인하였다. -
Park, Myung-Soo;Yoon, Su-Jin;Hwang, Je-Hwan;Kang, Sang-Woo;Kim, Deok-kee;Ku, Zahyun;Urbas, Augustine;Lee, Sang Jun 359
In this study, surface plasmon resonance structures for the selective and the enhanced transmission of infrared light were designed. In order to relieve the large discontinuity of refractive index between air and metal hole array,$Si_3N_4$ was used as the impedance matching layer. Experimental parameter were calculated and determined in advance by the rigorous coupled wave analysis (RCWA) simulation, and then the experiment was carried out. A 2-dimensional metal hole array structures were patterned on the size of$1{\times}1cm^2$ GaAs substrate using photolithography process, and 5 nm thick Ti, 50 nm thick Au were deposited by E-beam evaporator, respectively. Subsequently,$Si_3N_4$ films with various thicknesses (150, 350, 550, and 750 nm) were deposited by plasma enhanced chemical vapor deposition (PECVD). For the comparison, transmittance of specimens with and without$Si_3N_4$ was measured using Fourier transform infrared spectroscopy (FTIR) in the range of$2.5-15{\mu}m$ . Furthermore, the surface and the cross-sectional images were collected from the specimens by scanning electron microscopy (SEM). From the results, it was demonstrated that the transmittance was enhanced up to 80% by the deposition of 750 nm$Si_3N_4$ at$6.23{\mu}m$ . It has advantage of enhanced transmission despite the simple fabrication process. -
Lee, Seung Youb;Jeon, Cheolho;Kim, Seok Hwan;Lee, Jouhahn;Yun, Hyung Joong;Park, Soo Jeong;An, Ki-Seok;Park, Chong-Yun 362
Atomic layer deposition (ALD) can be regarded as a special variation of the chemical vapor deposition method for reducing film thickness. ALD is based on sequential self-limiting reactions from the gas phase to produce thin films and over-layers in the nanometer scale with perfect conformality and process controllability. These characteristics make ALD an important film deposition technique for nanoelectronics. Tantalum pentoxide ($Ta_2O_5$ ) has a number of applications in optics and electronics due to its superior properties, such as thermal and chemical stability, high refractive index (>2.0), low absorption in near-UV to IR regions, and high-k. In particular, the dielectric constant of amorphous$Ta_2O_5$ is typically close to 25. Accordingly,$Ta_2O_5$ has been extensively studied in various electronics such as metal oxide semiconductor field-effect transistors (FET), organic FET, dynamic random access memories (RAM), resistance RAM, etc. In this experiment, the variations of chemical and interfacial state during the growth of$Ta_2O_5$ films on the Si substrate by ALD was investigated using in-situ synchrotron radiation photoemission spectroscopy. A newly synthesized liquid precursor$Ta(N^tBu)(dmamp)_2$ Me was used as the metal precursor, with Ar as a purging gas and$H_2O$ as the oxidant source. The core-level spectra of Si 2p, Ta 4f, and O 1s revealed that Ta suboxide and Si dioxide were formed at the initial stages of$Ta_2O_5$ growth. However, the Ta suboxide states almost disappeared as the ALD cycles progressed. Consequently, the$Ta^{5+}$ state, which corresponds with the stoichiometric$Ta_2O_5$ , only appeared after 4.0 cycles. Additionally, tantalum silicide was not detected at the interfacial states between$Ta_2O_5$ and Si. The measured valence band offset value between$Ta_2O_5$ and the Si substrate was 3.08 eV after 2.5 cycles. -
Ruthenium (Ru) has attractive material properties due to its promising characteristics such as a low resistivity (
$7.1{\mu}{\Omega}{\cdot}cm$ in the bulk), a high work function of 4.7 eV, and feasibility for the dry etch process. These properties make Ru films appropriate for various applications in the state-of-art semiconductor device technologies. Thus, it has been widely investigated as an electrode for capacitor in the dynamic random access memory (DRAM), a metal gate for metal-oxide semiconductor field effect transistor (MOSFET), and a seed layer for Cu metallization. Due to the continuous shrinkage of microelectronic devices, better deposition processes for Ru thin films are critically required with excellent step coverages in high aspect ratio (AR) structures. In these respects, atomic layer deposition (ALD) is a viable solution for preparing Ru thin films because it enables atomic-scale control of the film thickness with excellent conformality. A recent investigation reported that the nucleation of ALD-Ru film was enhanced considerably by using a zero-valent metallorganic precursor, compared to the utilization of precursors with higher metal valences. In this study, we will present our research results on the synthesis and characterization of novel ruthenium complexes. The ruthenium compounds were easy synthesized by the reaction of ruthenium halide with appropriate organic ligands in protic solvent, and characterized by NMR, elemental analysis and thermogravimetric analysis. The molecular structures of the complexes were studied by single crystal diffraction. ALD of Ru film was demonstrated using the new Ru metallorganic precursor and O2 as the Ru source and reactant, respectively, at the deposition temperatures of$300-350^{\circ}C$ . Self-limited reaction behavior was observed as increasing Ru precursor and O2 pulse time, suggesting that newly developed Ru precursor is applicable for ALD process. Detailed discussions on the chemical and structural properties of Ru thin films as well as its growth behavior using new Ru precursor will be also presented. -
Transparent conductive oxides (TCOs) 박막은 가시광선영역에서의 높은 투과율과 낮은 저항 특성을 동시에 갖고 있어 최근 smart windows, solar cells, liquid crystal displays (LCD), organic light emitting devices (OLED)등과 같은 최첨단 기기에 필수적인 구성요소로 활발히 사용되고 있다. 따라서, 현재까지 FTO (
$SnO_2:F$ ), ITO ($In_2O_3:Sn$ ), ATO ($SnO_2:Sb$ )등과 같은 다양한 TCO들이 많은 연구자들에 의해 연구되고 있다. 그 중 ITO는 우수한 전기적(${\sim}10^{-4}{\Omega}cm$ ) 및 광학적(~85%) 특성 때문에 현재 상업적으로 활발히 응용되고 있는 대표적인 물질이다. 하지만 ITO의 주된 구성요소인 indium은 제한적인 매장량과 과도한 소비량 때문에 원가가 비싸다는 문제점이 있다. 반면에, ATO는 우수한 전기적(${\sim}10^{-3}{\Omega}cm$ ) 및 광학적(~80%) 특성뿐만 아니라 구성물질들의 매장량이 풍부하여 ATO의 원가가 저렴하다는 장점을 가지고 있어 현재 ITO을 대체 할 수 물질로 관심 받고 있다 [1]. 지금까지 우수한 특성을 갖는 ATO박막을 합성하는 방법으로 sol-gel spin coating, sputtering, spray pyrolysis, chemical vapor deposition (CVD)등이 알려져 있다. 이 중에서도, sol-gel spin coating과 spray pyrolysis은 solution기반의 합성법으로 분류되며 합성과정이 간단하고 비용이 저렴하다는 장점이 있고 현재까지 많은 연구가 보고되었다. 그러나, 진공기반이 아닌 우수한 특성을 갖는 solution기반의 ATO박막을 합성하기 위해서는 새로운 합성법의 개발이 학문적으로나 산업적으로도 매우 중요한 이슈이다. 따라서, 본 연구에서는 electrospray을 활용하여 solution기반의 ATO박막을 처음으로 합성하였다. 게다가 ATO박막에 열처리온도에 따른 구조, 화학, 전기, 광학적 특성을 확인하기 위하여 X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), Scanning Electron Microscopy (SEM), transmission electron microscopy (TEM), Hall Effect Measurement System, UV spectrophotometer를 사용하였다. 이러한 실험 결과들을 바탕으로 electrospray을 통해 합성된 solution기반의 ATO박막에 자세한 특성을 본 학회에서 다루도록 하겠다. -
Park, Jeong-Eun;Myeong, Ju-Yeon;Kim, Dae-Guk;Kim, Jin-Seon;Sin, Jeong-Uk;Gang, Sang-Sik;Nam, Sang-Hui 372
반도체 검출기는 입사되는 X선 에너지에 의하여 이온화되어 발생하는 전자 전공쌍을 수집함으로 방사선 정보를 확인하는 선량계로써 많은 연구와 활용이 이루어지고 있다. 하지만, X선 에너지에 의하여 반도체 검출기에서 발생하는 전기적 신호량이 높지 않기 때문에 누설 전류의 저감이 필수적이다. 누설 전류를 저감시키기 위한 방안으로 반도체 층과 전극 층의 Schottky Contact 구조의 설계, Insulating Layer의 사용, 높은 비저항의 반도체 물질 연구 등이 이루어지고 있다. 하지만, 기존에 누설 전류 저감을 위하여 Insulating Layer를 전극층과 반도체 층 사이에 형성하는 연구에 있어서 Insulating Layer와 반도체 층의 계면 사이에서 발생하는 Charge Trapping으로 인하여 생성되는 신호의 Reproducibility 저하, 동영상 적용의 제한 등의 문제점을 겪어왔다. 이에 본 논문에서는 누설 전류를 저감시킴과 동시에 Charge Trapping의 최소화를 이루기 위하여 Insulating Layer의 두께 최적화 연구를 수행하였다. 본 연구에서 사용한 Insulating Layer는 검출기 표면에 입사하는 X선 정보 손실을 최소화 시키는 동시에 누설 전류와 Charge Trapping을 최소화 시키는 방법으로써 CVD방법으로 검출기 표면에 균일하게 Insulating Layer를 코팅하였다. Insulating 물질은 Parylene을 사용하였으며, 그 중 온도, 습도 등 외부환경에 영향을 적게 받는 type C를 사용하였다. 증착에 사용한 장비의 진공도는 Torr로 설정하여 증착되는 Parylene의 두께가 약$0.3{\mu}m$ 가 되게 하였으며, 실험에는 반도체 물질 PbO를 사용하였다. Parylene의 절연 특성은 Dark Current와 Sensitivity를 측정한 SNR을 이용하여 Parylene코팅이 되지 않은 동일 반도체 검출기와의 신호를 비교하였으며 또한 Parylene를 다층 제작한 검출기의 수집 신호량을 비교하였다. 제작한 검출기의 X선 조사 시의 수집 전하량 측정 결과, 100 kVp, 100mA, 0.03s의 X선 조건에서$1V/{\mu}m$ 의 기준 시, Parylene를 코팅하지 않은 PbO 검출기의 Dark current는 0.0501 nA/cm2, Sensitivity는 0.6422 nC/mR-cm2, SNR은 12.184이었으며, Parylene단층의 두께인$0.3{\mu}m$ 로 증착된 시편의 Dark current는 0.04097 nA/cm2, Sensitivity는 0.53732 nC/mR-cm2으로 Dark current가 감소되고 sensitivity도 감소하였지만 SNR은 13.1150으로 높아진 것을 확인할 수 있었다. Perylene이$0.6{\mu}m$ 로 증착된 시편의 경우, Dark Current는 0.04064 nA/cm2, Sensitivity는 0.31473 nC/mR-cm2, SNR은 7.7443으로써 Insulating Layer가 없는 시편보다 SNR이 약 40% 낮아진 것을 확인할 수 있었다. Parylene이$0.9{\mu}m$ 로 증착된 시편의 경우 Dark current는 0.0378 nA/cm2, Sensitivity 0.0461 nC/mR-cm2로 Insulating Layer가 없는 시편에 비해 SNR은 약 1/12배 감소한 1.2196이었고, Parylene이$1.2{\mu}m$ 로 증착된 시편의 SNR은 1.1252로서 더 감소하였다. 따라서 Parylene을 다층 코팅한 검출기일수록 절연 효과의 영향이 커짐으로써 SNR 비교 시 수집되는 신호량이 줄어드는 것을 확인하였다. 반도체 검출기의 누설 전류를 저감시킴과 동시에 신호 수집율에 영향을 최소화시키기 위하여 Insulating Layer의 두께를 적절하게 설정하여 적용하면 Insulating Layer가 없는 검출기에 비해 누설전류를 최소한으로 줄일 수 있고 신호 검출효율이 감소하는 것을 방지할 수 있을 것이라 사료된다. -
Resistive-change random access memory (ReRAM) device is one of the promising candidates owing to its simple structure, high scalability potential and low power operation. Many resistive switching devices using transition metal oxides materials such as NiO, Al2O3, ZnO, HfO2,
$TiO_2$ , have attracting increased attention in recent years as the next-generation nonvolatile memory. Among various transition metal oxides materials, HfO2 has been adopted as the gate dielectric in advanced Si devices. For this reason, it is advantageous to develop an HfO2-based ReRAM devices to leverage its compatibility with Si. However, the annealing temperature of these high-k thin films for a suitable resistive memory switching is high, so there are several reports for low temperature process including microwave irradiation. In this paper, we demonstrate the bipolar resistive switching characteristics in the microwave irradiation annealing processed Ag/HfO2/Pt ReRAM device. Compared to the as-deposited Ag/HfO2/Pt device, highly improved uniformity of resistance values and operating voltage were obtained from the micro wave annealing processed HfO2 ReRAM device. In addition, a stable DC endurance (>100 cycles) and a high data retention (>104 sec) were achieved. -
Myeong, Ju-Yeon;Park, Jeong-Eun;Kim, Dae-Guk;Kim, Gyo-Tae;Jo, Gyu-Seok;O, Gyeong-Min;Nam, Sang-Hui 374
의료용 X-ray는 과거 analog 방식과, 연구가 진행 중이며 현재 많이 사용되고 있는 digital 방식으로 나누어진다. 최근, 광도전체와 형광체 기반의 flat panel X-ray detector의 발전에 따른 상용화가 이루어지고 있으며, 많은 발전 가능성이 제기되고 있다. flat panel X-ray detector 검출방식은 direct method (직접 방식)와 indirect method (간접 방식)로 나누어진다. 본 연구는 일반적으로 상용화 되어있는 amorphous seleinum (비정질 셀레늄)의 큰 일함수에 의한 저 해상력이라는 단점을 보완하기 위해, 작은 일함수를 가지는 물질을 사용하여, 영상을 얻을 시에 높은 해상력으로 표현할 수 있도록 하고, 원자번호가 높은 물질을 사용하여 X-ray 흡수율을 높일 수 있도록 기존 direct method에 많이 사용되고 있는 amorphous seleinum 기반 digital X-ray detector가 아닌, 이러한 장점을 충족시킬 수 있는 PbI2 물질 층을 사용하여 시편을 제작 하였다. PbI2를 같은 두께로 올린 후, 물질 층 상부에 Au 전극 면적을 다른 size로 제작한 시편으로 X-ray에 노출 시켰다. 이는 상부 전극 size 차이에 따른 신호 차이를 측정하여 전기적 특성을 평가하기 위한 것이다. 전도성을 띠고 있는 ITO (Indium - Tin - Oxide) glass를 이용하여 screen printing 방법으로 제작하였다. PbI2층을 약 160~180 um두께,$3cm{\times}3cm$ size로 5개 제작하였으며, 상부 전극으로는 Au를 진공 증착 시켰다. 상부 전극 size는 각각 시편 5개에$0.5cm{\times}0.5cm$ ,$1cm{\times}1cm$ ,$1.5cm{\times}1.5cm$ ,$2cm{\times}2cm$ ,$2.5cm{\times}2.5cm$ 로 PbI2 물질 층 중앙에 증착 시켰다. 이러한 설정으로 X-ray 노출 시 관찰할 수 있는 PbI2의 전기적인 특성을 평가할 수 있었다. 관전압을 40 kVp, 60 kVp, 80 kVp, 100 kVp, 120 kVp, 140 kVp로 설정하고, 관전류는 100 mA로 설정하였으며, Dark current, Sensitivity를 측정하였다. Dark current와 Sensitivity를 측정한 뒤, 그 값을 이용하여 SNR (신호 대 잡음 비)값을 구해보았다.실험 결과 단위면적당 signal과 SNR을 분석할 수 있었다. 80 kVp로 기준을 잡고 결과 값을 보면$0.5cm{\times}0.5cm$ 시편에서 2.92 nC/cm2,$2.5cm{\times}2.5cm$ 시편에서 0.84 nC/cm2로 상부 전극 크기가 작을수록 더 좋은 신호를 측정할 수 있었다. 똑같은 기준에서 SNR을 계산 해 보았을 때,$0.5cm{\times}0.5cm$ 시편에서 6.46,$2.5cm{\times}2.5cm$ 시편에서 1.91로 SNR역시 상부 전극 크기가 작을수록 더 큰 값을 확인할 수 있었다. 이러한 결과는 edge-effect의 영향으로 인해 나온 결과라고 할 수 있다. 이러한 실험 결과, detector 제작 시, 같은 물질을 사용하여 더 높은 효율을 내기 위해서는 큰 size의 상부 전극 보다는 작은 size의 상부 전극을 증착 시키는 것이 전기적 특성을 더욱 효율적으로 평가할 수 있을 것이라고 사료된다. -
Layered semiconductor materials can be a promising candidate for large-area thin film transistors (TFTs) due to their relatively high mobility, low-power switching, mechanically flexibility, optically transparency, and amenability to a low-cost, large-area growth technique like thermal chemical vapor deposition (CVD). Unlike 2D graphene, series of transition metal dichalcogenides (TMDCs),
$MX_2$ (M=Ta, Mo, W, X=S, Se, Te), have a finite bandgap (1~2 eV), which makes them highly attractive for electronics switching devices. Recently, 2D$MoS_2$ materials can be expected as next generation high-mobility thin-film transistors for OLED and LCD backplane. In this paper, we investigate in detail the electrical characteristics of 2D layered$MoS_2$ local bottom-gated transistor with the same device structure of the conventional thin film transistor, and expect the feasibility of display application. -
We demonstrate the high temperature-dependent electrical behavior at 2D multilayer MoS2 transistor. Our previous reports explain that the extracted field-effect mobility of good device was inversely proportional to the increase of temperature. Because scattering mechanism is dominated by phonon scattering at a well-designed MoS2 transistor, having, low Schottky barrier. However, mobility at an immature our
$MoS_2$ transistor (${\mu}m$ <$10cm^2V^{-1}s^{-1}$ ) is proportional to the increase temperature. The existence of a big Schottky barrier at$MoS_2-Ti$ junction can reduce carrier transport and lead to lower transistor conductance. At high temperature (380K), the field-effect mobility of multilayer$MoS_2$ transistor increases from 8.93 to$16.9cm^2V^{-1}sec^{-1}$ , which is 2 times higher than the value at room temperature. These results demonstrate that carrier transport at an immature$MoS_2$ with a high Schottky barrier is mainly affected by thermionic emission over the energy barrier at high temperature. -
최근 디스플레이, 태양전지 그리고 touch screen panels 등 optoelectronic 장치의 시장이 성장함에 따라 투명전극의 수요가 증가하고 있다. Indium tin oxide (ITO)의 좋은 특성 때문에 주로 투명전극에 많이 사용되고 있다. 그러나 화학적 안정성이 떨어지고, 휘어질 때 특성저하가 심하여 금속나노와이어, 탄소나노튜브, 전도성폴리머, 그리고 그래핀 등의 다른 투명전극의 연구가 활발히 진행되고 있다. 그 중에서 그래핀은 높은 전자 이동도(200000 cm2v-1s-1)와 휘어져도 전기적 크게 변하지 않는 특성 때문에 유망한 투명 전도성 전극 (Transparent Conductive Electrodes, TCEs)으로 연구되어왔다. 또한 다양한 속성 가운데, 높은 광 투과성은 그래핀의 가장 큰 장점이다 [1]. 최근, 화학 기상 증착 (Chemical Vapor Deposition, CVD) 등 다양한 제조 방법이 대량 생산을 위해 개발되었다. 그러나 이 방법은 비용이 많이 들며, 과정이 상당히 복잡하고 높은 온도 (
${\sim}1000^{\circ}C$ )를 필요로 한다. 따라서 용매 기반의 환원된 그래핀 산화물(Reduced Graphene Oxides, RGOs)이 최근 주목 받고 있다. 그러나 RGOs의 면저항이 높아 전극으로서 사용이 제한된다. 따라서 전기적 특성을 향상시키는 방법으로 단일 벽 탄소 나노튜브 (Single-Walled Carbon Nanotubes, SWNTs)를 혼합하거나 화학적 도핑을 통하여 면저항을 크게 향상시키는 연구가 활발히 진행되고 있다. 그러나 이런 화학적 도핑의 경우 박막이 공기 중에 직접 산소나 습기와 반응하여 전기적 특성이 저하되는 문제점을 가지고 있다 [2]. 이러한 문제를 해결하기 위해 AuCl3을 도핑한 박막에 내열성 및 내광성 등의 화학적 안정성이 뛰어난 PEDOT:PSS를 코팅하여 필름의 공기중의 노출을 막아 줌으로써 도핑의 안전성 및 전기적 특성을 최적화하였다. 본 연구에서는 간단한 dip-coating방법을 사용하여 4개의 RGO/SWNTs 박막을 흡착하였다. 다음으로 AuCl3를 도핑하여 면저항$4.909K{\Omega}$ ,$4.381K{\Omega}$ 인 두 개의 샘플의 시간과 온도에 따른 면저항의 변화를 확인하였다. 그리고 필름의 도핑 안전성을 향상 시키기 위해 AuCl3를 도핑한 필름 위에 전도성 폴리머 PEDOT:PSS 코팅하여 면저항$886.1{\Omega}$ ,$837.5{\Omega}$ 인 두 개의 샘플의 시간과 온도에 따른 면저항의 변화를 확인하였다. AuCl3 도핑된 필름의 경우 공기 중에 150시간 노출 시 72%의 면저항 증가가 발생하였지만 PEDOT:PSS가 코팅된 필름의 경우 5%의 면저항 증가가 나타나 확연한 차이를 보였다. 또한 AuCl3 도핑한 필름의 경우$150^{\circ}C$ 에서 60시간동안 공기중에 노출되었을 때 525%의 면저항 증가가 발생하였지만 PEDOT:PSS가 코팅된 필름의 경우 58%의 면저항 증가를 나타내었다. 이것은 PEDOT:PSS가 passivation역할을 하여 필름이 공기에 노출된 부분을 막아주어 도핑된 필름의 면저항의 변화를 줄여 주었음을 알 수 있다. -
Kim, Myeong-Su;Lee, Da-Hyeok;Kim, Gi-Bo;Lee, Jin-Gyun;O, Beom-Hwan;Lee, Seung-Geol;Park, Se-Geun 390
Soft-lithography 기술 중의 하나인 Micro-Contact Printing (${\mu}$ -CP) 기술은 패턴이 형성된 mold 위에 고분자 물질을 코팅하고 기판과 접촉시켜, 패턴 된 부분만 기판으로 전사시켜 패턴을 형성하는 방법이다.${\mu}$ -CP 기술은 Imprint 방식과 비교하여 잔여물을 제거하기 위한 ashing 공정이 필요 없으며, 상대적으로 패턴이 전사되기 위한 공정 온도와 압력이 낮은 장점이 있다. 한편, 기존의 Photolithography 기술로 유기기판에 패턴을 형성하는 것은 제한이 있으며, 자외선에 의해 유기기판의 특성이 변화될 수 있다. 또한 패턴 형성 후 고분자 패턴을 제거하는 용매가 기판이 손상 받게 된다. 본 실험에서는 poly (1H,1H,2H,2H-perfluorodecyl methacrylate) polymer (PFDMA) films을 패턴 된 poly (dimethylsiloxane) (PDMS) mold 위에 코팅하고${\mu}$ -CP 기술을 통해 poly (methylmethacrylate) (PMMA), poly (vinyl pyrrolidone) (PVP)등과 같은 유기기판 위에 고분자 패턴 형성을 하였다. 이때 전사 가능한 온도는 상온이며, 압력은 코팅된 PFDMA films이 기판과 접촉될 수 있는 정도만 필요하다. PFDMA가 상온에서 전사 가능한 이유는 유리전이온도가 상온보다 낮기 때문이다. 또한 접촉각을 측정하여 접착력을 계산하면 PFDMA와 기판과의 접착력이 상대적으로 높기 때문이다. PFDMA는 플루오르계 용매에 제거되기 때문에 유기기판의 손상을 최소화 할 수 있다.${\mu}$ -CP 기술을 이용한 PFDMA의 패턴 형성 방법은 물질의 특성으로 flexible 및 organic device 제작에 응용 될 수 있다. -
Kim, Jang Ah;Hwang, Taehyun;Dugasani, Sreekantha Reddy;Kulkarni, Atul;Park, Sung Ha;Kim, Taesung 401
In this study, graphene, the most attractive material today, has been applied to the wavelength-modulated surface plasmon resonance (SPR) sensor. The optical fiber sensor technology is the most fascinating topic because of its several benefits. In addition to this, the SPR phenomenon enables the detection of biomaterials to be label-free, highly sensitive, and accurate. Therefore, the optical fiber SPR sensor has powerful advantages to detect biomaterials. Meanwhile, Graphene shows superior mechanical, electrical, and optical characteristics, so that it has tremendous potential to be applied to any applications. Especially, grapheme has tighter confinement plasmon and relatively long propagation distances, so that it can enhance the light-matter interactions (F. H. L. Koppens, et al., Nano Lett., 2011). Accordingly, we coated graphene on the optical fiber probe which we fabricated to compose the wavelength-modulated SPR sensor (Figure 1.). The graphene film was synthesized via thermal chemical vapor deposition (CVD) process. Synthesized graphene was transferred on the core exposed region of fiber optic by lift-off method. Detected analytes were biotinylated double cross-over DNA structure (DXB) and Streptavidin (SA) as the ligand-receptor binding model. The preliminary results showed the SPR signal shifts for the DXB and SA binding rather than the concentration change. -
Kim, Hyungsuk;Kim, Ilsoo;Lee, Jaehyung;Lee, Hye-young;Lee, Eungjang;Jeong, Du-Won;Kim, Ju-Jin;Choi, Heon-Jin 407
Nanotechnology, especially vertically grown silicon nanowires, has gotten great attentions in biology due to characteristics of one dimensional nanostructure; controllable synthetic structure such as lengths, diameters, densities. Silicon nanowires are promising materials as nanoelectrodes due to their highly complementary metal-oxide-semiconductor (CMOS) - and bio-compatibility. Silicon nanowires are so intoxicated that are effective for bio molecular delivery and electrical stimulation. Vertical nanowires with integrated Au tips were fabricated for electrical intracellular interfacing with PC-12 cells. We have made synthesized two types of nanowire devices; one is multi-nanowires electrode for bio molecular sensing and electrical stimulation, and the other is single-nanowires electrode respectively. Here, we demonstrate that differentiation of Nerve Growth Factor (NGF) treated PC-12 cells can be promoted depending on different magnitudes of electrical stimulation and density of Si NWs. It was fabricated by both bottom-up and top-down approaches using low pressure chemical vapor deposition (LPCVD) with high vacuuming environment to electrically stimulate PC-12 cells. The effects of electrical stimulation with NGF on the morphological differentiation are observed by Scanning Electron Microscopy (SEM), and it induces neural outgrowth. Moreover, the cell cytosol can be dyed selectively depending on the degree of differentiation along with fluorescence microscopy measurement. Vertically grown silicon nanowires have further expected advantages in case of single nanowire fabrication, and will be able to expand its characteristics to diverse applications. -
Graphene has received attention with its high electron mobility and visual transparency as a promising material for optoelectronic and photonic applications. Combination of graphene and conducting nanostructures i.e. plasmonic structures has recently been researched for enhancing light-matter interaction and overcoming diffraction limit of light. Here we show enhanced photodetection of incoherent visible light with graphene-mediated plasmonics. Gold nanoparticles fabricated by focused ion beam was used as an active element of photodetection and graphene was utilized as an interfacing material between nanostructures and electrodes. Hot electrons generated upon plasmon decay within nanoparticles pass over the potential barrier between nanostructure and graphene and give rise to a photocurrent with built-in electric field. We report 76.7% enhancement of photocurrent under resonant irradiation of fiber-coupled halogen lamp compared to the case without light illumination. We showed wavelength-dependent current response arisen from plasmonic nanostructure, providing a good agreement with theoretical calculation.
-
Recently hexagonal boron nitride (h-BN), III-V compound of boron and nitrogen with strong covalent
$sp^2$ bond, is a 2 dimensional insulating material with a large direct band gap up to 6 eV. Its outstanding properties such as strong mechanical strength, high thermal conductivity, and chemical stability have been reported to be similar or superior to graphene. Because of these excellent properties, h-BN can potentially be used for variety of applications such as dielectric layer, deep UV optoelectronic device, and protective transparent substrate. Ultra flat and charge impurity-free surface of h-BN is also an ideal substrate to maintain electrical properties of 2 dimensional materials such as graphene. To synthesize a single or a few layered h-BN, chemical vapor deposition method (CVD) has been widely used by using an ammonia borane as a precursor. Ammonia borane decomposes into hydrogen (gas), monomeric aminoborane (solid), and borazine (gas) that is used for growing h-BN layer. However, very active monomeric aminoborane forms polymeric aminoborane nanoparticles that are white non-crystalline BN nanoparticles of 50~100 nm in diameter. The presence of these BN nanoparticles following the synthesis has been hampering the implementation of h-BN to various applications. Therefore, it is quite important to grow a clean and high quality h-BN layer free of BN particles without having to introduce complicated process steps. We have demonstrated a synthesis of a high quality h-BN monolayer free of BN nanoparticles in wafer-scale size of$7{\times}7cm^2$ by using CVD method incorporating a simple filter system. The measured results have shown that the filter can effectively remove BN nanoparticles by restricting them from reaching to Cu substrate. Layer thickness of about 0.48 nm measured by AFM, a Raman shift of$1,371{\sim}1,372cm^{-1}$ measured by micro Raman spectroscopy along with optical band gap of 6.06 eV estimated from UV-Vis Spectrophotometer confirm the formation of monolayer h-BN. Quantitative XPS analysis for the ratio of boron and nitrogen and CS-corrected HRTEM image of atomic resolution hexagonal lattices indicate a high quality stoichiometric h-BN. The method presented here provides a promising technique for the synthesis of high quality monolayer h-BN free of BN nanoparticles. -
Zinc oxide (ZnO) is one of the most powerful materials for purifying organic pollutants using photocatalytic activity. In this study, we have introduced a novel method to design highly photoreactive flexible 3 dimensional (3D) ZnO nanocomposite [F-ZnO-m (m: reaction time, min)] by electrospinning and simple-step ZnO growth processing (one-step ZnO seed coating/growth processing). Significantly, the F-ZnO-m could be a new platform (or candidate) as a photocatalytic technology for both morphology control and large-area production. The highest photocatalytic degradation rate ([k]) was observed for F-ZnO-m at 2.552 h-1, which was 8.1 times higher than that of ZnO nanoparticles (NPs; [k] = 0.316 h-1). The enhanced photocatalytic activity of F-ZnO-m may be attributed to factors such as large surface area. The F-ZnO-m is highly recyclable and retained 98.6% of the initial decolorization rate after fifteen cycles. Interestingly, the F-ZnO-m samples show very strong antibacterial properties against both Gram-negative Escherichia coli (E. coli) and Gram-positive Staphylococcus aureus (S. aureus) after exposure to UV-light for 30 min. The antibacterial properties of F-ZnO-m samples are more effective than those of ZnO NPs. More than 96.6% of the E. coli is sterilized after ten cycles. These results indicate that F-ZnO-m samples might have utility in several promising applications such as highly efficient water/air treatment and inactivation of pathogenic microorganisms.
-
In this paper, we present a fabrication method of functionalized gold nanostructures on flexible substrate that can be implemented for plasmonic sensing application. For biomolecular sensing, many researchers exploit unconventional lithography method like nanoimprint lithography (NIP), contact transfer lithography, soft lithography, colloidal transfer printing due to its usability and easy to functionalization. In particular, nanoimprint and contact transfer lithography need to have anti-adhesion layer for distinctive metallic properties on the flexible substrates. However, when metallic thin film was deposited on the anti-adhesion layer coated substrates, we discover much aggravation of the mold by repetitive use. Thus it would be impossible to get a high quality of metal nanostructure on the transferred substrate for developing flexible electronics based transfer printing. Here we demonstrate a method for nano-pillar mold and transfer the controllable nanoparticle array on the flexible substrates without an anti-adhesion layer. Also functionalization of gold was investigated by the different length of thiol applied for effectively localized surface plasmonic resonance sensing. First, a focused ion beam (FIB) and ICP-RIE are used to fabricate the nanoscale pillar array. Then gold metal layer is deposited onto the patterned nanostructure. The metallic 130 nm and 250 nm nanodisk pattern are transferred onto flexible polymer substrate by bi-layer functionalized contact imprinting which can be tunable surface energy interfaces. Different thiol reagents such as Thioglycolic acid (98%), 3-Mercaptopropionic acid (99%), 11-Mercaptoundecanoic acid (95%) and 16-Mercaptohexadecanoic acid (90%) are used. Overcoming the repeatedly usage of the anti-adhesion layer mold which has less uniformity and not washable interface, contact printing method using bi-layer gold array are not only expedient access to fabrication but also have distinctive properties including anti-adhesion layer free, functionalized bottom of the gold nano disk, repeatedly replicate the pattern on the flexible substrate. As a result we demonstrate the feasibility of flexible plasmonic sensing interface and anticipate that the method can be extended to variable application including the portable bio sensor via mass production of stable nanostructure array and other nanophotonic application.
-
Kim, Min-Jin;Sin, Jang-Gyu;Kim, Yang-Du;Go, Bit-Na;Kim, Ga-Hyeon;Lee, Jeong-Cheol;Kim, Dong-Seok 414
박막 태양전지의 광흡수를 증가시키기 위한 방법으로 나노 사이즈의 구조체를 이용하는 방법들이 주목받고 있다. 나노 구조체로 인한 광 산란 효과는 광 흡수층에서 빛의 흡수를 높여 태양전지의 변환효율을 높일 수 있다. 3차원 구조체를 제작하는 기존의 방법들은 대면적 기판에 적용이 어렵고, 비용적 측면 등의 문제점들이 있다. 본 연구에서는 대면적화가 가능한 나노 임프린트 리소그래피 방법을 이용하여 Ag nano rod 패턴을 제작하였다. 임프린트 공정 중 UV 조사시간, 가해지는 하중, 기판온도 등의 변수들과, 건식 이온 식각 시 변수들을 조절하여 최적화된 3차원 rod 패턴을 형성할 수 있었다. 그림 1은 형성된 Ag rod 패턴의 SEM 측정 사진이다. 전극 폭 300 nm, 간격 300 nm로 제조된 rod는 Ag의 두께를 조절함으로써 전기, 광학적 특성을 조절할 수 있었다. 3차원 Ag nano rod를 박막 태양전지의 전, 후면 전극으로 사용하여 태양전지의 특성변화를 분석하였다. -
탄소나노튜브(CNTs)의 비강도는 철합금에 비해 30~50배 높으며, 알루미늄 밀도(
$2.7g/cm^3$ )보다 낮은$1.3{\sim}1.4g/cm^3$ 의 값을 갖는 고강도 고경량의 탄소소재이다. 이러한 CNT를 금속기지에 복합화 하면 비강도가 매우 우수하고 고경량화 소재의 제조가 가능하다. 하지만, CNT는 반데르발스(Van der waals) 힘에 의해 서로 뭉쳐서 존재하며, 젖음성이 나쁘기 때문에 금속과 부상 분리되는 단점이 있다. 따라서, 이러한 문제점을 보완하기 위하여 무전해 도금법, 전해도금법 등으로 Cu, Ni등을 코팅하여 문제점을 해결하려는 연구가 진행되어 왔지만, 복합소재를 제조하기 위해 필요한 CNT를 대량으로 코팅하기엔 적합하지 않다. 본 연구에서는 CNT표면에 Cu를 대량으로 형성시킬 수 있는 시멘테이션법을 이용하여, 공정조건에 따른 CNT/Cu의 석출되는 형상 및 성분의 변화를 조사하였다. -
나노임프린트 공정으로 제작된 동일한 형태의 패턴 구조를 변형하거나, 표면의 특성을 조절하는 것은 임프린트 공정의 응용성을 높일 수 있는 유용한 기술이다. 본 연구진은 플라즈마와 열처리를 통하여 임프린트 나노패턴의 크기를 변형하는 연구[1]와 나노구조의 형태에 따른 표면특성의 변화 연구[2]를 수행한 바 있는데, 본 연구에서는 나노임프린트 패턴의 구조 및 표면특성을 단일 칩 내에서 연속적으로 변화하도록 제작하는 방법에 관해 고찰하였다. 나노임프린트 공정으로 제작한 패턴을 반응성이 연속적으로 변화하도록 고안된 산소 플라즈마 장치에서 식각하여 구조를 연속적으로 변형하고, 전자현미경(SEM)과 원자힘현미경(AFM), 집속이온빔(FIB) 등을 통해 표면과 단면을 확인하였으며, 구조변형 이후의 후처리에 따른 접촉각 등의 변화를 관찰하여 임프린트 나노구조 패턴 표면의 화학적 특성을 조절하는 방법을 탐구하였다. 본 연구 결과는 단일한 모 패턴으로부터 다양한 크기의 패턴을 제작하고 화학적 특성을 조절하는 것이 가능함을 보이는 것으로서, 향후 이러한 연속적 변화를 갖는 미세구조를 이용하여 혼합 물질의 분리 및 바이오 물질의 검출 등에 응용할 수 있을 것으로 기대된다.
-
Interdigitated nanogap device (IND) is an attractive tool for biomolecular detection due to its huge on-off signal ratio, great tolerance to the variation in biochemical environment, and relatively simple implementation processes. Here, we report on the IND-based detection of Influneza A virus by sandwich immunoassay. The INEs were fabricated by photo lithography followed by the in-house chemical lithographic technique for the narrowing the initial gap distance. The surface of the silicon oxide between the two gold electrodes was chemically modified to immobilize primary antibodies for the immuno-specific interaction with the influenza A virus antigen. After immersing the functionalized-IND into the sample solution containing the influenza A virus, the device was exposed to the secondary antibody conjugated Au nanoparticles (Au NPs). The INDs showed a huge jump in the electric conductance when the sample solution contained the influenza A virus of the concentration as low as 10 ng/mL. We hope that this IND-based sensing can be applied to the development of simple and reliable diagnostic means of influenza viruses.
-
최근, 과학 기술이 발달함에 따라 현장에서의 실시간 검사 및 자가 지단 등 질병 치유에 대한 사람들의 관심이 증가하고 있으며, 이에 따라 의료, 환경, 산업과 같은 많은 분야에서 바이오 센서에 대한 연구가 활발하게 이루어지고 있다. 그 중, EGFET는 전해질 속의 각종 이온 농도를 전기적으로 측정하는 바이오 센서로, 외부 환경으로부터 안전하고, 제작이 쉬우며, 재활용이 가능하여 비용을 절감 할 수 있다는 장점을 가지고 있다 [1]. EGFET는 감지부와 FET부로 분리된 구조를 가지고 있으며, 감지부의 감지막으로는 Al2O3, HfO2,
$TiO_2$ , SnO2 와 같은 다양한 물질들이 사용되고 있다. 그 중, SnO2는 우수한 감도와 안정성을 가지고 있는 물질로 추가적인 열처리 공정 없이도 우수한 감지 특성을 나타내기 때문에 본 연구에서 감지막으로 사용하였다. 한편, EGFETs 의 FET부로는 기존의 비정질 실리콘 TFTs 에 비해 10배 이상의 높은 이동도와 온/오프 전류비를 갖는 InGaZnO 를 채널층으로 사용한 TFTs 를 사용하였다. a-IGZO 는 넓은 밴드 갭으로 인해 가시광 영역에서 투명하며, 향후 투명 바이오센서 제작 시, 물질들 사이의 반응을 전기적 신호뿐만 아니라 광학적인 분석 방법으로도 검출이 가능하기에 고 신뢰성을 갖는 센서의 제작이 가능할 것으로 기대된다. 한편, a-IGZO TFTs 의 경우 우수한 전기적 특성을 나타냄에도 불구하고 소자 동작 시 문턱 전압이 불안정하다는 단점이 있으며 [2], 이러한 문제의 개선과 향후 투명 기판 위에서의 소자 제작을 위해서는 저온 열처리 공정이 필수적이다. 따라서, 본 연구에서는 저온 열처리 공정인 u-wave 열처리를 통하여 a-IGZO TFTs 의 전기적 특성 및 안정성을 향상시켰으며, 9.51 [$cm2/V{\cdot}s$ ]의 이동도와 135 [mV/dec] 의 SS값, 0.99 [V]의 문턱 전압, 1.18E+08의 온/오프 전류 비를 갖는 고성능 스위칭 TFTs 를 제작하였다. 최종적으로, 제작된 a-IGZO TFTs 를 SnO2 감지막을 갖는 EGFETs 에 적용함으로써 우수한 감지 특성과 안정성을 갖는 바이오 센서를 제작하였다. -
서론: 최근 전세계적인 고령화 진행에 따른 뇌졸중, 파킨슨병, 알츠하이머병 등과 같은 각종 뇌관련 질환에 대한 관심이 더욱 높아지고 있으며 다양한 뇌질환 치료를 위하여 뇌 신경 신호의 정확한 검출 대한 연구가 학계에서 활발히 진행되고 있다. 효과적인 뇌 신경 신호 검출을 위해서는 세포조직의 손상을 최소화 할 수 있는 초소형 신경탐침 및 극소 면적내에서 극대화된 검출 전극이 구현되어야 한다. 그러나, 극소 면적내에 구성된 소면적 전극을 통한 신호 검출은 전극 계면에서의 높은 임피던스를 야기시켜 정밀한 신경신호 검출에 어려움을 만든다. 따라서, 뇌 신경 신호 검출시 전극 계면에서의 낮은 임피던스를 검출하기 위한 다결정실리콘, 이리듐 산화막, 탄소나노튜브와 같은 다양한 전극 소재를 이용한 신경탐침 연구가 제안되어 왔다. 본 연구에서는 극소화된 전극면적과 신경세포 계면에서의 저 임피던스 신경신호 검출을 위하여 비이온성 계면활성제와 전해도금을 이용하여 높은 거칠기값을 갖는 나노동공 백금층을 검출 전극으로 활용하였다. 실험 결과: 제작된 신경탐침의 몸체는 실리콘으로 이루어지며, 탐침 끝단에는 신호 측정을 위한 나노동공 백금층을 갖는 전극들이 집적되어 있다. Fig. 1 는 제작된 나노동공 백금을 갖는 신경탐침의 이미지 (a), SEM (b), TEM (c), FESEM (d) 측정결과를 보여준다. 0.9 %의 NaCl 용액에서 제작된 신경탐침의 계면임피던스 및 위상각 변화에 대한 측정결과가 Fig. 2에 나타나 있다. 1.2 kHz 주파수에서
$942.6K{\Omega}$ ($0.029{\Omega}cm^2$ ,$3.14{\mu}m^2$ )로 극대화된 실표면적을 갖는 나노동공 백금층에 의하여 매우 낮은 임피던스 특성을 보인 것으로 판단된다. 또한 제작된 신경탐침은 위상각이$-82.9^{\circ}$ 로서 캐패시터와 같은 역할을 하고 있다고 예상할 수 있었으며$4.6mFcm^{-2}$ 의 축전용량값을 보였다. Fig. 3는 1 M의 황산용액에서 나노동공백금층이 형성된 신경탐침 전극과 형성 전의 전기화학적 표면변화를 비교분석한 결과로서 나노동공 백금층의 형성 전/후의 전류응답 특성이 상이하게 나타났다. 나노동공 백금층의 실표면적 극대화로 인한 전류응답수치 또한 크게 향상 되었으며, 0~-0.25 V 영역에서의 수소 흡착에 따른 환원곡선은 전형적인 백금 특성을 보여주는 결과로 판단 할 수 있다. Table 1는 기존에 연구되었던 신경탐침들과 본 연구에서 제작된 나노동공 백금을 갖는 신경탐침의 임피던스와 캐패시턴스 특성을 비교한 결과이다. 결론: 본 연구에서는 실리콘 신경탐침 끝단에 집적된 전극상에 전해도금법을 이용하여 높은 거칠기값을 갖는 나노동공 백금층을 형성하고 전극 계면상의 낮은 임피던스를 검출을 하였다. 나노동공 백금층을 갖는 신경탐침은 순환전압전류법을 통해 극대화된 실표면적을 극대화를 확인할 수 있었으며, 극대화된 검출 전극면은 저 임피던스 측정에 용이함을 실험을 통해서 증명할 수 있었다. 따라서, 높은 거칠기값의 나노동공 백금층은 초소형화된 신경탐침상에 집적되는 전극면적소형화와 다수의 전극 구현에 효과적일 것으로 판단되며 보다 정확한 신경신호 검출을 통한 뇌질환의 명확한 이해에 유망할 것으로 판단된다. -
Eu(III)-doped Y2O3 nanorods were deposited onto a Si substrate using electrostatic spray system. The photoluminescence imaging profiles were compared between the electrospray film and powder form. Using electrostatic spraying technique is very advantageous to generate a uniform monolayer film without much clustering of nanorods. Strong emission peaks were observed between 580 and 730 nm in response to an indirect excitation transition. Our results indicate that the electrospray technique could be very useful for generating thin films for displays and sensors.
-
본 연구에서는 전 세계적으로 활발히 연구되고 있는 나노바이오센서 분야 중 가장 주목을 받고 있는 LSPR 원리를 이용한 바이오센서를 제작하였다. 금속 나노입자의 국소 표면 플라즈몬 공명현상에 의한 주위환경에 민감하게 반응하는 특성은 고감도 광학형 바이오센서, 화학물질 검출 센서등에 응용된다. 특히 금 나노막대와 같은 1차 나노구조물은 나노막대의 주변 환경 변화에 따라 뚜렷한 플라즈몬 흡수 밴드 변화를 나타냄으로 센서로 적용 했을 때 고감도의 측정이 가능하다. 본 연구에서는 다공성인 알루미늄 양극산화 박막 주형틀을 이용하여 다양한 종횡비를 가지는 금 나노막대를 합성하고, 나노막대 어레이 형태의 박막을 제작하였다. 금 나노막대의 합성은 알루미늄 양극산화막을 사용한 주형제조 방법(template method)을 사용하는 전기화학 증착법을 사용하였다. 우선 부도체인 알루미늄 양극 산화막의 한쪽면을 열증착 장비를 사용하여 금을 증착하여 작업 전극(working electrode)을 형성하였다. 백금 선(platinum wire)을 보조 전극(counter electrode)으로 사용하고 Ag/AgCl 전극을 기준 전극(reference electrode)으로 사용하여 삼전극계(three-electrode system)를 형성하였으며, 금 도금 용액(orotemp 24 gold plating solution, TECHNIC INC.)을 사용하여, 800 mV 전압에서 금 나노 막대를 합성하였다. 금 나노막대의 길이는 테플론 챔버를 통과한 전하량 또는 전기 증착 시간에 비례하여 결정된다. 금 나노막대를 성장시킨 알루미늄 양극산화막을 실리콘 웨이퍼에 은 페이스트를 사용하여 고정시킨 후 수산화나트륨 (NaOH)용액을 사용하여 알루미늄 양극산화막을 녹여내어 수직방향으로 정렬되어 있는 나노 막대 어레이 박막을 제조 하였다. 또한 제작된 금 나노막대 어레이의 광학적 특성을 평가하였다. 본 연구에서와 같이 나노막대를 직경방향으로 측정할 경우, 직경방향의 transverse mode만 측정된다. 금 나노 막대가 알루미늄 양극산화막 안에 포함된 상태로 측정된 금 나노로드 어레이 박막의 광 스펙트럼 분포는 금 나노막대의 가시광영역에서의 흡수 스펙트럼을 측정하였을시 직경 및 길이에 따라 transverse mode의
${\lambda}$ max (최대 흡광)의 위치가 변화됨을 나타낸다. 실험 결과를 바탕으로 나노막대의 종횡비가 증가함에 따라 흡수 스펙트럼의 transverse mode${\lambda}$ max가 미약하게 단파장 영역으로 이동하는 것을 확인할 수 있다. 이러한 결과는 원기둥 형태의 금 나노막대의 흡수 스펙트럼에 대한 이론적인 예측과 부합한다. 바이오센서로의 적용 가능성을 확인하기 위하여 자기조립단분자막을 형성하여 항체를 고정하고 CRP에 대한 응답특성을 평가하였다. CRP 항원-항체의 면역반응에 대한 실험 결과 CRP 항원의 농도가 증가함에 따라 넓은 측정범위에서 선형적으로 흡광도가 증가하는 결과를 나타내었으며, CRP 10 fg/ml의 농도까지 검출할 수 있었다. 센서의 선택성을 확인하기 위하여 감지하고자하는 대상물질이 아닌 Tn T 항원을 감지막에 반응시켜 흡광도 변화를 분석하였다. 결과적으로 제작된 센서칩은 선택성을 가지고 측정하고자하는 물질에만 반응함을 확인하였다. 이러한 결과는 다양한 직경을 사용한 부가적인 LSPR현상의 연구에 활용될 수 있을 것이다. -
Various oxide particles are synthesized in an ambient condition using an electron beam irradiation system. The formation of oxide particles is confirmed by FT-IR spectra results and the X-ray photoelectron spectrum. It is also shown that the morphology and size of oxide particles are significantly influenced by the type and flowrate of dilution gas. The energy dispersive X-ray (EDX) analysis also confirms that various oxide particles are synthesized.
-
The characteristics of hybrid conductive films based on multilayer graphene and silver grid have been investigated for the high-performance and flexible organic solar cells. The hybrid conductive films have been prepared on glass and polyethylene terephthalate (PET) substrates using conventional photolithography process and transfer process of graphene. The optical and electrical properties of prepared conductive films show transmittance of 87% at 550nm and sheet resistance of
$28{\Omega}/square$ . The electromechanical properties were also investigated in detail to confirm the flexibility of the hybrid films. OSCs have been fabricated on the hybrid conductive films based on graphene and silver grid on glass substrate. The power conversion efficiency of 2.38%, a fill factor of 51%, an open circuit voltage of 0.58V and a short circuit current of$8.05mA/cm^2$ were obtained from the device on glass substrate. The PCE was enhanced 11% compared with OSCs on the MLG films without silver grid. -
Although electrochemical capacitors (ECs), also known as supercapacitors or ultracapacitors, is one of the most promising energy-storage devices because of its high power density, super-high cycle life, and safe operation. We herein report a synthesis of graphene-based flexible films by kneading method. Thus, a device can be readily made by sandwiching a polymer membrane included ionic liquid electrolytes between two identical graphene-based flexible films. Devices made with these electrodes exhibit ultrahigh energy density values while maintaining the high power density and excellent cycle stability of ECs. Moreover, these ECs maintain excellent electrochemical attributes under high mechanical stress and thus hold promise for high-energy, flexible electronics.
-
Kim, Eun-Do;Cha, Su-Yeong;Mun, Il-Gwon;Hwang, Do-Won;Jo, Seong-Jin;Kim, Chung-Gi;Kim, Jong-Pil;Yun, Jae-Ho 443
CIS 박막을 제조하기 위한 방법으로 셀렌화(selenization)방식, MOCVD방식, 동시진공증발(co-evaporation)방식, 전착(electrodeposition)방식 등이 있으나, 이러한 방식을 이용하여 CuInSe2 박막을 제조하는 경우 어떤 방법으로든 다원화합물의 조성 및 결정성을 조절하기가 매우 어려운 단점이 있었다. 기판의 온도를 일정 온도로 유지하도록 하고, 증발원을 가열하여 이에 내포된 물질(이원화합물 또는 단일원소)을 증발시켜 기판에 증착이 이루어지도록 하거나, 기판의 온도를 승온시키고 구리 이원화합물을 내포한 증발원을 가열해 물질을 증발시켜 기판에 증착이 이루어지도록 하는 방법으로 기판에 박막이 형성되도록 한다. 기판의 대면적화로 인해 균일한 박막의 형성이 어려워지고 있으며, 이중 15% 이상의 고효율을 보인 방법은 3-stage process를 이용한 동시진공증발방식으로, Cu, In, Ga, Se 등의 각 원소를 동시에 진공 증발시키면서 조성을 조절하여 태양전지에 적절한 전기적, 광학적 특성을 가지는 Cu(In,Ga)Se2 (CIGS)박막을 증착시키는 방법이다. 일반적으로, 실험실에서 연구되고 있는 장비의 구조는 증발원이 아래에 장착되어서 상향 증착되는 방식이다. 본 연구에서 사용된 장비는 하향 증발원이 측면에 장착되어서 하향 증착되는 방식으로 구성하였다. 증착되는 면방향으로, 적외선온도계(pyrometer)가 설치된 시창(viewport)의 오염 등으로 인하여, 지속적인 공정이 이루어지기 힘든 점을 개선하여 증착기판의 후면에 적외선 온도계를 설치하여 기판의 온도변화를 감지하여 공정에 반영할 수 있도록 하였다. 본 연구에서는 하향식 진공 증발원, 기판후면 온도모니터링모듈 등을 개발 장착하여, CIGS 박막을 제조하였으며, 버퍼층은 moving 스퍼터링법으로 ZnS를 증착하였고, 투명전극층은 PLD(Pulsed Laser Deposition)를 이용하여 제조하였다. 가장 높은 광변환효율을 보인 Al/ZnO/CdS/Mo/SLG박막시료는 유효면적$0.45cm^2$ 에 광변환효율 15.65 %, Jsc :$33.59mA/cm^2$ , Voc : 0.64 V, FF : 73.09 %를 얻을 수 있었으며, CdS를 ZnS로 대체한 Al/ZnO/ZnS/Mo/SLG박막시료는 유효면적$0.45cm^2$ 에 광변환효율 12.45 %, Jsc :$33.62mA/cm^2$ , Voc : 0.59 V, FF : 62.35 %를 얻을 수 있었다. -
흑연(graphite)과 티타늄(titanum; Ti) 타겟이 양쪽에 부착되어 있는 비대칭 마그네트론 스퍼터링 장치를 이용하여 Ti이 도핑되어진 다이아몬드상 탄소박막(Ti doped Diamond-like carbon, DLC:Ti)을 증착하였다. 흑연과 티타늄 타겟의 파워는 고정하고 기판에 음의 DC 바이어스를 인가하여 DC 바이어스 변화에 따른 DLC:Ti 박막을 증착하였다. 증착되어진 박막의 음의 DC 바이어스의 변화에 따라 변화되어지는 경도와 마찰계수, 표면의 거칠기, 접촉각 등의 물리적 특성들을 분석하였으며, XPS와 라만등의 분석법을 이용하여 박막의 구조적 특성을 분석하였으며, 패시베이션 층을 위한 전기적 특성등을 평가하여 이들 특성들간에 관계를 고찰하였다.
-
중고온 열전재료로서의 응용 가능성이 높은 HMS (higher manganese silicide)는 높은 제백계수, 낮은 전기저항, 높은 산화 저항성뿐만 아니라 구성 원소가 풍부하며 친환경적인 열전재료이다. HMS는 주로 용해/응고법, 단결정 성장법에 의해 합성되지만, 구조적인 불균질성 및 많은 합성 에너지를 소비하는 단점이 있다. 또한 진성 HMS는 비교적 낮은 열전특성을 나타내기 때문에 도핑에 의한 열전특성의 개선이 필요하다. 본 연구에서는 HMS의 한 종류인 MnSi1.73에 Cr을 도핑한 화합물 MnSi1.73:Crx (x=0, 0.005, 0.01, 0.02, 0.03)를 고상반응(solid state reaction)과 진공 열간압축성형(hot pressing)을 통해 제조하였다. XRD와 Rietveld refinement를 통해 상변화 및 상분율을 분석하였고, 323~823 K까지 전기적 및 열적 특성을 측정하여 열전 성능지수(ZT)를 평가하였다.
-
Lee, Dong-Won;Sin, Gyu-In;Kim, Seok-Gwon;Jin, Hyeong-Gon;Lee, Eo-Hwak;Yun, Jae-Seong;Mun, Se-Yeon;Hong, Bong-Geun 452
블랑켓 일차벽이나 디버터와 같은 핵융합로 플라즈마 대향부품은 플라즈마로부터 입사되는 중성자 및 입자들을 차폐하여 구조물을 보호하고, 발생열을 에너지로 변환하기 위해 냉각재를 활용한 열제거 기능을 담당한다. 특히, 고속중성자와 입사 열부하 및 여러 입자들로부터 블랑켓 및 내부 구조물을 보호하기 위해 차폐체와 구조물로 구성된다. 세계적으로 차폐체로서는 텅스텐 혹은 텅스텐 합금, 구조물용 재료로는 저방사화 Ferritic Martensitic (FM) 강이 유력한 후보재료로 개발, 연구 중에 있다. 국내에서는 국제핵융합로(ITER) 사업을 통해 고온등방가압(HIP, Hot Isostatic Pressing)을 이용한 이종금속간 접합기술과 한국형 저방사화 고온구조재료인 ARAA (Advanced Reduced Activation Alloy)가 개발되고 있으며, 이를 활용한 설계, 접합법 개발, 제작목업의 건전성 평가 등이 수행되고 있다. 한국원자력연구원에서는 핵융합 기초사업의 일환으로 전북대와 공동으로 수행 중인 건전성 평가체계 개발을 위해, 기 개발된 접합법을 활용한$45mm(H){\times}45mm(W){\times}2mm(T)$ 의 W/FM강 목업을 제작한 바 있으며, 이를 국내 구축된 고열부하 시험 장비인 KoHLT-EB (Electron Beam)를 활용한 고열부하 인가 건전성 평가시험을 준비 중에 있다. 이종금속간 접합 특성은 기계적 평가를 위한 파괴시험을 통해 검증, 이를 활용한 목업이 제작되었으며, 제작된 목업에 대한 초음파를 이용한 접합면의 비파괴 검사를 통해 결함이 없음을 확인하였다. 최종적으로 실제 사용되는 핵융합 운전조건과 유사 혹은 가혹한 조건에서 고열부하를 인가하여, 그 건전성을 평가가 이루어질 것이다. 고열부하 시험을 위해서는 냉각조건, 인가 열부하, 수명평가를 통한 반복 고열부하 인가 횟수 등이 사전에 결정되어야 한다. 이를 위해 상업용 열수력, 구조해석 코드인 ANSYS-CFX와 -mechanical을 이용한 시험조건 모의 및 수명 평가가 수행되었다. 구축 장비의 냉각계통을 고려하여 냉각수의 온도 및 속도는$25^{\circ}C$ , 0.15 kg/sec로, 열부하는 0.5 및$1.0MW/m^2$ 에 대해 모의를 수행하였다. 정상상태 시 텅스텐의 최대 온도는 각 열부하 조건에 따라$285.3^{\circ}C$ 와$546.8^{\circ}C$ 였으며, 이에 도달하는 시간을 구하기 위해 천이해석을 수행하였고, 이를 통해 30초에 최대온도 95 %이상의 정상상태 온도에 도달함을 확인하였다. 또한, 목업의 초기 온도에 도달하는 냉각시간도 동일한 천이해석을 통해 30초로 가능함을 확인하였고, 최종 시험 조건을 30초 가열, 30초 냉각으로 결정하였다. 결정된 반복 열부하 인가 조건에서 이종금속 접합체가 받는 다른 열팽창 정도에 따른 응력을 계산하여 목업의 수명을 도출하였고, 이를 시험해야 할 반복 횟수로 결정하였다. 각 열부하 조건에 따른 온도조건을 ANSYS-mechanical 코드를 활용하여 열팽창과 이에 따른 접합면의 응력분포로 계산하였다. 0.5 및$1.0MW/m^2$ 에 대해, 목업이 받는 최대 응력은 334.3 MPa와 588.0 MPa 였으며, 이 때 텅스텐과 FM강이 받는 strain을 도출하여 물성치로 알려진 cycle to failure 값을 도출하였다. 열부하에서 예상되는 수명은 0.5 및$1.0MW/m^2$ 에 대해, 100,000 사이클 이상과 2,655 사이클로 계산되었으며, 시간적 제약을 고려 최종 평가는$1.0MW/m^2$ 에 대해, 3,000사이클 정도의 실험을 통해 그 수명까지 접합건전성이 유지되는 지 실험을 통해 평가할 예정이다. -
Silicon microwire array is one of the promising platforms as a means for developing highly efficient solar cells thanks to the enhanced light trapping efficiency. Among the various fabrication methods of microstructures, deep reactive ion etching (DRIE) process has been extensively used in fabrication of high aspect ratio microwire arrays. In this presentation, we show precisely controlled Si microwire arrays by tuning the DRIE process conditions. A periodic microdisk arrays were patterned on 4-inch Si wafer (p-type,
$1{\sim}10{\Omega}cm$ ) using photolithography. After developing the pattern, 150-nm-thick Al was deposited and lifted-off to leave Al microdisk arrays on the starting Si wafer. Periodic Al microdisk arrays (diameter of$2{\mu}m$ and periodic distance of$2{\mu}m$ ) were used as an etch mask. A DRIE process (Tegal 200) is used for anisotropic deep silicon etching at room temperature. During the process,$SF_6$ and$C_4F_8$ gases were used for the etching and surface passivation, respectively. The length and shape of microwire arrays were controlled by etching time and$SF_6/C_4F_8$ ratio. By adjusting$SF_6/C_4F_8$ gas ratio, the shape of Si microwire can be controlled, resulting in the formation of tapered or vertical microwires. After DRIE process, the residual polymer and etching damage on the surface of the microwires were removed using piranha solution ($H_2SO_4:H_2O_2=4:1$ ) followed by thermal oxidation ($900^{\circ}C$ , 40 min). The oxide layer formed through the thermal oxidation was etched by diluted hydrofluoric acid (1 wt% HF). The surface morphology of a Si microwire arrays was characterized by field-emission scanning electron microscopy (FE-SEM, Hitachi S-4800). Optical reflection measurements were performed over 300~1100 nm wavelengths using a UV-Vis/NIR spectrophotometer (Cary 5000, Agilent) in which a 60 mm integrating sphere (Labsphere) is equipped to account for total light (diffuse and specular) reflected from the samples. The total reflection by the microwire arrays sample was reduced from 20 % to 10 % of the incident light over the visible region when the length of the microwire was increased from$10{\mu}m$ to$30{\mu}m$ . -
오존발생방법은 다양한 방식으로 구현이 가능하나 대용량 장치를 만들기 위해서는 DBD (Dielectric barrier discharge) 구조의 형태의 가지고 있다. 이러한, DBD는 반도체의 MOS (Metal On Semiconductor)의 반대 구조를 가진 SOM (Semiconductor On Metal)의 형태를 가지고 있으며 대부분이 Oxidation 산화물을 가지고 구현한다. 오존발생기는 반도체 공정, 환경 및 정화 등 다양한 분야에 사용이 되고 있는 상황으로 성능개선을 위한 연구가 필요한 상황이다. 대표적으로 사용되는 물질인
$SiO_2$ 를 가지고 있는 상황이며 Silicon은 에너지 Bandgap이 1.1 eV로 금속위에 증착되어 통상적으로 사용되는 문턱전압은 0.7 V에 해당이 된다. 현재 점차적으로 연구가 진행되고 있는$Al_2O_3$ 는 8.8 eV의 bandgap을 가지고 있으며 유전 상수가 9로$SiO_2$ 인 3.9보다 높은 유전률 특징을 가지고 있다. 따라서, 본 연구는 오존 발생장치에 사용되는 방전관을 기존의$SiO_2$ 에서$Al_2O_3$ 방식으로 대체하므로써 실제적인 유전율의 값의 차이와 오존 발생시 오존변화율 증대에 관하여 연구하였다.$SiO_2$ 방전관은 Fe 메탈위에 약 3 mm정도의 두께를 binding시켜 N4L사의 PSM1700 모델 LCR meter를 사용하여 1.3 kHz시 7.2 pF의 유전율 확인 할 수 있으며 동일한 조건의 금속 메탈위에$Al_2O_3$ 를 binding 시켜 측정한 결과 1.07 kHz시 10.7 pF의 유전율을 가지게 되어 40% 이상 높은 유전율을 가지게 되는 것을 확인 할 수 있다. 오존발생을 위하여 가변 주파수형 트랜스 드라이버를 통한 공진 주파수를 생성하여 방전 증폭을 위한 Amplifier를 통하여 변환률을 높이는 방식을 적용하여 MIDAC사의 I1801모델 적외선 분광기(FT-IR)를 통한 오존발생량을 측정하여 기존의$SiO_2$ 의 방전관은 시간당 54 g의 오존 발생률 가지게 된다.$Al_2O_3$ 는 시간당 70 g 정도의 오존 발생률 가지므로 기존의$SiO_2$ 보다 발생률 높은 것을 확인 할 수 있다. -
Organic photovoltaic cells (OPV) have been extensively studied due to their unique properties such as flexibility, light-weight, easy processability, cost-effectiveness, and being environmental friendly. These advantages make them an attractive candidate for application in various novel fields and promising development with new features. Photovoltaic cell-integrated textiles have greatly attractive features as a power source for the smart textile solutions, and OPV is most ideal form factor due to advantage of flexibility. In this study, we develop a textile-based OPV through various experimental methods and we suggest the direction for the design of the photovoltaic textile. We used a textile electrode and tried to various layouts for textile-based OPV. Finally, we determined the contact area by using Hertzian theory for the calculation of power conversion efficiency (PCE). Based on the results of calculation, the short circuit current density, Isc, was
$13.11mA/cm^2$ under AM 1.5condition and the PCE was around 2.5%. -
The effect of rear passivation for passivated emitter and rear cell (PERC) using ozone and H2O oxidant of atomic layer deposited (ALD) Al2O3 was studied by post-annealing in N2 and forming gas ambients. Rear surface of PERC solar cell was passivated by Al2O3 grown by ALD with ozone and H2O oxidant. Al2O3 grown by ALD with ozone oxidant has been known to have many advantages, such as lower interface defects, low leakage current density. Its passivation quality is better than Al2O3 with H2O. Al2O3 layer with 10 nm and 20 nm thickness was grown at
$150^{\circ}C$ with ozone oxidant and at$250^{\circ}C$ with H2O oxidant. And then each samples were post-annealled at$450^{\circ}C$ in N2 ambients and at$850^{\circ}C$ in forming gas ambients. The passivation quality was investigated by measuring the minority carrier lifetime respectively. We examined atomic layer deposited Al2O3 such as growth rate, film density, thickness, negative fixed charge density at AlOx/Si interface, and reflectance. The influences of process temperature and heat treatment were investigated using Sinton (WCT-120) by Quasi-Steady State Photoconductance (QSSPC) mode. Ozone-based ALD Al2O3 film shows the best carrier lifetime at lower deposition temperature than H2O-based ALD. -
Dye-sensitized solar cells (DSSCs) have generated a strong interest in the development of solid-state devices owing to their low cost and simple preparation procedures. Effort has been devoted to the study of electrolytes that allow light-to-electrical power conversion for DSSC applications. Several attempts have been made to substitute the liquid electrolyte in the original solar cells by using (2,2',7,7'-tetrakis (N,N-di-p-methoxyphenylamine)-9-9'-spirobi-fluorene (spiro-OMeTAD) that act as hole conductor [1]. Although efficiencies above 3% have been reached by several groups, here the major challenging is limited photoelectrode thickness (
$2{\mu}m$ ), which is very low due to electron diffusion length (Ln) for spiro-OMeTAD ($4.4{\mu}m$ ) [2]. In principle, the$TiO_2$ layer can be thicker than had been thought previously. This has important implications for the design of high-efficiency solid-state DSSCs. In the present study, we have fabricated 3-D Transparent Conducting Oxide (TCO) by growing tin-doped indium oxide (ITO) nanowire (NWs) arrays via a vapor transport method [3] and mesoporous$TiO_2$ nanoparticle (NP)-based photoelectrodes were prepared using doctor blade method. Finally optimized light-harvesting solid-state DSSCs is made using 3-D TCO where electron life time is controlled the recombination rate through fast charge collection and also ITO NWs length can be controlled in the range of over$2{\mu}m$ and has been characterized using field emission scanning electron microscopy (FE-SEM). Structural analyses by high-resolution transmission electron microscopy (HRTEM) and X-Ray diffraction (XRD) results reveal that the ITO NWs formed single crystal oriented [100] direction. Also to compare the charge collection properties of conventional NPs based solid-state DSSCs with ITO NWs based solid-state DSSCs, we have studied intensity modulated photovoltage spectroscopy (IMVS), intensity modulated photocurrent spectroscopy (IMPS) and transient open circuit voltages. As a result, above$4{\mu}m$ thick ITO NWs based photoelectrodes with Z907 dye shown the best performing device, exhibiting a short-circuit current density of 7.21 mA cm-2 under simulated solar emission of 100 mW cm-2 associated with an overall power conversion efficiency of 2.80 %. Finally, we achieved the efficiency of 7.5% by applying a CH3NH3PbI3 perovskite sensitizer. -
증식블랑켓모듈(TBM, Test Blanket Module)을 개발하여 왔다. 이 두 증식블랑켓모듈은 모두 헬륨냉각을 기반으로 개발 되어왔으며 이에 따라, 헬륨순환기, 헬륨히터 및 헬륨열교환기 등에 대한 기본적인 연구가 수행되었다. 이후 2012년 고체형 증식블랑켓모듈을 ITER TBM 개념으로 주도하기로 결정함에 따라, HCCR (Helium Cooled Ceramic Reflector) TBM의 보조계통인 하나인 헬륨냉각계통(HCS, Helium Cooling System)에 대한 개발이 본격적으로 이루어졌다. 한국원자력연구원에서는 HCCR TBM의 냉각성능을 만족하기 위하여 8 MPa, 1.5 kg/s 및
$300/500^{\circ}C$ (입구/출구 온도)의 운전조건을 갖는 헬륨냉각계통의 설계를 완료하였다. 설계된 헬륨냉각계통은 HCCR TBM에서 회수된 약$450^{\circ}C$ 의 헬륨을 열회수기(recuperator)기와 냉각기를 통해 상온으로 냉각시킨 후, 필터를 통해 헬륨을 여과시킨다. 여과된 헬륨은 헬륨순환기에 의해 가압되어 열회수기를 다시 지나$300^{\circ}C$ 이상으로 가열된다. 가열된 헬륨은 열회수기를 지나지 않는 상온의 헬륨과 혼합되어 최종적으로 HCCR TBM의 입구온도 조건인$300^{\circ}C$ 로 맞추어 HCCR TBM에 공급된다. 이러한 열회수기 중심으로 '${\infty}$ ' 모양의 자가 교차로 설계된 헬륨냉각계통은 고온영역과 저온영역으로 냉각회로를 구분하여 순환기, 필터 및 각종 계측기의 운전온도 환경을 상온으로 유지시킬 수 있어 운전 및 유지보수 관점에서 이점이 있다. HCCR TBM의 헬륨냉각계통 설계 및 핵심 기기를 실증하고, 운전 경험을 쌓기 위하여 헬륨공급장치(HeSS, Helium Supply System)를 헬륨유량기준 1/3 규모(0.5 kg/s)로 구축하였으며, '14년까지 HeSS를 실증규모로 업그레이드 하기 위하여 80기압 환경에서 압축비 1.1, 유량 1.5 kg/s의 성능을 내는 헬륨순환기를 설치할 예정이다. 현재 구축된 1/3 규모 HeSS는 국내 구축된 전자빔 고열부하 시험 장비인 KoHLT-EB (Electron Beam)와 연계되어 HCCR TBM의 일차벽(플라즈마 대향부품)을 검증할 예정이며, 이를 통해 얻어진 열수력 DB는 현재 개발중인 핵융합로 안전해석코드인 GAMMA-FR 검증에 활용될 계획이다. -
Kim, Seok-Gwon;Jin, Hyeong-Gon;Sin, Gyu-In;Lee, Eo-Hwak;Yun, Jae-Seong;Lee, Dong-Won;Jo, Seung-Yeon 468
우리나라는 미국, EU, 러시아, 중국, 인도 등 다국간 협력 사업인 국제핵융합실험로(ITER) 사업에 참여하고 있으며, 블랑켓 일차벽 및 시험용 블랑켓 모듈(Test Blanket Module, TBM) 제작기술 개발에 필요한 고열부하 검증시험을 국내에서 자체적으로 실시하기 위한 고열부하시험 시설을 구축하였다. 한국원자력연구원에 설치된 고열부하시험 시설의 주요 사양은 다음과 같다. 열원으로는 전자빔을 사용하며, 빔출력은 최대 300 kW이고, 최대 출력밀도는$10GW/m^2$ 이다. 전자빔의 최대 가속전압은 60 kV이고, 최대 조사 면적은 설계상$70cm{\times}50cm$ 이다. 고열부하 장비는 핵융합환경과 유사한 고열부하를 시험대상물에 인가하여 접합 및 냉각 성능을 평가하는 장비이며, ITER 블랑켓 및 TBM 일차벽의 경우 약$0.5MW/m^2$ , 가속실험 혹은 사고 시 순간 시나리오 해석을 위해서$5MW/m^2$ 까지 고려되기도 한다. ITER 블랑켓 일차벽 제작기술 개발 및 검증(2004~2011)에서는 외국장비(러시아 TSEFEY, 일본 JEBIS, 독일 JUDITH)를 활용하였으나, 고비용 문제와 장비 이용 시간의 제한에 따라 사용이 어려워, 국내에서는 KoHLT-1, 2 장비를 자체 구축하여 활용하여 왔다. 현재는 높은 열부하 인가조건, 약$5MW/m^2$ 을 달성하기 위해서 전자빔을 이용한 고열부하시험 장치를 마련하였으며, ITER 블랑켓 일차벽 Semi-Prototype 검증시험, TBM, KSTAR 디버터 실험 등 핵융합로 일차벽 개발에 활용하고 있다. 전자빔, 전원 및 진공 chamber 등 전체 고열부하 시험장치를 구축하여 ITER 장치를 포함해서 토카막 디버터 등 핵융합 플라즈마 대면부품 (Plasma Facing Components, PFC) 재료 개발과 국방, 항공우주 분야의 열유속 게이지 측정법 향상 연구, 로켓 추진 엔진 연소실의 열유속 모니터링 연구, 항공기 프로펠러 연구 등에 활용할 수 있을 것으로 기대된다. -
Boron-rich Layer (BRL) 는 결정질 실리콘 태양전지를 제작하는 과정 중 보론 확산 공정 시 형성된다. 본 연구에서는, n-type 실리콘 태양전지에서 BRL의 구조적, 광학적, 전기적 특성을 조사하였다. 보론 에미터는 튜브 형식의 열처리 로에서
$950^{\circ}C$ 의 온도 하에서 BBr3 액상 소스를 이용하여 형성하였다. BRL은 비정질 상을 보였고,$1023atoms/cm^3$ 이 넘는 보론 농도를 나타내었다. BRL은 보론, 실리콘, 산소로 구성되었고, 산소는 비정질 상 형성의 원인으로 추정되고 있다. BRL은 1.5~2.0의 굴절률을 나타내었고,$0.8m{\Omega}{\cdot}cm^2$ 의 접촉 저항을 보였다. -
Dye sensitized solar cells (DSSCs) are regarded as potential inexpensive alternatives to conventional solid-state devices. The flexible version, employing conductive-plastic-film substrates, is appealing for commercialization of DSSCs because it not only reduces the weight and cost of the device but also extends their applications. However, the need for high temperature does not permit the use of plastic-film substrate. So, development of low-temperature methods is therefore realization of flexible DSSCs. In this work, the electrophoretic deposition combined with hydrothermal treatment was employed to prepare nanocrystalline
$TiO_2$ thin film at low temperature. We confirmed the prepared$TiO_2$ thin films with different voltages and deposition times in the electrophoretic deposition process. Properties of the$TiO_2$ films were investigated by various analysis method such as X-ray diffraction, field emission scanning electron microscopy (FESEM) and UV-visible spectrophotometer. -
서론: 저 전력 소모를 필요로 하는 무선 센서 네트워크 관련 기술의 급격한 발달과 함께 자체 전력 수급을 위한 진동 에너지 수확 기술에 대한 연구가 활발히 이루어지고 있다. 다양한 구조와 소재를 압전 외팔보에 적용하여 제안하고 있다. 그 중에서도 진동 기반의 에너지 수확 소자는 주변 환경에서 쉽게 진동을 얻을 수 있고, 높은 에너지 밀도와 제작 방법이 간단하다는 장점을 가지고 있어 많은 분야에 응용 및 적용 가능하다. 기존 연구에서는 2차원적으로 진동 에너지 수확을 위한 휜 구조의 압전 외팔보를 제안 하였다. 휜 구조를 갖는 압전 외팔보는 각각의 짧은 두 개의 평평한 외팔보가 일렬로 연결된 것으로 볼 수 있다. 하나의 짧고 평평한 외팔보는 진동이 가해지면 접선 방향으로 응력이 생겨 최대 휨 모멘텀을 갖게 된다. 그러므로 휜 구조를 갖는 외팔보는 진동이 인가됨에 따라 길이 방향과 수직 방향으로 진동한다. 하지만, 이 구조는 수평 방향으로 가해지는 진동에 대한 에너지를 수확하기에는 한계점을 가진다. 즉, 3축 방향에서 임의의 방향에서 진동 에너지를 수확하기는 어렵다. 본 연구에서는 3축 방향에서 에너지를 효율적으로 수확할 수 있도록 헤어-셀 구조의 압전 외팔보 에너지 수확소자를 제안한다. 제안된 소자는 길이 방향과 수직 방향뿐만 아니라 수평 방향으로도 진동하여 임의의 방향에서 진동 에너지를 수확할 수 있다. 구성 및 공정: 제안하는 소자는 3축 방향에서 임의의 진동을 수확하기 위해서 길이를 길게 늘이고 길이 방향을 따라 휘어지는 구조의 헤어-셀 구조로 제작하였다. 외팔보의 구조는 외팔보의 폭 대비 길이의 비가 충분히 클 때, 추가적인 자유도를 얻을 수 있다. 그러므로 헤어-셀 구조의 에너지 수확 소자는 기본적인 길이 방향, 수직방향 그리고 수평방향에 더불어 추가적으로 뒤틀리는 방향을 통해서 3차원적으로 임의의 주변 진동 에너지를 수확하여 전기적인 에너지로 생성시킬 수 있다. 제작된 소자는 높은 종횡비를 갖는 무게 추(
$500{\times}15{\times}22{\mu}m3$ )와 길이 방향으로 길게 휜 압전 외팔보($1000{\times}15{\times}1.7{\mu}m3$ )로 구성되어있다. 공정 과정은 다음과 같다. 먼저, 실리콘 웨이퍼 위에 탄성층을 형성하기 위해 LPCVD SiNx를$0.8{\mu}m$ 와 LTO$0.2{\mu}m$ 를 증착 후, 각각$0.03{\mu}m$ 과$0.12{\mu}m$ 의 두께를 갖는 Ti와 Pt을 하부 전극으로 스퍼터링한다. 그리고 Pb(Zr0.52Ti0.48)O3 박막을$0.35{\mu}m$ 두께로 졸겔법을 이용하여 증착하고 상부 Pt층을 두께$0.1{\mu}m$ 로 순차적으로 스퍼터링하여 형성한다. 상/하부 전극은 ICP(Inductively Coupled Plasma)를 이용해 건식 식각으로 패턴을 형성한다. PZT 층과 무게 추 사이의 보호막을 씌우기 위해$0.2{\mu}m$ 의 Si3N4 박막이 PECVD 공정법으로 증착되고, RIE로 패턴을 형성된다. Ti/Au ($0.03/0 .35{\mu}m$ )이 E-beam으로 증착되고 lift-off를 통해서 패턴을 형성함으로써 전극 본딩을 위한 패드를 만든다. 초반에 형성한 실리콘 웨이퍼 위의 SiNx/LTO 층은 RIE로 외팔보 구조를 형성한다. 이후에 진행될 도금 공정을 위해서 희생층으로는 감광액이 사용되고, 씨드층으로는 Ti/Cu ($0.03/0.15{\mu}m$ ) 박막이 스퍼터링 된다. 도금 형성층을 위해 감광액을 패턴화하고, Ni0.8Fe0.2 ($22{\mu}m$ )층으로 도금함으로써 외팔보 끝에 무게 추를 만든다. 마지막으로, 압전 외팔보 소자는 XeF2 식각법을 통해 제작된다. 제작된 소자는 소자의 여러 층 사이의 고유한 응력 차에 의해 휨 변형이 생긴다. 실험 방법 및 측정 결과: 제작된 소자의 성능을 확인하기 위하여 일정한 가속도 50 m/s2로 3축 방향에 따라 입력 주파수를 변화시키면서 출력 전압을 측정하였다. 먼저, 소자의 기본적인 공진 주파수를 얻기 위하여 수직 방향으로 진동을 인가하여 주파수를 변화시켰다. 그 때에 공진 주파수는 116 Hz를 가지며, 최대 출력 전압은 15 mV로 측정되었다. 3축 방향에서 진동 에너지 수확이 가능하다는 것을 확인하기 위하여 제작된 소자를 길이 방향과 수평 방향으로 가진기에 장착한 후, 기본 공진 주파수에서의 출력 전압을 측정하였다. 진동이 길이방향으로 가해졌을 때에는 33 mV, 수평방향으로 진동이 인가되는 경우에는 10 mV의 최대 출력 전압을 갖는다. 제안하는 소자가 수 mV의 적은 전압은 출력해내더라도 소자는 진동이 인가되는 각도에 영향 받지 않고, 3축 방향에서 진동 에너지를 수확하여 전기에너지로 얻을 수 있다. 결론: 제안된 소자는 3축 방향에서 진동 에너지를 수확할 수 있는 에너지 수확 소자를 제안하였다. 외팔보의 구조를 헤어-셀 구조로 길고 휘어지게 제작함으로써 기본적인 길이 방향, 수직방향 그리고 수평방향에 더불어 추가적으로 뒤틀리는 방향에서 출력 전압을 얻을 수 있다. 미소 전력원으로 실용적인 사용을 위해서 무게추가 더 무거워지고, PZT 박막이 더 두꺼워진다면 소자의 성능이 향상되어 높은 출력 전압을 얻을 수 있을 것이라 기대한다. -
Son, Gyeong-Tae;Kim, Min-Yeong;Kim, Gi-Rim;Kim, Jong-Wan;Sin, Jun-Cheol;Jo, Seong-Hui;Im, Dong-Geon 486
CIGS 박막태양 전지는 I-III-VI2 Chalcopyrite 결정구조를 가진 화합물 반도체 태양전지로 인위적인 밴드갭 조작이 용이하여 효율 향상에 높은 가능성을 보이고 있다. 4원소 화합물인 CIGS 광흡수층의 대표적인 제조 방법으로는 co-evaporation 공정법이 있다. 동시 증발법은 CIGS 결정을 최적화하기 위하여 박막이 증착되는 동안 기판의 온도를 3단계로 변화시켜주는 3-stage 공정을 통하여 제작된다. 일반적으로 CIGS 박막태양전지는 전면전극으로 투명전도막이 사용되며 높은 광투과성과 전기전도성을 가져야 한다. 투명전도막의 광학적, 전기적 특성은 CIGS 박막태양전지의 효율에 영향을 미치기 때문에 최적화된 조건이 요구된다. 본 연구에서는 CIGS 광흡수층은 Ga/(In+Ga)=0.31, Cu/(In+Ga)=0.86으로 최적화 시켰으며, 투명전도막은 Al이 도핑된 ZnO 박막을 RF 마그네트론 스퍼터링법을 이용하여 증착하였다. ZnO:Al 박막의 두께를 가변하여 증착하였으며 박막의 특성을 평가하고, CIGS 광흡수층에 이를 적용함으로써 태양전지 변환효율 특성을 연구하였다. CIGS 박막 태양전지의 투명전극인 ZnO:Al 박막의 두께가 500 nm 일 때, Jsc=29.521 mA/cm2, Voc=564 mV, FF factor=71.116%, Efficiency=12.375%의 광 변환효율을 얻을 수 있었으며, 이에 따른 투명 전도막의 전기적, 광학적 특성을 통해 CIGS 박막태양전지에 미치는 영향에 대해 조사하였다. -
On account of the good conductivity and optical properties, TCO is generally used in silicon heterojunction solar cell since the emitter material, hydrogenated amorphous silicon (a-Si:H), of the solar cell has low conductivity compare to the emitter of crystalline silicon solar cell. However, the work function mismatch between TCO layer and emitter leads to band-offset and interfere the injection of photo-generated carriers. In this study, work function engineering of TCO by oxygen reactive sputtering method was carried out to identify the trend of band-offset change. The open circuit voltage and short circuit current are noticeably changed by work function that effected from variation of oxygen ratio.
-
The manufacturing cost of thin-film photovoltics can potentially be lowered by minimizing the amount of a semiconductor material used to fabricate devices. Thin-film solar cells are typically only a few micrometers thick, whereas crystalline silicon (c-Si) wafer solar cells are
$180{\sim}300\mu}m$ thick. As such, thin-film layers do not fully absorb incident light and their energy conversion efficiency is lower compared with that of c-Si wafer solar cells. Therefore, effective light trapping is required to realize commercially viable thin-film cells, particularly for indirect-band-gap semiconductors such as c-Si. An emerging method for light trapping in thin film solar cells is the use of metallic nanostructures that support surface plasmons. Plasmon-enhanced light absorption is shown to increase the cell photocurrent in many types of solar cells, specifically, in c-Si thin-film solar cells and in poly-Si thin film solar cell. By proper engineering of these structures, light can be concentrated and coupled into a thin semiconductor layer to increase light absorption. In many cases, silver (Ag) nanoparticles (NP) are formed either on the front surface or on the rear surface on the cells. In case of poly-Si thin film solar cells, Ag NPs are formed on the rear surface of the cells due to longer wavelengths are not perfectly absorbed in the active layer on the first path. In our cells, shorter wavelengths typically 300~500 nm are also not effectively absorbed. For this reason, a new concept of plasmonic nanostructure which is NPs formed both the front - and the rear - surface is worth testing. In this simulation Al NPs were located onto glass because Al has much lower parasitic absorption than other metal NPs. In case of Ag NP, it features parasitic absorption in the optical frequency range. On the other hand, Al NP, which is non-resonant metal NP, is characterized with a higher density of conduction electrons, resulting in highly negative dielectric permittivity. It makes them more suitable for the forward scattering configuration. In addition to this, Ag NP is located on the rear surface of the cell. Ag NPs showed good performance enhancement when they are located on the rear surface of our cells. In this simulation, Al NPs are located on glass and Ag NP is located on the rear Si surface. The structure for the simulation is shown in figure 1. Figure 2 shows FDTD-simulated absorption graphs of the proposed and reference structures. In the simulation, the front of the cell has Al NPs with 70 nm radius and 12.5% coverage; and the rear of the cell has Ag NPs with 157 nm in radius and 41.5% coverage. Such a structure shows better light absorption in 300~550 nm than that of the reference cell without any NPs and the structure with Ag NP on rear only. Therefore, it can be expected that enhanced light absorption of the structure with Al NP on front at 300~550 nm can contribute to the photocurrent enhancement. -
Due to their excellent optical and electrochemical properties, conjugated polymers have attracted much attention over the last two decades and employed to opto-electrical devices. In particular, conjugated polymers possess many attractive features that make them suitable for a variety of sensing task. For example, their delocalized electronic structures can be strongly modified by varying the surrounding environment, which significantly affected molecular energy level. In other word, conjugated polymers can detect and transduce the environmental information into a fluorescence signal. Conjugated polymers also display amplified quenching compared to small molecule counterparts. This amplified fluorescence quenching is attributed to the delocalization and migration of the excitons along the conjugated polymer backbones. Long backbones of conjugated polymer provide the transporting path for electron as a conduit, allowing that excitons migrate rapidly into quencher site along the backbone. This is often referred to as the molecular wire effect or antenna effect. Moreover, structures of conjugated polymers can be easily tailored to adjust solubility, absorption/emission properties, and regulation of electron/energy transfer. Based on this versatility, conjugated polymers have been utilized to many novel sensory platforms as a promising material. In this tutorial, I will highlight a variety of fluorescence sensors base on conjugated polymer and explain their sensory mechanism together with selected examples from reference literatures.
-
최근 생활 수준이 향상되면서 보다 쾌적하고, 안전하고, 편안하고, 행복한 삶을 위해 주변 환경 모니터링 기술에 대한 관심이 더욱 증대되고 있는 실정이다. 환경모니터링을 위해서는 다양한 고기능 화학센서들이 필요하며, 이에 대한 연구 또한 매우 활발히 진행되고 있다. 특히, 이러한 고기능 화학센서들은 높은 수준의 감도, 선택성, 안정성 등을 요구받고 있어, 이에 부응하기 위한 연구가 지속적으로 필요한 상황이다. 또한, 더욱 초소형화, 저전력화, 고집적화도 에너지 효율 향상과 휴대 기능을 위해 추가적으로 요구되고 있다. 이러한 차세대의 고기능 환경센서 개발을 구현하기 위해서는 여러가지 요소기술이 필요하며, 그 중 마이크로 공정 기술, 나노 소재와 공정 기술이 요소 기술 중 핵심적인 기술로 부각되고 있다고 할 수 있다. 본 발표에서는 최근 이슈가 되고 있는 환경문제에 대하여 언급하고, 환경을 실시간 모니터링하기 위하여 최신 마이크로/나노 기술을 활용한 화학센서의 연구사례를 가스센서와 수질센서로 크게 나누어 살펴보고, 향후 연구 방향에 대하여 논의하고자 한다.
-
We have developed and commercialize a time-of-flight - medium energy ion scattering spectrometry (TOF-MEIS) system (model MEIS-K120). MEIS-K120 adapted a large solid acceptance angle detector that results in high collection efficiency, minimized ion beam damage while maintaining a similar energy resolution. In addition, TOF analyzer regards neutrals same to ions which removes the ion neutralization problems in absolute quantitative analysis. A TOF-MEIS system achieves
$7{\times}10^{-3}$ energy resolution by utilizing a pulsed ion beam with a pulse width 350 ps and a TOF delay-line-detector with a time resolution of about 85 ps. TOF-MEIS spectra were obtained using 100 keV$He^+$ ions with an ion beam diameter of$10{\mu}m$ with ion dose$1{\times}10^{16}$ in ordinary experimental condition. Among TOF-MEIS applications, we report the quantitative compositional profiling of 3~5 nm CdSe/ZnS QDs, As depth profile and substitutional As ratio of As implanted/annealed Si, Ionic Critical Dimension (CD) for FinFET, Direct Recoil (DR) analysis of hydrogen in diamond like carbon (DLC) and InxGayZnzOn on glass substrate. -
다양한 산업분야에서의 급격한 Packing Density 증가 추세로 인하여 영상분석기기 분야도 기술적으로 매우 진보되어왔다. 특히 전자현미경(SEM : Scanning Electron Microscope)은 반도체, 디스플레이 및 부품 소재의 고집적화와 더불어 기술의 발전 속도가 빠르게 이루어지고 있으며, 매우 고도화 되고 있다. 이에 따른 다양한 응용분야에서 전자현미경의 수요도 꾸준히 증가되고 있는 추세이다. 그러나 기초 과학기술을 기반으로 하는 전자현미경 산업분야는 높아진 국내 수요대비 자체 기술 발전이 미약한 실정이다. 이러한 현실속에서 국내 기술력으로 FE-SEM을 개발하였고 상용화를 눈앞에 두고 있다. 국산화된 FE-SEM은 Outer Lens방식으로 Schottky cathode와 60o conical Lens를 적용하여 고분해능을 구현함과 동시에 Scan generator, Auto-stepping및 Retarding 기능들도 추가 장착하여 제품경쟁력을 극대화 하고자 하였다. 본 발표는 개발된 FE-SEM의 기술적 특징과 개발 과정 및 결과를 소개하고자 하였다. 또한 해외 경쟁사들의 선행 기술동향 대비 현재 국내 기술 수준을 비교하여 향후 나아갈 방향을 고찰하고자 하였으며, 이를 기반으로 진행 중에 있는 초 저-가속전압 및 Semi In-Lens Optic구현을 위한 국내 기술개발 추진 현황도 간략하게 논하고자 한다. 이러한 고찰을 통하여 해외 선진 경쟁사 대비 후 발업체로써 낙후되어있는 국내기술의 격차를 빠르게 좁혀 나아가고 Global 경쟁력을 갖춘 제품을 구현하기 위하여 국내 전문가들과의 협력을 통한 선행 요소기술 및 차별화된 제품기술 확보 방법을 강구하고자 한다.
-
제품의 고성능 사양을 위해 초미소 크기(Nano Size)의 구조를 갖는 제품들이 일상에서 자주 등장한다. 대표 제품은 주변에서 쉽게 접할 수 있는 전자제품의 반도체 칩이다. 반도체 칩 소자 구조는 크기를 줄이는 것 외에도 적층을 통해 소자의 집적도를 높이는 방향으로 진화를 하고 있다. 복잡한 구조로 인해 발생되는 여러 반도체 결함 중에 TSV 결함은 현재 진화하는 반도체 칩의 구조를 대변하는 대표 결함이다. 이 결함을 효율적으로 검출하고 다루기 위해서는 초미소 크기(Nano Size)의 결함을 비파괴적인 방법으로 가시화하고 분석하는 장비가 필요하다. X-ray 시스템은 이러한 요구를 해결하는 훌룡한 한 방법이다. 이 논문에서는 X-ray 시스템의 구성 및 위의 TSV 결함을 검출하고 분석하기 위한 시스템의 특징에 대해 설명을 한다. X-ray 시스템은 크게 X선을 발생시키는 X선튜브와 대상 물체를 투과한 X선을 영상화하는 디텍터, 대상물체의 영상화를 위해 물체를 적절하게 구동시키는 이동장치로 구성되어 있다. 초미소크기(Nano Size)의 결함 검출을 위해서는 X선 튜브, 디텍터, 이동장치에 요구되는 사양의 복잡도, 정밀도는 이러한 시스템의 개발을 어렵게 만든다. 이 논문에서는 이러한 시스템을 개발 시에 시스템 핵심 요소의 특징을 분석한다.
-
잔류가스측정 질량분석기(RGA)는 진공챔버 내부의 진공상태 이상유무, 공정상태 확인 및 주입가스 농도제어 등 여러 종류의 작업에 응용되고 있다. 반도체용 박막 제조공정(PVD, CVD)에서 챔버 내의 수분 혹은 불순물 가스의 정확한 모니터링은 반도체 품질향상에 매우 중요하다. 1 Pascal 진공도의 증착용 챔버에 RGA를 직접 장착하여 작동시키기 위해서는 저진공용 RGA가 사용되어야 한다. 10-3 Pascal에서 6m 자유운동거리를 갖는 질소분자는 1 Pascal에서는 6 mm로 짧은 자유운동거리를 갖는다. 따라서 1 Pascal 저진공영역에서 이온을 생성시키고 mass filter를 사용하여 질량분석을 하기 위해서는 이온원과 mass filter 길이가 자유운동거리 수준으로 작아져야한다. 저 진공영역에서는 검출기와 전자방출용 필라멘트가 저진공에서 작동되도록 일반고진공용 RGA와는 완전히 다르게 소형으로 설계 제작되어야 한다. 10-7 Pascal 이상의 초고진공에서 사용되는 RGA는 이온원이 작동할 때 발생하는 outgassing을 낮추도록 설계가 되어야 초고진공의 유지가 가능하다. 한국표준과학연구원에서 현재 개발 중인 일반고진공용 RGA를 소개하고 저진공용과 초고진공용 RGA의 설계특성을 발표한다.
-
Surface-enhanced Raman scattering (SERS) has long been projected as a powerful analytical technique for chemical and biological sensing applications. Pairing with portable Raman spectrometers makes the technique extremely appealing as real-time sensors for field application. However, the lack of reliable, uniform, low cost and ease-of-use SERS enhancement structures has prevented the wide adoption of this technique for general applications. We have discovered a novel hybrid structure based on the high-density and uniform arrays of gold nanofingers over a large surface area for SERS applications. The nanofingers are flexible and their tips can be brought together to trap molecules to mimic the biological system. We report here a rapid, simple, low-cost, and sensitive method of detecting trace level of food contaminants by using nanofinger chips based on portable SERS technique. We also present here the characterization of surface reaction of target molecules with our gold nanofinger substrates and the effect of nanofinger closing towards SERS performance. This new type of nano-structures can potentially revolutionize the medical and biologic research by providing a novel way to capture, localize, manipulate, and interrogate biological molecules with unprecedented capabilities.
-
Heat is a familiar form of energy transported from a hot side to a colder side of an object, but not a notion associated with microscopic measurements of electronic properties. A temperature difference within a material causes charge carriers, electrons or holes, to diffuse along the temperature gradient inducing a thermoelectric voltage. Here we show that local thermoelectric measurements can yield high sensitivity imaging of structural disorder on the atomic and nanometre scales. Using this imaging technique, we discovered a defect-mediated dimensional evolution of strain-response patterns in epitaxial graphene with increasing thickness.
-
Copper is considered to be the most promising substrate for the growth of high-quality and large area graphene by chemical vapor deposition (CVD), in particular, on the (111) facet. Because the interactions between graphene and Cu substrates influence the orientation, quality, and properties of the synthesized graphene, we studied the interactions using angle-resolved photoemission spectroscopy. The evolution of both the Shockley surface state of the Cu(111) and the p band of the graphene was measured from the initial stage of CVD growth to the formation of a monolayer. Graphene growth was initiated along the Cu(111) lattice, where the Dirac band crossed the Fermi energy (
$E_F$ ) at the K point without hybridization with the d-band of Cu. Then two rotated domains were additionally grown as the area covered with graphene became wider. The Dirac energy was about 0.4 eV and the energy of the Shockley surface state of Cu(111) shifted toward the$E_F$ ) by 0.15 eV upon graphene formation. These results indicate weak interactions between graphene and Cu, and that the electron transfer is limited to that between the Shockley surface state of Cu(111) and the p band of graphene. This weak interaction and slight lattice mismatch between graphene and Cu resulted in the growth of rotated graphene domains ($9.6^{\circ}$ and$8.4^{\circ}$ ), which showed no significant differences in the Dirac band with respect to different orientations. These rotated graphene domains resulted in grain boundaries which would hinder a large-sized single monolayer growth on Cu substrates. -
The orientation of the constituent molecules in organic thin film devices can affect significantly their performance due to the highly anisotropic nature of
${\pi}$ -conjugated molecules. We report here an angle dependent x-ray absorption study of the control of such molecular orientation using well-ordered interlayers for the case of a bilayer heterojunction of chloroaluminum phthalocyanine (ClAlPc) and C60. Furthermore, the orientation-dependent energy level alignment of the same bilayer heterojunction has been measured in detail using synchrotron radiation-excited photoelectron spectroscopy. Regardless of the orientation of the organic interlayer, we find that the subsequent ClAlPc tilt angle improves the${\pi}-{\pi}$ interaction at the interface, thus leading to an improved short-circuit current in photovoltaic devices based on ClAlPc/C60. The use of the interlayers does not change the effective band gap at the ClAlPc/C60 heterointerface, resulting in no change in open-circuit voltage. -
Atom-thick 2-dimensional materials such as graphene, h-BN and MoS2 hold substantial potential for applications in future molecular-scale integrated electronics, transparent conducting membranes, nanocomposites, etc. From a fundamental point of view, 2-dim crystal-solid substrates can also serve as a unique system to study various physicochemical phenomena occurring at low dimensions or interfaces. In this talk, I will present our recent Raman spectroscopy studies on the surface science problems of graphene: interfacial charge transfer, molecular diffusion in confined space and structural deformation.
-
2004년에 최초의 2D 물질인 그래핀이 발표된 이후로 그래핀에 대한 관심이 매우 높다. 그래핀은 매우 높은 캐리어 이동도와 높은 광학 투과도, 높은 기계적 강도, 뛰어난 유연성등 다양하고, 뛰어난 물리적, 광학적, 기계적 성질을 갖고 있다. 이러한 뛰어난 성질로 인해 초고속 전자소자, 유연소자, 투명전극, 광학소자등 다양한 분야의 응용이 기대되어, 현재 물리학, 화학, 재료등 여러분야에서 활발히 연구가 진행되고 있다. 이러한 활발한 연구에도 불구하고 그래핀이 가진 기본적인 물리적 특성인 "제로 밴드갭" 특성으로 인해 낮은 소모전력이 요구되는 전자소자와 또한 광학소자로서의 응용에 한계를 보이고 있는 것이 사실이다. 그래핀의 기본적인 물리적 성질인 "제로 밴드갭"에서 탈출해 밴드갭을 증가하기 위해 나노리본, 바이레이어 그래핀등, 다양한 연구가 진행되고 있다. 하지만, 이를 통한 밴드갭의 증가량은 충분히 크지않아서 그래핀의 전자 및 광학적 응용이 아직까지는 매우 어렵다. 이러한 그래핀의 물질적 한계에 비추어 최근에 그래핀과 달리 충분한 밴드갭이 있어 반도체 특성을 가지는 Transition Metal DichalCogenide (TMDC) 물질에 대한 관심이 매우 높다. TMDC물질은 그래핀과 같이 2차원 물질로서 극히 얇으며, 또한 밴드갭을 가지고 있다. 따라서 실리콘과 같이 전자소자, 광학소자의 응용이 더욱 현실적으로 가능하다. 가장 대표적인 물질은 MoS2, WS2등을 들수 있다. TMDC 물질의 연구에서 가장 기본적으로 선행되어야할 연구분야는 바로 물질 성장에 있으며, 본 연구에서는 가장 대표적인 성장방법인 화학기상증착(CVD), 스퍼터링-물리적기상증착 (PVD)를 이용한 MoS2, WS2등의 TMDC의 성장연구에 대해 논의하고자 한다.
-
Toxic waste disposal: Many people think that when toxic waste is dumped into the ocean or into the air, it disappears. This belief is incorrect. Rather than disappearing, it accumulates over time and slowly destroys the environment. Ultimately, it leads to the destruction of human race. Plasma is environmentally friendly: Plasma is environmentally friendly because it is created and disappears. When plasma is formed on the earth, you need certain conditions such as accelerating electrons by an electrical discharge or a particle accelerator. When this is gone, plasma completely disappears, leaving no impact on the environment. Plasmas produce radicals: Even if plasma density is low at atmospheric pressure, many radicals (excited states of molecules) are created. These radicals are chemically very aggressive. So instead of using harmful chemicals, plasma can be utilized for less of an impact on the environment. Plasma can reach very high temperatures: Plasma is also useful because when you control the density, you can easily reach high temperatures up to
$5000{\sim}6000^{\circ}C$ at atmosphere pressure. Because of this heat and the chemical aggressiveness of the plasma, there are many green applications for plasma technology. Pulsed power technology: Pulsed electric field for extraction, drying and killing bacteria. Treatment of biological tissue by pulsed electric fields: Extraction of substances from cells: Sterilisation, Medical applications, Growth stimulation, Food preparation. Each application has its specialities, especially with respect to pulse shape and electric field strength. -
대기환경 규제가 강화됨에 따라 기존 기술 대비 획기적인 성능과 가격 경쟁력을 갖춘 새로운 대기환경 기술에 대한 수요가 지속적으로 요구되고 있다. 특히 최근에는 종래의 분진, 이산화황가스 및 질소산화물에 대한 규제와 더불어 지구온난화가스인 이산화탄소, 과불화화합물 (Perfluorocompounds, PFCs), 메탄가스 등에 대한 규제가 강화되면서 이에 대응할 수 있는 대기환경 기술의 수요가 늘고 있다. 한국기계연구원에서는 지난 10 여 년간 지구온난화가스이자 난분해성 가스인 메탄 및 PFCs 가스를 플라즈마 화학반응 공정을 통해 분해하는 연구를 수행해왔으며, 이를 바탕으로 산업에 적용할 수 있는 기술개발도 병행하여 수행하였다. 현재 개발된 기술 가운데 일부는 산업에 실제로 적용되고 있으며, 이를 통해 산업현장에서는 지구온난화가스는 물론 질소산화물과 같은 다른 종류의 규제물질도 동시에 저감할 수 있었다. 본 발표에서는 플라즈마를 활용하여 난분해성 가스인 메탄과 PFCs를 분해하는 기술의 특성을 살펴보았으며, 이를 바탕으로 산업현장에 적용된 대기환경기술이 어떻게 활용되고 있는지를 소개하고 있다. 본 발표에서 다루게 될 플라즈마 발생기술은 펄스 코로나, 유전체장벽방전, 마이크로웨이브 토치, 아아크 토치 등이며, 플라즈마 발생조건은 수 torr 이하의 진공조건부터 및 대기압 조건에 이르고 있다.
-
고온 plasma는 전자, 이온, 중성입자로 구성된 이온화된 기체로 국소열평형 상태의 구성입자가 수천도에서 수만도에 이르는 큰열용량을 갖는 불꽃형태를 이루고있다. 따라서 고온 plasma는 고온, 고열로 대상물질을 용융 또는 기화시켜 물질의 물리적상태를 변화시키는 열원역활을 하거나, 높은반응성을 갖는 입자들에 의한 화학반응을 촉진하는 반응촉매로 작용하여 고기능성 부품소재, 에너지 환경, 원자력, 항공우주,유가금속 재활용등의 분야에서 핵심적인 역활을 하고 있슴니다. 본 발표에서는 연구소에서 지금까지 국책과제로 수행되었던 고온 plasma을 유해폐기물(병원, 유독 액상폐기물, 군화공폐기물, 중금속 함유 폐기물, 폐 plastic 가스화 등)처리에 관한 전반적인기술을 소개하고져함.
-
플라즈마 토치를 이용한 시료의 가스화용융 기술에 대하여 원리, 적용 분야, 그리고 현황에 대하여 소개한다. 플라즈마 토치는 아크방전이나 고주파에 의하여 상압 조건에서 기체를 열플라즈마로 만드는 장치이며, 산업적으로 대규모의 시료를 처리할 때는 주로 아크방전을 이용한 토치가 사용된다. 최근에는 이 플라즈마 토치가 주로 폐기물의 가스화 용융에 활용되고 있는데 이는 폐기물을 고온에서 처리할 경우 친환경적인 처리가 가능하며 경우에 따라서는 발생되는 합성가스를 이용하여 고효율로 전기를 생산할 수도 있기 때문이다. 또한 플라즈마 토치는 밀폐된 로에서 소량의 가스로 고온에서 동작할 수 있기 때문에 방사성폐기물이나 플럭스 등을 친환경적으로 용융하는 것이 가능하므로 이러한 적용 분야도 증가하고 있다. 이 발표에서는 플라즈마 토치기술과 이를 이용한 가스화/응용기술의 기본적인 소개와 함께 플라즈마 가스화용융기술을 이용한 폐기물의 가스화용융기술의 세계 현황과 국내현황, 그리고 GS플라텍의 기술 현황에 대하여 소개한다. 아울러 몇가지 구체적인 실제 플랜트를 소개하고 실제 가동 데이터와 상업적 운전 결과에 대하여도 소개하고자 한다.
-
Recently, much attention has been given to plasma production under liquid and its applications [1]. However, most of plasma production techniques reported so far utilize high voltage dc, ac, rf or microwave power [2], where damage to discharge electrodes and small discharge volume are remained issues. As an alternative of plasma production method under liquid, we have proposed pulsed microwave excited plasma using slot antenna, where damage to the slot electrode can be minimized and plasma volume can be increased. We have also reported improvement of treatment efficiency with use of reduced-pressure condition during the discharge [3]. To realize low pressure conditions in liquid, various alternative technique can be considered. One possible technique is simultaneous injection of microwave power and ultrasonic wave. Ultrasonic wave induces pressure fluctuation with the wave propagation and is so far used for cavitation production in the water. We propose utilization of reduced pressure induced by ultrasonic cavitation for improvement of the plasma production. Correlation between the plasma production and the ultrasonic power will be discussed.
-
Synthesis of catecholamine from aniline is achieved by plasma enhanced CVD process. Catecholamine has a variety of functions in body such as brain and bloodstream controls. Catecholamine also has an interesting property of a material independent ability of functionalizing surface, which is found at mussels' adhesive nature. Synthesis of catecholamine has only been available from DOPA by chemical reduction and oxidation. This study presents the direct synthesis of catecholamine from further elemental source, aniline, which has not been achieved by a conventional chemical method. The process also indicates that a variety of catecholamine can be formed by controlling reactant gases. In additional to PECVD's very useful properties such as conformal, ultrathin and uniform coatings, a direct synthesis from aniline and a capability of controlling formation of a variety catecholamine is believed to open up a numerous applications.
-
잠자리, 벌 등 곤충과 새우, 가재 등 갑각류를 포함하는 절지동물류에서 발견되는 겹눈(compound eye)구조는 볼록한 형태이기 때문에 일반 단일렌즈(single lens)형 눈에 비해 매우 넓은 시야각을 가지며, 깊은 심도를 갖는 특징이 있다. 기존 카메라가 갖기 어려운 겹눈의 독특한 장점으로 인해, 곤충의 눈을 모방하는 연구는 많은 사람들의 주목을 받고 있다. 겹눈구조는 일반적으로 수백에서 수만개의 홑눈(ommatidium)이 모여 다발을 이루고 있으며, 각 홑눈은 겉에서부터 마이크로렌즈 형태의 각막, 수정추, 감간(rhabdom)형태로 이루어져 있다. 따라서 이러한 겹눈구조를 모방하기 위해서는 인공홑눈을 제작하고 이를 반구형으로 배열하는 것이 필수적이다. 그러나 실리콘 반도체를 기반으로 하는 이미지 센서는 딱딱하고 편평하여 굴곡진 형태로 배열이 어렵기 때문에 곤충눈을 모방한 형태의 구조물은 몇몇 연구그룹에서 개발된 사례가 있으나, 이미징이 가능한 곤충눈 시스템의 개발은 보고된 바가 없었다. 본 발표에서는 최근에 연구가 진행되고 있는 플렉서블 전자소자에 기반한 곤충눈을 모방한 이미징 시스템에 대해서 다루고자 한다.
-
Long-wave infrared detectors using the type-II InAs/GaSb strained superlattice (T2SL) material system with the nBn structure were designed and fabricated. The band gap energy of the T2SL material was calculated as a function of the thickness of the InAs and GaSb layers by the Kronig-Penney model. Growth of the barrier material (Al0.2Ga0.8Sb) incorporated Te doping to reduce the dark current. The full width at half maximum (FWHM) of the 1st satellite superlattice peak from the X-ray diffraction was around 45 arc sec. The cutoff wavelength of the fabricated device was
${\sim}10.2{\mu}m$ (0.12eV) at 80 K while under an applied bias of -1.4V. The measured activation energy of the device was ~0.128 eV. The dark current density was shown to be$1.2{\times}10^{-5}A/cm^2$ at 80 K and with a bias -1.4 V. The responsivity was 1.9 A/W at$7.5{\mu}m$ at 80K and with a bias of -1.9V. -
Fluorescent-magnetic nanoclusters were synthesized for biomedical applications. The nanoclusters consisted of superparamagnetic core-nanoclusters, highly fluorescent shell of nanocrystals, and lipid A. Magnetic cores were used for both magnetic resonance imaging (MRI) and cell separation. Fluorescent shell was used for optical imaging. The lipid-A-loaded nanoclusters were up-taken by dendritic cells via phagocytosis, which successfully activated dendritic cells. The dendritic cells were migrated to lymph nodes and spleen of mice. The results showed that our novel nanoclusters can play a role as an efficient optical and magnetic imaging, a cell separating and a pathogen mimetic agent at the same time. Additionally, synthesis of wavelength conversion nanowires will be discussed, which may be used as an optical nanoprobe in biological studies.
-
란탄족 원소가 도핑된 세라믹 나노결정, 즉, 나노형광체는 도핑되는 원소의 종류에 따라 다양한 색을 발광할 수 있다. 일반적으로 형광체는 외부에너지에 의해 여기된 후 흡수한 에너지 보다 작은 에너지의 가시광을 발광하게 된다. 이러한 현상은 downconversion 발광으로 알려져 있다. 그러나 모체에 Yb3+와 Er3+를 도핑하는 경우 적외선을 흡수하여 가시광선을 발광하는 upconversion 현상이 관찰된다. Upconversion 형광체를 이용하여 적외선을 가시광으로 변환시키면 sub-band gap 손실을 줄임으로써 태양전지 효율을 높일 수 있고, 바이오 이미징 감도를 높일 수도 있다. 그러나, upconversion 발광기구에서는 두 개의 적외선 광자가 흡수되어 하나의 가시광 광자가 방출되기 때문에 upconversion 발광 효율은 downconversion 발광 효율에 비하여 매우 낮은 특성을 보인다. 특히 형광체의 크기가 작아져 나노미터 영역의 크기가 되면 효율이 더욱 낮아지기 때문에 upconversion 나노형광체의 경우 효율을 증가시키기 위하여 형광체 주위로 결정질 쉘을 형성시키는 것이 필요하다. 이 때, 결정질 쉘에 downconversion 특성을 보일 수 있는 란탄족 원소를 도핑하는 경우 upconversion 발광 강도가 증대될 뿐 아니라, 하나의 나노입자에서 upconversion과 downconversion 두 가지 서로 다른 발광 특성을 관찰할 수 있다. 본 발표에서는 단일 나노입자에서 upconversion과 downconversion 발광을 보이는 이중발광 코어/쉘 나노형광체의 발광 특성에 대하여 논의하고자 한다.
-
이 발표에서 우리는 수백 나노미터 크기인 두 개의 나노 금속 원반 또는 나노 블록이 백 나노미터 이하의 간격으로 결합된 초미세 이중 금속 플라즈몬 광공진기를 제안하고 그 응용을 살펴본다. 원반구조 경우, 반지름이 476 nm인 나노원반 두 개가 100 nm 두께의 유전체 원반의 양쪽에 위치하여 1550 nm 공진파장을 가진 표면 플라즈몬 whispering-gallery-mode (WGM)을 유전체 내에 형성한다. 유전체의 두께를 일정하게 유지할 경우, WGM의 공진파장은 원반의 반지름에 따라 줄어든다. 반면, 반지름이 일정할 때에는 두 금속 원반 사이의 유전체 두께가 줄어듦에 따라 두 금속 원반 사이에 작용하는 표면 플라즈몬의 결합이 강해져서 공진파장이 길어진다. 따라서, 일반적으로 공진기의 크기가 줄어듦에 따라 공진파장이 짧아지는 것과 달리, 제안된 원반구조에서 발생하는 WGM는 원반의 반지름과 유전체의 두께를 함께 줄여도 공진파장이 동일하게 유지되는 차별화된 특성을 가지고 있다. 최종적으로 같은 공진파장을 가지는 WGM를 반지름 88 m, 유전체 두께 10 nm의 공진기에서도 여기시킬 수 있음으로, 모드부피(V)를 1/160으로 줄일 수 있다. 이에 비해, 공진모드의 품위값(Q)은 증가된 금속의 흡수손실에 의해 1/3정도 줄어듦으로써, 공진기와 물질의 상호작용 정도를 보여주는 Q/V값은 크기가 줄어든 공진기에서 오히려 50배 가량 증가함을 확인할 수 있다. 이 같은 초미세 플라즈몬 공진기는 매우 작은 굴절율 센서로서 응용을 가지고 있으며, 1160 nm/(단위 굴절율 변화)의 높은 민감도를 보인다. 한편,
$200{\times}150{\times}100nm3$ 의 크기를 가진 두 개의 금속 나노블록이 10 nm의 공기 간격을 가지고 결합된 나노 공진기는, 공기 간격 내에 강하게 집적된 838 nm의 공진파장을 가진 플라즈몬 공진기 모드를 여기시킨다. 제안된 공진모드는 공기간격이 줄어듦에 따라 공진파장이 급격하게 증가하는 특성을 가지므로 옹스토롬 정도의 분해능을 가진 두께 변화 센서로 응용할 수 있다. 예를 들어, 공기간격 2 nm에서는 1A 두께 변화에 대해 공진파장 변화는 약 40 nm로 매우 민감하게 변화한다. -
Ko, Hyungduk;Kim, Jung Hyuk;Lim, Ju Won;Lee, Gi Yong;Jang, Ho Seong;Ko, Doo-Hyun;Han, Il Ki 133.2
A gap surface plasmon resonator have received considerable attention because it can dramatically enhance the absorption of the electromagnetic field. However, whereas most of studies were just focused on the absorption within a narrow range of wavelength, few studies have been performed for the broadband absorption in the visible range. Therefore, in this study, we discuss methods that can induce broadband light absorption using gap plasmon resonance in visible regime. The gap plasmon resonator will offer great potential for appplications to solar cells and bioimaging. -
콜로이달 리소그래피는 나노미터 크기의 나노구를 자가조립에 의해 정렬시킴으로써, 파장이하 크기의 주기 구조를 저비용으로 쉽게 구현할 수 있는 패터닝 기법이다. 콜로이달 리소그래피나 소프트 리소그래피와 같이 대면적 패터닝이 가능한 공정을 태양전지를 위한 반사방지 및 광 포획 증대 구조에 적용함으로써, 기존 성능을 크게 향상시켰다. 본 연구에서는, 유한차분 시간영역 수치해석법을 이용하여 반사 방지 및 광 포획 증대 구조에 대한 이론적 검증 및 설계를 진행하였고, 콜로이달 리소그래피 및 반도체 공정을 통해 샘플을 제작하였으며, 제작된 샘플의 성능을 적분구를 겸비한 자외선 가시광 근적외선 영역 분광기를 통해 평가하였다. 반사방지 나노섬을 겸비한 나노 원뿔대 언덕형 굴절률 소자를 구현함으로써, 300나노미터 이하의 구조체를 사용하지 않고도 근자외선 영역을 포함하는 태양광 에너지의 손실을 최소화할 수 있는 광대역 방사방지 구조체를 제시하였다. 나노 원뿔대가 격자상수 이상의 파장에 대한 언덕형 굴절률을 제공하고, 4분의 1파장 나노섬 반사방지막이 격자 상수 이하의 근자외선 태양광을 추가적으로 흡수하여, 근자외선 영역에서의 평균 반사율을 3.8% 수준으로 달성 할 수 있었다. 또한, 낮은 양호계수를 갖는 속삭임 회랑 공진기 어레이를 이용하여, 박막 태양전지에 적합한 유전체 기반 광포획 증대 나노구조를 제시하였다. 나노반구, 나노고깔, 나노구, 함몰형 나노구 어레이 형태를 가지며, 500nm의 주기를 갖는 유전체 표면 텍스쳐드 구조를 초박형 비정질 실리콘 필름(100nm) 위에 제작하여 광대역 광 포획 증대 효과를 실험적으로 평가하였다. 구조들 중 함몰형 나노구 어레이가 결합된 비정질 실리콘 박막이 가장 높은 성능을 보였으며, 구조가 없는 경우 대비 약 67.6%의 가중 흡수율 증가를 나타내었다. 특히, 함몰형 나노구 어레이 구조 중 폴리메틸메타아크릴레이트로 제작된 평판형 함몰층은 나노구 비정질 박막 실리콘 사이의 접착력 및 기계적 강성을 향상시켰을 뿐 아니라, 함몰층 내부로 회절되고 산란된 빛들이 도파모드 효과에 의해 부가적인 광 포획 증대를 가져옴으로써, 가장 높은 광 포획 효과를 얻을 수 있었다. 유전체 기반 나노 구조들은 간단하고 저비용이며, 대면적으로 쉽게 제작할 수 있는 자가 조립 기반 콜로이달 리소그래피 및 소프트 리소그래피 기술을 이용하여 제작되었다.
-
Photonic crystal solar cells have the potential for addressing the disparate length scales in polymer photovoltaic materials, thereby confronting the major challenge in solar cell technology: efficiency. One must achieve simultaneously an efficient absorption of photons with effective carrier extraction. Unfortunately the two processes have opposing requirements. Efficient absorption of light calls for thicker PV active layers whereas carrier transport always benefits from thinner ones, and this dichotomy is at the heart of an efficiency/cost conundrum that has kept solar energy expensive relative to fossil fuels. This dichotomy persists over the entire solar spectrum but increasingly so near a semiconductor's band edge where absorption is weak. We report a 2-D, photonic crystal morphology that enhances the efficiency of organic photovoltaic cells relative to conventional planar cells. The morphology is developed by patterning an organic photoactive bulk heterojunction blend of Poly(3-(2-methyl-2-hexylcarboxylate) thiophene-co-thiophene) and PCBM via PRINT, a nano-embossing method that lends itself to large area fabrication of nanostructures. The photonic crystal cell morphology increases photocurrents generally, and particularly through the excitation of resonant modes near the band edge of the organic PV material. The device performance of the photonic crystal cell showed a nearly doubled increase in efficiency relative to conventional planar cell designs. Photonic crystals can also enhance performance of other optoelectronic devices including organic laser.
-
Silicon nanoparticles can be synthesized in a standard radio-frequency glow discharge system at low temperature (
${\sim}200^{\circ}C$ ). Plasma synthesis of silicon nanoparticles, initially a side effect of powder formation, has become over the years an exciting field of research which has opened the way to new opportunities in the field of materials deposition and their application to optoelectronic devices. Hydrogenated polymorphous silicon (pm-Si:H) has a peculiar microstructure, namely a small volume fraction of plasma synthesized silicon nanoparticles embedded in an amorphous matrix, which originates from the unique deposition mechanism. Detailed discussion on plasma synthesis of silicon nanoparticles, growth mechanism and photovoltaic application of pm-Si:H will be presented. -
Photon conversion technology for thin film solar cells is reviewed. The high-energy photons which are hardly absorbed in solar cells can be transformed the low energy photon by the photon conversion process such as down conversion or down shift, which can improve the solar cell efficiency over the material limit. CdSe-based quantum dot materials commonly used in LED can be used as the photon conversion layer for Si thin film solar cells. The photon conversion structure of CdSe-based quantum dot for Si thin film solar cells will be presented and the pros and cons for the Si thin film solar cells integrated with the photon conversion layers will be discussed.
-
구연산 전처리 후 진공 중 산화처리를 한 스테인레스강 진공용기에 비증발성 게터(NEG)와 스퍼터 이온펌프의 조합을 사용하여 낮은 10의 -12 mbar의 극고진공에 도달하였다. 실험에 사용된 진공용기의 기체방출률은 기존의 일반 스테인레스강 진공용기에 비해 15배 이상 낮은 것으로 측정되었다. 극고진공에 도달하기 위해 수소에 대한 배기속도가 높은 NEG 펌프를 주 펌프로 사용하였으며 스퍼터 이온펌프는 NEG가 배기하지 못하는 무극성 또는 불활성 기체를 배기하는 보조펌프로 작용하도록 하였다.
-
방사광 가속기의 저장링 진공용기처럼 콘덕턴스가 배기성능을 좌우하는 진공용기에서는 Strip NEG를 사용하여 분산 배기를 함으로서 원하는 평균진공도를 확보할 수가 있다. 일부 연구소에서는 진공용기 자체를 게터로 코팅하여 사용하고 있으나 그 제작비용이 만만치가 않다. 이 연구에서는 쉽게 구할 수 있는 동전모양의 작은 게터를 진공용기 내부에 길이 방향으로 일렬로 배치하여 분산배기가 실제 가능한 지 평가하였다. 게터는 진공용기 자체를
$180^{\circ}C$ 이상 베이크아웃할 때 활성화되도록 하였다. 실험은 단면적$13cm^2$ , 3 m 길이의 압출형 알루미늄 진공용기로 베이크아웃 온도에 따른 진공도 변화를 측정하여 그 성능을 평가하여 보고하고자 한다. -
인공위성은 지상에서 발사된 후 로켓에 의해 임무궤도에 안착을 한 뒤 위성 내부에 탑재된 추진시스템에 의하여 자세 및 궤도제어를 수행한다. 특히, 저궤도 관측위성의 경우 정확한 이미지 촬영을 위해서는 자세제어가 매우 중요하고, 추진체의 잔여량에 따라 인공위성의 수명이 결정되기도 하므로 추진시스템의 역할이 매우 중요하다. 특히, 추진체의 무게에 따라 위성 전체 중량이 좌우되어 발사중량에도 영향을 미치게 되므로 고성능, 저질량의 추진계가 요구되며, 추진계는 극히 미세한 누설도 허용되지 않는다. 개발된 인공위성 추진계 내부의 누설 여부 확인을 위하여 우주환경을 모사한 진공챔버 내부에서 고압으로 충진된 추진 탱크의 누설탐지 시험을 수행하게 된다. 본 논문에서는 지상에서 모사된 우주환경 하에서 인공위성 추진계의 누설 탐지 기법에 대해 알아보고자 한다.
-
인공위성은 우주공간의 고진공 상태와 태양 복사열에 의한 고온 및 극저온이 반복되는 가혹한 환경으로 인해 주요 부품의 기능장애가 초래되므로 발사전 지상에서 열진공 시험장비를 이용한 열진공시험을 수행한다. 위성체의 열진공 시험에 사용되는 열교환기인 베이스플레이트(Baseplate)는 우주 열환경을 모사하기 위하여 직접 방열판 표면에 고온 및 저온의 유체를 공급하여 시험 요구에 따른 필요한 열을 공급하게 된다. 일반적으로 우수한 성능의 위성체 부품의 검증을 위해서 지상의 열환경 시험은 접촉식 히터 및 열교환기를 사용하게 되는데, 이때 적절한 히터 및 블로워 파워를 선정하고 챔버 슈라우드와 열교환에 있어 간섭이 없도록 장비를 운용해야 한다. 본 연구에서는 상용프로그램을 이용하여 열진공 시험용 베이스플레이트에 대하여 전산해석을 수행하였으며, 이를 통해 베이스플레이트 내의 작동 유체의 입구 압력에 의한 열진공 시험용 열교환기의 성능 특성을 관찰하였다.
-
오일 증기의 제트를 분사하여 잔류가스를 배기하는 오일확산펌프는, 구조가 간단하여 고장이 적고 저렴하며 소음 및 전기노이즈가 적게 발생하는 많은 장점을 가지고 있다. 그러나 오일의 증기압에 의해 그 도달압력이 10-9 Torr 이상으로 제한되어, 액체질소로 냉각되는 배플형태의 저온 트랩을 사용하지 않는 한 10-10 Torr영역의 초고진공 배기용으로는 사용하지 못하는 것으로 알려져 있다. 유회전펌프로 뒷받침 배기(foreline pumping)하는 700l/s의 배기속도를 가진 오일확산펌프에 300 liter/sec의 컨덕턴스를 가진 액체질소 트랩을 부착하여 메탈 실링을 사용하는 초고진공 챔버를 배기하였다. 액체질소트랩에 액체질소를 투입하면
$1{\times}10-8Pa$ 이하의 초고진공이 얻어졌으나, 액체질소가 증발하여 트랩의 온도가 상온으로 상승하면 압력도$1{\times}10-7Pa$ 이상으로 상승하였다. 50 liter/sec의 배기속도를 가진 터보분자펌프로 오일확산펌프를 뒷받침 배기하면 액체질소를 투입하지 않은 상태에서$5{\times}10-9Pa$ 이하의 초고진공이 얻어졌으며, 액체질소를 투입하여도 압력은 거의 변화하지 않았다. 잔류가스분석장치로 얻은 잔류가스 성분 스펙트럼은 수소가 잔류가스의 대부분을 차지하는 것을 보여주었다. -
크라이오 펌프는 반도체 임플란타 공정, OLED 분야, 신소재 개발, 표면분석 및 처리, 의료분야, 입자가속기, 핵융합 등 다양한 진공분야에 응용되는 고진공용 극저온펌프이다. 특히 향후 디스플레이 분야에서 OLED가 시장을 주도할 것으로 예상되는 가운데, 점점 대형화 되어가는 OLED 장비에 가장 적합한 고진공 펌프로써 크라이오 펌프가 주목을 받고 있다. OLED 디스플레이 제조공정 중에서도 화소형성 공정을 위한 챔버는 특별히 진동특성에 민감하다. 유기물 증착공정을 진행하기 위해서 글라스 전단에 쉐도우 마스크를 설치하는데, 글라스의 크기가 증가하면서 초래된 처짐문제 그리고 장비의 진동특성과 글라스와 마스크 사이의 간섭문제 등이 제품의 수율에 큰 영향을 미치면서 향수 시급히 해결해야 할 필수 과제로 주목 받고 있다. 그러나 대부분의 상용 크리이오 펌프는 G-M형식의 냉동기를 장착하기 때문에 그 원리 상 제조사에 관계없이 일반적으로 큰 진동특성을 가진다. 이에 GVT에서는 OLED 공정에 적합한 보다 정숙하고 진동특성이 개선된 550 mm구경의 크라이오 펌프 개발을 진행하였다. 그 결과 펌프의 성능은 동종 경쟁모델과 동등 이상의 수준을 유지하고 진동성분은 동종모델 대비 50%이상 개선된 펌프를 개발할 수 있었다. 그리고 시장에 보다 좋은 제품을 출시하기 위해서 현재 성능과 진동특성을 계속해서 튜닝 중에 있다. 진동개선은 크게 2가지 방향으로 진행되었는데, 첫째는 펌프 측면에서 진행한 것이고 둘째는 냉동기 측면에서 진행한 것이다. 후자는 현재 대외비로 개발을 진행 중에 있으며 본 발표에서는 전자에 관한 것으로 펌프 측면에서 진동특성을 개선한 부분이다. 결국 크라이오 펌프의 진동은 진동원인 냉동기에서 발생하는 것이므로 냉동기와 펌프를 구조적으로 고립시키는 방법을 사용하였다. 즉, 냉동기와 펌프 사이에 댐핑 시스템 플랜지를 장착하여 진동원인 냉동기로부터 진동성분이 펌프 측으로 전달되는 것을 차단한 것이 본 기술의 핵심이다. GVT에서는 당 기술로 국내특허등록을 완료하였다(특허-10-1289394_진동 저감을 위한 댐핑 플랜지 조립체 및 이를 갖는 크라이오 펌프).
-
Min, Gwan-Sik;Lee, Seung-Su;Yun, Ju-Yeong;Jeong, Jin-Uk;O, Eun-Sun;Hwang, Yun-Seok;Kim, Jin-Tae 145.1
변형된 end-Hall type의 이온 소스를 사용하여 이온 소스의 형태에 따라 달라지는 이온 빔의 변화를 측정하였다. 이온 소스 cathode의 wehnelt mask를 세 가지 종류로 제작하였으며, 생성된 이온 빔을 이용하여 Al이 sputter 방식으로 증착된 유리 기판을 etching 하였다. 실험 결과 wehnelt mask의 모양에 따라 focus, broad, strate의 형태로 이온 빔이 생성되는 것을 확인하였다. Al이 증착된 유리 기판의 제작을 위하여 Al target을 사용하여 RF power로 150 W, 2분간 sputtering을 하였고, 이온 소스와 기판사이의 거리를 1 cm씩 증가시켜가며 이온 빔을 2,500 V로 3분간 유리 기판을 etching한 후, 유리 기판이 etching된 모양을 통해 이온 빔의 형태를 분석하였다. 본 연구를 위하여 sputtering과 이온 빔 처리가 가능한 챔버를 제작하였으며, scroll pump와 turbo molecular pump를 사용하였다. Base pressure$1.5{\times}10^{-6}Torr$ 에서 실험이 진행되었고, 불활성 기체 Ar을 사용하였다. Ar 기체를 주입시 pressure는$2.6{\times}10^{-3}Torr$ 였다. -
최근 반도체 산업의 급속한 발전으로 반도체 생산 설비 시설 또한 꾸준히 증설되어가는 추세이다. 이에 따라 반도체 산업의 핵심기술로 부각되고 있는 진공 기술은 다양한 응용목적을 위한 진공시스템 설계와 운영을 필요로 한다. 진공시스템의 알맞은 설계는 시스템 구성에 따른 진공특성을 예측하는 것이 중요하며 목적에 부합한 진공펌프를 선택하고 운영하여 최소비용으로 시스템 활용효율성을 극대화할 수 있는 설계를 해야 한다. 또한 공정의 저전력, 대유량화 추세에 따라 고유량 영역의 드라이펌프 부하 내구성 대응 요구에 부응하는 객관적 내구성평가의 정립 및 표준 측정 시험평가 방법의 필요성이 점차 대두되고 있는 경향에 있다. 본 연구에서는 드라이펌프의 공정현장 적응능력 평가를 위하여 최소 1시간에서 3시간동안 압력별 가스부하에 따른 드라이펌프의 실시간 특성을 관찰하였다. 실험은 1 mbar에서 최대 300 mbar까지의 연속적인 부하 조건에서 유량, 진동, 소음, 소비전력과 Sudden Vent Test를 실시간으로 측정하였고 드라이펌프의 특성 분석은 각 용량별 압력에 따른 유량, DP BP 소비전력, 소음, 진동, DP Body Temperature 등의 데이터를 Type에 따라 비교 분석하였다. 이에 부응하는 평가 장치 구축 및 데이터분석은 한국표준과학연구원 진공펌프 평가실험실에서 수행되었다.
-
증착소재의 증착공정에 있어서 증기압 측정은 중요한 부분이다. 증착소재의 경우 충분한 증기압을 가져야하며, 너무 높아도 안된다. 증착소재의 증착속도는 증기압과 관련이 있으며, 이는 온도와 관련이 있다. 개발된 증착소재의 특성이 우수하나 높은 온도에서 충분한 증기압을 가진다면, 이 증착소재는 증착공정에 있어 비용이 많이 들기 때문에 좋다고 할 수 없다. 따라서 증착소재를 판단하는 가장 기본적인 지표는 증기압 측정이다. 증기압 측정 방법은 끓는점을 이용하였다. 액체상태인 증착소재를 외부에서 열을 가하여 각 압력에 따라 phase transition으로 인해 saturation지점을 측정하였다. 압력은 1.0E-1Torr, 1.0E+0Torr, 1.0E+1Torr의 3point를 측정하여 antoine equation을 이용하여 샘플의 증기압 측정 값을 얻을 수 있었다.
-
KIST 6MV 가속기는 이온빔 분석 그리고 가속기 질량 분석법(Accelerator mass spectrometry)으로 활용된다. 이온빔 분석으로는 RBS, TOF ERD, PIXE.
${\mu}$ -Probe을 할 수 있으며 AMS(Accelerator mass spectrometry)는 액체섬광측정법(LSC)과 비교할때 민감도는 1,000배 정도로 3H, 14C, 26Al,41Ca 을 10-21 ~ 10-18 mole/mg 까지 검출 가능하여. 응용분야로는 BAMS(Biological AMS), 전통과학, 지구과학, 환경과학에 활용되고 있다. 이중 AMS의 생-의학분야(BAMS)의 응용은 최근 매우 중요하게 연구되고 있다. BAMS의 활용 연구에 사용하는 핵종으로는 주로 3H, 14C, 41Ca, 36Cl를 사용하며, 14C 화합물은 쉽게 구할 수 있고, 자연방사선 이하의 낮은 14C labeled drug 사용하기 때문에 1948년 이후 생물학 연구에 혁신적으로 활용되고 있다. 주 활용분야로는 (1) 신약개발은 임상실험 전(Phase 0) 이용되며, 14C로 표지된 bio-molecule을 자연수준의 방사선 농도에서 추적자로 사용하여 질량을 측정하는 방법을 활용. (2) 의과학분야는 인체 내에서의 추적자 연구수행 (3) 항암제 연구는 암조직 중 약물농도와 암효과의 상관성을 연구 (4) 바이오 기술 분야에서는 생약 유효물질의 체내 대사연구 등을 할 수 있어 전세계적으로 활발히 연구가 진행되고 있다. KIST에서는 6MV가속기를 BAMS 연구에 활용하기 위하여 전처리 단계의 Combustion, Gas transfer, Reduction 등을 자체 제작하여 테스트 중에 있으며, BAMS 샘플의 Gas는 호기중의 성분, 대기 성분이 있으며, Liquid는 혈액(혈장,혈청,적혈구), Solid는 DNA, 세포, 장기 뼈, 피부, 식물조직, 사료, Drug 및 그 대사 류가 있다. AMS 측정 결과는 14C/12C 비율로 나타나며 그 결과를 농도로 환산하여 분석하게 된다. 또한 분석 데이터 신뢰를 확보하기 위하여 표준시료 및 품질관리용 시료를 사용하여 BAMS분석법에 대한 검증을 실시하였다. -
Song, Je-Beom;Kim, Jin-Tae;Gang, Sang-U;Sin, Yong-Hyeon;Sin, Jae-Su;Han, Seong-Ho;Yun, Ju-Yeong 147.1
대부분의 반도체공정은 플라즈마 기술을 활용함에 따라서 진공공정장비 부품은 플라즈마 이온, 활성기체, 고온 공정에 노출 된다. 또한 장시간 플라즈마 공정에 노출이 되면서 부품 내구성이 떨어지기 때문에 내플라즈마성이 강한 재료를 코팅하여 사용하고 있다. 하지만 코팅재료의 종류, 코팅방법에 따라서 내부식성이 각각 다르고 장시간 설비 활용 시 코팅재료가 부식되어 공정특성이 변함에도 불구하고 현재 Fault Detection and Classification (FDC) 기술에서는 모니터링이 어려운 문제점이 있다. 본 연구에서는 공정특성을 플라즈마 임피던스 변수로 모니터링 하여 코팅부품의 상태에 따른 플라즈마 공정변화를 모니터링 가능한 신규 플라즈마 공정모사용 평가 장비를 소개하고자한다. -
Organic Light Emitting Diode (OLED)에 사용되는 유기재료 N,N.-diphenyl-N,N.-bis(1-naphthyl)-1,1'-biphenyl-4,4"-diamine(NPB)의 상전이 특성을 여러 진공도에서 평가하였다. 압력, 온도제어가 가능한 진공시스템을 사용하여 여러 진공도에서 NPB의 상전이 온도를 측정하였고, 본 연구에 사용된 진공시스템의 신뢰성을 검증하기 위해 상압에서 측정한 NPB의 melting temperature를 Differential Scanning Calorimetry(DSC) data와 비교하였다. 또한 각 압력(
$10^{-7}{\sim}760Torr$ )에서 측정한 상전이 온도를 바탕으로 최종 결과물인 NPB의 Phase diagram을 얻어냄으로써 일정 압력, 일정 온도에서의 NPB의 상거동을 예측할 수 있었다. 이러한 결과는 기존의 DSC열분석으로는 확인하기 어려웠던 진공에서의 유기재료의 상전이를 관측하였다는데 큰 의미가 있다. 향후, 이러한 방법을 활용한 진공에서의 유기재료의 상전이 특성 관측은 유기재료를 이용한 진공 증착공정방법의 최적화와, 다양한 유기재료의 열안정성 특성 파악에 도움이 될 것으로 기대가 된다. -
Hong, Man-Su;Gwon, Hyeok-Chae;Han, Hong-Sik;Kim, Chang-Gyun;Ha, Tae-Gyun;Kim, Jae-Yeong;Park, Jong-Do 148.1
포항가속기연구소는 Dipole Magnet, Wiggler, Undulator 등 다양한 광원에서 발생되는 강한 방사광을 여러 연구에 이용하고 있다. Max-Planck POSTECH 분원용 타원편광 Undulator (이하, MPK-EPU)는 carbon의 흡수선을 포함하는 250 eV에서 시작하여, 1,500 eV~3,000 eV 에너지 영역의 방사광을 발생시켜 자성물질을 비롯한 다양한 이방성 물질의 연구를 수행하는데 활용할 예정이다. 현재, MPK-EPU용 진공용기의 기계가공, 화학세척, 용접 및 최종 초고진공 진공 달성을 위한 탈기체처리, NEG 활성화 작업등을 마무리하고 PLS-II 저장링 6A 구간에 설치 완료하였다. 이 논문에서는 MPK-EPU용 진공시스템의 제작 및 설치작업에 대한 전반적인 사항과 진공작업 및 그 결과를 발표하고자 한다. -
PLS-ll 빔 저장 시 photon absorber에서 튀어나온 광전자는 아래에 위치한 이온펌프의 전극에 흡수되어 컨트롤러에 허위전류를 인가해 부하를 준다. 전극을 향하는 광전자를 차단하기 위해 펌프 입구에 스테인리스 스틸 망을 장착한다. 장착 전 후의 이온펌프 전류 변화를 통해 전자의 차단 유무를 확인하고 펌프의 배기속도 변화를 측정해 이를 여러 가지 계산결과와 비교한다. 컨덕턴스 저하로 인한 실효 배기속도의 감소는 1% 이하로 예상되므로 장착된 스테인리스 스틸 망이 전체 조합펌프의 배기속도에는 큰 영향을 주지 않으며 안정적인 이온펌프 제어를 하게 할 것으로 기대한다.
-
전자총의 방출전류량과 소스크기, 그리고 방출 각전류밀도(angular current density)를 측정함으로서 얻어지는 전자총의 휘도(brightness)는 대물렌즈의 수차와 더불어 전자현미경의 성능을 좌우한다. 국내업체의 전자현미경은 대부분 상대적으로 휘도가 낮지만 작동압력이 10-5 Torr의 이하여서 제작과 사용이 용이한 텅스텐 필라멘트 열전자총을 채용하고 있다. 주사전자현미경의 성능을 좌우하는 프로브 크기와 전류량은 광학계의 배율과 전자총의 휘도에 의해서 결정되며, 설계시 전자현미경의 사양을 결정하기 위해서는 전자총의 휘도 측정이 필수이다. 한국표준과학연구원에서는 국내에서 생산되는 전자현미경용 열전자총의 휘도를 측정하기 위해, 전자총의 방출 각전류밀도와 소스 크기를 측정할 수 있는 전자총 휘도 측정 시스템을 개발하고 있다. 본 발표에서는 개발중인 시스템의 측정 원리를 기술한다. 또한 외부 자기장에 의한 교란을 방지하기 위해 연자성 재료인 연강으로 제작한 진공챔버의 진공특성을 보고한다.
-
진공용기를 배기하기 시작하면 짧은 시간 동안은 공기의 배기가 주를 이루지만 그 후에는 표면 방출 기체의 배기가 이어지고 표면방출 기체의 대부분은 물이라는 것은 누구나 알고 있는 사실이다. 그러나 배기 계산을 할 때는 막상 물 보다는 공기의 일부로 생각하거나 수분을 다른 기체들과 유사하게 다루는 것에 익숙해져 있다. 이런 계산 결과는 실제 상황을 재현하지 못할 뿐만 아니라 일반적으로 배기능력을 과대평가하게 만들어서 공정 계획대로 진공 시스템을 운전하는 것을 불가능하게 만든다. 물은 일반적인 기체와는 성격이 아주 다르다. 다른 기 체 분자들의 흡착 에너지가 ~0.3 eV이고 기름분자가 ~1 eV 정도인 것에 반해 물은 0.55 eV 내외로 상온에서도 비교적 흡착을 잘하고 또 적당히 방출도 일어나는 특별한 특성 때문에 용기 압력을 지배하면서도 신속한 배기를 방해한다. 만일 이런 물의 흡착률 및 방출률을 제대로 수치화할 수 있다면 배기 계산을 훨씬 현실화할 수 있다. 물의 흡착률은 물분자의 부착계수가 지배하고 방출률은 체류시간에 의해 결정되지만 표면상태에 따라 천차만별이므로 얼마라고 확정하기 어렵다. 우선 이번에는 물의 부착계수 최대값을 0.1 정도로 잡고 흡착량에 따라 직선적으로 줄어드는 것으로 가정하며, 물의 표면 체류시간도 몇 가지 값으로 가정해서 0-D 입자 평형 계산을 수행하여 특정 시스템에서 얻은 실험 결과와 비교하려고 한다. 앞으로 몬테카를로 방법과 연계하여 3차원적 분석을 할 수 있는 코드로 발전시켜 나갈 예정이다.
-
Showerhead is used as a main part in the semiconductor equipment. The face plate flatness should remain constant and the cleaning performance must be gained to keep the uniformity level of etching or deposition in chemical vapor deposition process. High operating temperature or long period of thermal loading could lead the showerhead to be deformed thermally. In some case, the thermal deformation appears very sensitive to showerhead performance. This paper describes the methods for robust design using computational fluid dynamics. To reveal the influence of the post distribution on flow pattern in the showerhead cavity, numerical simulation was performed for several post distributions. The flow structure appears similar to an impinging flow near a centered baffle in showerhead cavity. We took the structure as an index to estimate diffusion path. A robust design to reduce the thermal deformation of showerhead can be achieved using post number increase without ill effect on flow. To prevent the showerhead deformation by heat loading, its face plate thickness was determined additionally using numerical simulation. The face plate has thousands of impinging holes. The design key is to keep pressure drop distribution on the showerhead face plate with the holes. This study reads the methodology to apply to a showerhead hole design. A Hagen-Poiseuille equation gives the pressure drop in a fluid flowing through such hole. The assumptions of the equation are the fluid is viscous-incompressible and the flow is laminar fully developed in a through hole. An equation can be expressed with radius R and length L related to the volume flow rate Q from the Hagen-Poiseuille equation,
$Q={\pi}R4{\Delta}p/8{\mu}L$ , where${\mu}$ is the viscosity and${\Delta}p$ is the pressure drop. In present case, each hole has steps at both the inlet and the outlet, and the fluid appears compressible. So we simplify the equation as$Q=C(R,L){\Delta}p$ . A series of performance curves for a through hole with geometric parameters were obtained using two-dimensional numerical simulation. We obtained a relation between the hole diameter and hole length from the test cases to determine hole diameter at fixed hole length. A numerical simulation has been performed as a tool for enhancing showerhead robust design from flow structure. Geometric parameters for the design were post distribution and face plate thickness. The reinforced showerhead has been installed and its effective deposition profile is being shown in factory. -
한국항공우주연구원 우주환경시험팀에서는 인공위성의 조립 및 환경시험을 수행하고 있으며, 이를 위한 청정실을 운영하고 있다. 인공위성은 고 진공 및 고온, 극저온의 가혹한 우주환경에서 작동을 하기 때문에 위성표면에 흡착된 오염물질은 위성의 성능 및 효율의 저하를 초래할 수 있다. 또한, 특정 부품은 오랜 시간 높은 온도에서 노출되면 에이징에 의한 기능저하를 초래할 수 있으며, 낮은 습도는 작업 환경에 정전기를 유발하여 부품의 손상을 초래하며, 높은 습도는 부식을 야기할 수 있다. 이에 인공위성의 조립환경은 청정한 환경 및 적당한 온습도 유지가 필수적이다. 이에 본 논문에서는 한국항공우주연구원에서 수행하고 있는 청정실 유지 관리방안 및 공기 중 부유입자 측정원리에 대해 소개하고자 한다.
-
Wake field effect on the electron beam from the undulator chamber in PAL-XFEL is analyzed. The wake field takeover some energy from the electron beam which will increase the energy spread of the electron beam. This will cause the degradation of the radiation power in PAL-XFEL. To decrease the effect, the surface of the undulator vacuum chamber should be fabricated with 200 nm surface roughness and 5 nm oxidation layer. In this presentation, the numerical calculation of the wake will be shown. Simulation results of the radiation generation in PAL-XFEL also will be presented.
-
Ju, Yeong-Do;Lee, Byeong-Jun;Son, Yeong-Uk;Yu, In-Ha;Jeon, Myeong-Hwan;Park, In-Su;Kim, Seung-Hwan;Ha, Tae-Gyun;Gong, Hyeong-Seop;Son, Yun-Gyu;Park, Yong-Jeong;Park, Jong-Do;Nam, Sang-Hun 151.2
Broadband impedances for the 3-GeV pohang light source-II (PLS-II) storage ring have been numerically estimated using a full three dimensional finite-difference time-domain code, CST particle studio. The total broadband impedance of all the vacuum components was estimated as 0.256 ohm, which is a small fraction of the total machine impedance budget. -
Indium gallium zinc oxide (IGZO)는 차세대 디스플레이 평판 패널에 사용되는 반도체 화합물의 일종으로 최근 주목받고 있는 물질의 하나이다. 기존의 IGZO를 사용하여 박막을 증착한 뒤 표면 처리를 통해 박막의 특성 변화에 대한 연구들이 진행되어 왔으며, 기존의 연구들은 plasma 환경에 노출을 시켜 간접적인 plasma treatment를 통해 박막의 특성을 향상시켜 왔다. 본 연구에서는 기존의 plasma treatment에서 발견된 방식인 ion beam treatment를 통해 플라즈마를 직접적으로 표면에 조사하여 박막의 특성 변화를 알아보았다. 한국표준과학연구원에서 자체 제작한 chamber를 이용하여 RF sputter로 Si wafer 위에 IGZO 박막을 증착하고 수소 ion beam treatment를 한 뒤, SEM과 XPS를 사용하여 박막 표면의 물성 변화를 분석하였다. 실험에 사용된 chamber에는 sputter gun과 ion beam이 함께 장착되어 있으며, scroll pump와 TMP를 사용하여 pressure를 유지하였다. 실험 시 base pressure는
$1.4{\times}10^{-6}Torr$ 였다. RF power 150 W. ion beam power 2,000 V에서 실험을 진행하였다. -
작은 동전 모양의 게터를 사용하여 간단한 초고진공용 펌프를 제작하여 그 특성을 알아보았다. 이 게터는 대기 측에서 진공용기를 가열하여 금속 열전도를 통하여 활성화되도록 하였으며 상용 게터펌프(또는 이온펌프와의 조합)와도 그 진공성능을 비교하여 보았다.
$350^{\circ}C$ 에서 24시간 활성화 한 후 수소의 배기속도는 약 200 l/s였으며 CO의 배기속도는 매우 낮았다. 그러나 최고 도달진공도는 ~2E-11 mbar로 상용 조합펌프와 견줄 만하였다. 이 실험 결과로부터 간접가열방식으로 적용 가능한 최대 활성화 온도에서 네그펌프가 안전히 활성화 되지 않음을 알 수 있었다. 그러나 네그펌프의 자체 기체방출이 매우 작아서 수소를 주로 배기하는 초고진공, 극고진공에서는 응용 가능할 것으로 보인다. -
Bunch compressor (BC)는 4세대 방사광가속기가 요구하는 매우 짧은 길이의 전자빔을 만들기 위해서 제작된다. 4개의 이극전자석을 이용하여 에너지에 따른 서로 다른 경로차이를 발생시켜 전자빔을 압축할 수 있다. 중간에 위치한 2개의 이극전자석은 전자빔의 길이를 최적화하기 위하여 전자빔에 수직방향으로 가변된다. 전자빔의 궤도와 진공용기 중심을 동일하게 가져가기 위해 이극전자석 진공용기 사이에는 밸로즈를 사용하였다. BC 진공용기의 요구 압력은 Pave <
$5{\times}10^{-7}mbar$ 이며, 4개의 이온펌프(60 L/s)를 이용하여 진공 배기한다. BC 진공용기 전체 길이는 대략 16 m이며, 전자빔과 진공용기 내부 표면 거칠기와의 상호작용에 의한 Wakefield 효과를 줄이기 위해 거칠기는 Ra <250 nm 이하로 제작하였다. 본 논문에서는 현재까지 진행된 BC 진공용기 시제품 제작 현황에 대하여 보고하고자 한다. -
Plasma Enhanced Atomic Layer Deposition(PEALD)와 Atomic Layer Deposition(ALD) Techniques는 '정확한 두께 조절' 및 '우수한 균일도'를 가지는 신뢰할 수 있는 진공 기술이다. 본 연구에서는 다공성 구조를 가지는 기판을 대상으로 PEALD와 ALD Techniques을 이용한
$Al_2O_3$ 형성 공정의 증착 특성을 비교하였다. 각 공정은 공통적으로 Tris-Methyl-Aluminium(TMA)을 첫번째 전구체로 사용하였고 purge gas로는 Nitrogen를 사용하였다. 그리고 두번째 전구체로 PEALD 공정에서는 Oxygen Plasma를 사용하였고 ALD 공정에서는 Water를 사용하였다. 복잡한 다공성 구조를 가지는 기판은$TiO_2$ Nano-Particle paste과 colloidal Silver paste를 소결시켜 제작하여 사용하였다. 각 공정의 차이점을 비교하기 위해서 배기단에 Capacitor Diaphram Gauge(CDG)와 Residual Gas Analyzer(RGA)를 통해서 압력과 잔류 가스를 모니터하였다. 그리고 각 공정을 통해서 porous한 Nano-Particles Network에 형성된$Al_2O_3$ 막의 특성을 비교하기 위해서 FE-SEM과 EDX를 통해서 관찰하였다. 또한 좀 더 자세한 비교 분석을 위해서$Al_2O_3$ 막이 형성된 porous한 Nano-Particles Networks의 각 각의 particles들을 분산시켜 TEM과 AFM를 통해서 관찰하였다. 나아가 전기적 물성의 차이점을 비교하기 위해서 IV 및 CV를 측정하였다. 위의 일련의 비교 실험을 통해서 'PEALD과 ALD을 이용한 다공성 기판의 증착 특성'에 대하여 고찰하였다. -
Park, Eun Ji;Cho, Youn Kyoung;Jeong, Myung-Geun;Kim, Dae Han;Jeong, Bora;Yoon, Hye Soo;Seo, Hyun Ook;Kim, Young Dok 159.1
We report a simple method for preparing hydrophobic mesoporous silica and its use as a pre-concentrating agent of gas analytes. Hydrophobic mesoporous silica was prepared by coating PDMS (polydimethylsiloxane) thin layer on commercial mesoporous silica with thermal deposition method in a sealed chamber. By using this method, we were able to coat PDMS layer on inner-walls of pores larger than 15 nm. Also, contact angle measured on a surface consisting of PDMS-coated mesoporous silica exceeded$150^{\circ}$ , implying that the surface has high water repellency. Pre-concentration ability of PDMS-coated mesoporous silica and baremesoporous silica was tested under dry and humid conditions. Adsorption and molecular desorption of gas analytes was much enhanced by PDMS-coating on mesoporous silica under both dry and humid conditions. Therefore we suggest that PDMS-coated mesoporous silica can be an efficient pre-concentration agent in order to enhance sensitivity of various detectors. -
간접띠간격(indirect bandgap)을 갖는 층상형 반도체
$MoS_2$ 는 두께가 줄어들어 단일층이 되면 층간 상호작용의 변화로 인해 ~1.8 eV의 직접띠간격(direct bandgap)을 갖게 된다. 이러한 초박형$MoS_2$ 의 발광 특성을 활용하기 위해서는 원자 크기 수준에서 두께와 물성을 조절할 수 있는 화학적 표면개질법에 대한 이해가 필요하다. 최근 아르곤(Ar) 플라즈마를 이용한$MoS_2$ 의 층상(layer-by-layer) 식각과 표면제어에 관한 연구결과가 보고되었으나 자세한 반응 메커니즘은 알려져 있지 않다. 본 연구에서는 산소 플라즈마에 의한 단일층 및 복층$MoS_2$ 의 산화반응을 원자힘 현미경(AFM), 광전자 분광법(XPS), 라만 및 광발광 분광법을 통해 관찰하고 반응 메커니즘을 이해하고자 한다. 플라즈마로 생성된 산소라디칼과의 반응시간이 증가함에 따라$E{^1}_{2g}$ 와$A_{1g}$ -진동모드에서 기인하는 라만 신호, 그리고 A와 B-엑시톤에서 유래하는 광발광의 세기가 감소함을 확인하였다. XPS와 AFM을 통해 반응이 진행됨에 따라$MoS_2$ 의 상층이$MoO_3$ 로 산화되면서 나노입자로 응집되어 표면형태가 변화하는 것을 확인하였다. 이 결과는 플라즈마 산화반응을 이용하여$MoS_2$ 표면에 구조적 결함(defect)과 층상 식각을 유발하고 광발광 특성 제어를 위해 전자구조를 조절할 수 있다는 가능성을 보여준다. -
Using a density functional theory calculation including van der Waals (vdW) corrections, we report that
$H_2$ adsorption in a cubic-crystalline microporous metal-organic framework (MOF-5) leads to volume shrinkage, which is in contrast to the intuition that gas adsorption in a confined system (e.g., pores in a material) increases the internal pressure and then leads to volumetric expansion. This extraordinary phenomenon is closely related to the vdW interactions between MOF and$H_2$ along with the$H_2$ -$H_2$ interaction, rather than the Madelung-type electrostatic interaction. At low temperatures,$H_2$ molecules adsorbed in the MOF-5 form highly symmetrical interlinked nanocages that change from a cube-like shape to a sphere-like shape with$H_2$ loading, helping to exert centrosymmetric forces and hydrostatic (volumetric) stresses from the collection of dispersive interactions. The generated internal negative stress is sufficient to overcome the stiffness of the MOF-5 which is a soft material with a low bulk modulus (15.54 GPa). -
Recently silicon has attracted intense interest as a promising anode material of lithium-ion batteries due to its extremely high capacity of 4200 mA/g (for Li4.2Si) that is much higher than 372 mAh/g (for LiC6) of graphite. However, it seriously suffers from large volume change (even up to 300%) of the electrode upon lithiation, leading to its pulverization or mechanical failure during lithiation/delithiation processes and the rapid capacity fading. To overcome this problem, Si nanowires have been considered. Use of such Si nanowires provides their facile relaxation during lithiation/delithiation without mechanical breaking. To design better Si electrodes, a study to unveil atomic-scale mechanisms involving the volume expansion and the phase transformation upon lithiation is critical. In order to investigate the lithiation mechanism in Si nanowires, we have developed a reactive force field (ReaxFF) for Si-Li systems based on density functional theory calculations. The ReaxFF method provides a highly transferable simulation method for atomistic scale simulation on chemical reactions at the nanosecond and nanometer scale. Molecular dynamics (MD) simulations with the ReaxFF reproduces well experimental anisotropic volume expansion of Si nanowires during lithiation and diffusion behaviors of lithium atoms, indicating that it would be definitely helpful to investigate lithiation mechanism of Si electrodes and then design new Si electrodes.
-
Electron-electron interactions bear important information on fundamental electronic properties such as electron effective mass, conductivity, and charge mobility. By using angle-resolved photoemission spectroscopy, here we address unusual electron self-energy in graphene induced by the electron-electron interactions, which are distinguished from those of an ordinary Fermi liquid. Our findings provide a new route for two-dimensional electron systems toward device applications.
-
We report catalytic decomposition of few-layer graphene on an
$Au/SiO_x/Si$ surface wherein oxygen is supplied by dissociation of the native$SiO_x$ layer at a relatively low temperature of$400^{\circ}C$ . The detailed chemical evolution of the graphene covered$SiO_x/Si$ surface with and without gold during the catalytic process is investigated using a spatially resolved photoelectron emission method. The oxygen atoms from the native$SiO_x$ layer activate the gold-mediated catalytic decomposition of the entire graphene layer, resulting in the formation of direct contact between the Au and the Si substrate. The notably low contact resistivity found in this system suggests that the catalytic depletion of a$SiO_x$ layer could realize a new way to micromanufacture high-quality electrical contact. -
플러렌은 구조적인 특성때문에 흡착된 표면의 재료적 성질과 구조 그리고 흡착 배향에 따라 전자구조가 민감하게 변한다. 그래핀 위의 플러렌은 약한 van der Waals interaction으로 인해 매우 균일한 패턴으로 자가조립하여 decoupling 되는 것으로 알려져 있지만 [1,2] 그래핀을 지지하는 substrate의 종류에 따라 플러렌의 전자 구조에 영향을 미치는 것으로 보인다 [3]. 우리는 substrate에 의한 효과를 관찰하기 위헤 Cu(111)위에 그래핀과 플러렌을 순차적으로 성장시켜 STM을 이용하여 플러렌의 흡착구조 및 전자 구조를 연구하였다. 플러렌과 그래핀 사이의 van der Waals interaction과 이웃한 플러렌 분자들 사이의 intermolecular interaction 세기에 따라 흡착 구조가 크게 영향을 받음을 알 수 있었다.
-
Song, U-Seok;Gwon, Sun-Yeol;Myeong, Seong;Jeong, Min-Uk;Kim, Seong-Jun;Min, Bok-Gi;Gang, Min-A;Kim, Seong-Ho;Im, Jong-Seon;An, Gi-Seok 164.2
In order to combine advantages of ZnO thin film transistors (TFTs) with a high on-off ratio and graphene TFTs with extremely high carrier mobility, we present a facile methodology for fabricating ZnO thin film/graphene hybrid two-dimensional TFTs. Hybrid TFTs exhibited ambipolar behavior, an outstanding electron mobility of$329.7{\pm}16.9cm^2/V{\cdot}s$ , and a high on-off ratio of$10^5$ . The ambipolar behavior of the ZnO/graphene hybrid TFT with high electron mobility could be due to the superimposed density of states involving the donor states in the bandgap of ZnO thin films and the linear dispersion of monolayer graphene. We further established an applicable circuit model for understanding the improvement in carrier mobility of ZnO/graphene hybrid TFTs. -
We have controlled the graphene surface in two ways to improve the device performance of optoelectronics based on graphene transparent conductive films. We controlled multilayer graphene (MLG) work function and localized surface plasmon resonance wavelength using a silver nanoparticles formed on graphene surface. Graphene substrates were prepared using a chemical vapor deposition and transfer process. Various size of silver nanoparticles were prepared using a thermal evaporator and post annealing process on graphene surface. Silver nanoparticles were confirmed by using scanning electron microscopy (SEM). Work functions of graphene surface with various sizes of Ag nanoparticles were measured using ultraviolet photoelectron spectroscopy (UPS). The result shows that the work functions of MLG could be controlled from 4.39 eV to 4.55 eV by coating different amounts of silver nanoparticles while minimal changes in the sheet resistance and transmittance. Also the Localized surface plasmon resonance (LSPR) wavelength was investigated according to various sizes of silver nanoparticles. LSPR wavelength was measured using the absorbance spectrum, and we confirmed that the resonance wavelength could be controlled from 396nm to 425nm according to the size of silver nanoparticles on graphene surface. To confirm improvement of the device performance, we fabricated the organic solar cell based on MLG electrode. The results show that the work function and plasmon resonance wavelength could be controlled to improve the performance of optoelectronics device.
-
In this work, we demonstrated a facile and effective method for deposition of metal tetraphenylporphyrin (MTPP) thin film by a combined a thermal evaporation (TE) and atomic layer deposition (ALD). For the deposition of Zn-TPP thin film, Tetraphenylporphyrin (TPP) and diethyl zinc (DEZ) were used as organic and inorganic materials, respectively. Optimum conditions for the deposition of Zn-TPP thin film were established systematically: (1) the exposure time of DEZ as inorganic precursor and (2) the substrate temperature were adjusted, respectively. As a result, we verified that the surface reaction between organic semiconductor (TPP) and metal atom (Zn) was ALD process. In addition, we calculated activation energy by using Arrhenius equation for the substrate temperature versus area change rate of pyrrolic nitrogen. The surface and interface reactions between TPP with Zn were investigated by X-ray photoelectron spectroscopy, Raman spectroscopy, UV-vis spectroscopy, and scanning electron microscopy. These results show a facile and well-controllable fabrication technique for the metal-organic thin film for future electronic applications.
-
Yoon, Hye Soo;Kim, Kwang-Dae;Jeong, Myung-Geun;Kim, Dae Han;Park, Eun Ji;Jeong, Bora;Cho, Youn Kyoung;Kim, Young Dok 167.1
We report a facile method to fabricate superhydrophobic, transparent and conductive film using multi-walled carbon nanotubes (MWCNTs) which are coated by polydimethylsiloxane (PDMS). In order to prepare a film, PDMS coated MWCNTs were dispersed in solvents and the solution was drop-casted on substrates. It was demonstrated that the PDMS coating enhanced the dispersion of MWCNTs in diverse solvents such as dimethyl formamide(DMF) and acetone without the use of acids or surfactants, which are the common methods. In the case of DMF solvent, dispersion of MWCNT was improved by 40 % upon PDMS-coating of MWCNT. Enhanced dispersion of MWCNTs made it possible to fabricate transparent and conductive film homogeneously on the substrate and PDMS-coating on MWCNTs also made the surface hydrophobic. We can fabricate a uniform and multifunctional MWCNT film (transparent, conductive, superhydrophobic and flexible) which is applicable on large area without any physical damage and expensive equipment. -
Jeong, Bora;Jeong, Myung-Geun;Park, Eun Ji;Seo, Hyun Ook;Kim, Dae Han;Yoon, Hye Soo;Cho, Youn Kyoung;Kim, Young Dok 167.2
In this work, ZnO shell on mesoporous$SiO_2$ ($ZnO/SiO_2$ ) was prepared by atomic layer deposition (ALD). Diethylzinc (DEZ) and$H_2O$ were used as precursor of ZnO shell.$ZnO/SiO_2$ sample was characterized by X-ray diffraction (XRD), N2 sorption isotherms, X-ray photoelectron spectroscopy (XPS), Scanning electron microscopy (SEM) and Fourier-transform infrared spectroscopy (FT-IR).$ZnO/SiO_2$ showed higher adsorption capacity of MB than that of bare mesoporous$SiO_2$ and the adsorption capacities of$ZnO/SiO_2$ could be regenerated by UV exposure through the photocatalytic degradation of the adsorbed MB. This system could be used for removing organic dye from water by adsorption and reused after saturation of adsorption due to its photocatalytic regeneration. -
We developed poly (3,4-ethylene dioxylene thiophene):poly (styrene sulfonic acid) (PEDOT:PSS)-free organic solar cells (OSCs) using buffer and anode combined Ta doped
$In_2O_3$ (ITaO) electrodes. To optimize the ITaO electrodes, we investigated the effect of$Ta_2O_5$ doping power on the electrical, optical, and structural properties of the co-sputtered ITaO films. The optimized ITaO film doped with 20 W$Ta_2O_5$ radio frequency power showed sheet resistance of 17.11 Ohm/square, a transmittance of 93.45%, and a work function of 4.9 eV, all of which are comparable to the value of conventional ITO electrodes. The conventional bulk heterojunction OSC with ITaO anode showed a power conversion efficiency (PCE) of 3.348% similar to the OSCs (3.541%) with an ITO anode. In addition, OSCs fabricated on an ITaO electrode successfully operated without an acidic PEDOT:PSS buffer layer and showed a PCE of 2.634%, which was much higher than the comparable no buffer OSC with an ITO anode. Therefore, co-sputtered ITaO electrodes simultaneously acting as a buffer and an anode layer can be considered promising transparent electrodes for cost-efficient and reliable OSCs because they can eliminate the use of an acidic PEDOT:PSS buffer layer. -
반사방지막 코팅(Anti-reflection coating)은 태양전지(Solar cell), 발광다이오드(LED) 등의 반사율을 낮추어 효율을 증대시키기 위하여 사용되고 있다. 본 실험에서는 유리 기판 위에 실리콘 타겟을 이용한 반응성 high power impulse magnetron sputtering (HIPIMS) 장비를 활용하여, 높은 공정 압력(High-pressure)에서 펄스폭(Pulse width)을 조절하여
$SiO_2$ 반사방지막 코팅층을 형성하였다. 또한, 기공이 더 많은 박막을 제작하기 위해 빗각증착(Oblique-angle deposition)을 적용하여 더 좋은 광학 특성을 갖는 반사방지막 코팅층을 형성하였다. UV-Vis spectrometer를 이용하여, 380~800 nm 파장에서 투과율(Transmittance)을 측정하여 비교, 분석하였다. Ellipsometer를 이용하여$SiO_2$ 박막층의 굴절률(Refractive index)을 측정한 결과, 반사방지막 코팅층 내부 기공에 따라 다양한 굴절률을 가지는 것을 확인할 수 있었다. 또한, 코팅층 내부 기공의 형상을 확인하기 위해 SEM(Secondary electron microscopy)을 활용하여 코팅층 단면(Cross section)을 측정하였다. 이를 활용하여 낮은 굴절률을 갖는 반사방지용$SiO_2$ 코팅층을 형성하여 태양전지의 광 변환 효율을 상승 시킬 수 있고, 발광다이오드의 광 추출 효율을 증가시킬 있을 것으로 여겨진다. -
The variations of electronic and magnetic properties of ultrathin Fe overlayers on a W(001) surface as a function of Fe film thickness (1.0~4.0 ML) has been investigated using x-ray magnetic circular dichroism (XMCD) in conjunction with ultraviolet photoelectron spectroscopy (UPS) and low energy electron diffraction (LEED). We found that the ferromagnetic property of Fe film started to build up over 2.0 ML, as we confirmed the spin and angular moment contribution to the magnetic moment using XMCD experiments. We will systematically demonstrate that the occurrence of ferromagnetic property of Fe film on a W(001) surface is closely correlated to a themally stable layer of Fe film on a W(001) surface.
-
Thin nitride thin films were synthesized by reactive radio-frequency magnetron sputtering in the ultra high vacuum (UHV) chamber. To control the characteristics of thin films, tin nitride thin films were obtained various argon and nitrogen gas mixtures, especially low nitrogen gas ratios. Tin nitride thin films were analyzed with alpha step, scanning electron microscopy (SEM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and 4 point probe measurement. The result of alpha step and SEM showed that the thickness of thin nitride thin films were decreased with increasing nitrogen gas ratios. The metallic tin structure was decreased and the amorphous tin nitride structure were observed by XRD with higher nitrogen gas ratios. The oxidation state of tin and nitride were studied with high resolution Sn 3d and N 1s XP spectra.
-
Despite growing interest in Ge as a possible alternative to Si, reliable data on Ge surface has been relatively scarce. Using low temperature scanning tunneling microscopy (STM), we investigate band-bending effects of localized charge traps at Ge(001) surface at 78 K. For this investigation, we prepared nearly defect-free Ge(001) surface by keeping the background pressure to <
$1{\times}10^{-10}$ mbar during outgassing. Ge(001) surfaces this obtained exhibit a flat-band condition, and deposition of charge traps induce a distinct, sharp boundary between pinned and depinned surface area in the constant current mode STM images. We will show the tip-surface interaction plays an essential role in producing the boundary, and discuss about the conditions that enable the pinning effect. -
Graphene (G) has been modified with palladium, copper, and manganese oxide nanoparticles (NPs), and their catalytic applications have been studied in C-C coupling reactions and methylmercaptan (CH3SH) decomposition reactions. In this research, graphite oxide (GO) sheets were exfoliated and oxidized from graphite powder and impregnated with metal precursors including Pd2+, Cu2+, and Mn2+. The thermal treatments of the metal impregnated GO in preferred gas environments produced Pd NPs on graphene (Pd/G), PdO NPs on GO (PdO/GO), and CuOx and MnOx NPs on graphene (CuOx/MnOx/G). In case of Pd/G and PdO/GO, the TEM images show that, although the mean size of the Pd NPs changed significantly before and after the C-C coupling reaction, that of the PdO NPs didn't, implying that the PdO/GO was superior to Pd/G in terms of the recyclability. Also, we demonstrate that the CuOx/MnOx/G exerts the excellent catalytic efficiency in CH3SH decomposition reaction comparing with conventional catalysts. The chemical and electronic structural changes were investigated using XRD and XPS.
-
Graphene has attracted an increasing attention due to its extraordinary electronic, mechanical, and thermal properties. Especially, the two dimensional (2D) sheet of graphene with an extremely high surface to volume ratio has a great potential in the preparation of multifunctional nanomaterials, as 2D supports to host metal nanoparticles (NPs). Copper oxide is widely used in various areas as antifouling paint, p-type semiconductor, dry cell batteries, and catalysts. Although the copper oxide(II) has been well known for efficient catalyst in C-N cross-coupling reaction, copper oxide(I) has not been highlighted. In this research, CuO and Cu2O nanoparticles (NPs) dispersed on the surface of grapehene oxide (GO) have been synthesized by impregnation method and their morphological and electronic structures have been systemically investigated using TEM, XRD, and XAFS. We demonstrate that both CuO and Cu2O on graphene presents efficient catalytic performance toward C-N cross coupling reaction. The detailed structural difference between CuO and Cu2O NPs and their effect on catalytic performance are discussed.
-
Kang, Min-a;Jung, Min Wook;Myung, Sung;Song, Wooseok;Lee, Sun Suk;Lim, Jongsun;Park, Chong-Yun;An, Ki-Seok 176.2
In this work, we demonstrated that the fabrication of flexible graphene-based chemical sensor with heaters by soft lithographic patterning method [1]. First, monolayer and multilayer graphene were prepared by thermal chemical vapor deposition transferred onto SiO2 / Si substrate in order to fabrication of patterned-sensor and -heater. Second, patterned-monolayer and multilayer graphene were detached through soft lithography process, which was transferred on top and bottom sides of PET film. Third, Au / Ti (Thickness : 100/30 nm) electrodes were deposited end of the patterned-graphene line by sputtering system. Finally, we measured sensor properties through injection of NO2 and CO2 gas on different temperature with voltage change of graphene heater. -
Kim, Seong-Ho;Song, U-Seok;Jeong, Min-Uk;Gang, Min-A;Lee, Seon-Suk;Im, Jong-Seon;Hwang, Jin-Ha;Myeong, Seong;An, Gi-Seok 177.1
단일벽 탄소나노튜브(single-wall carbon nanotube)와 그래핀(graphene)과 같은 저차원 구조의 탄소물질은 우수한 기계적, 전기적, 열적 광학적 특성으로 인해 투명하고 유연한 차세대 전자소자로의 응용(투명전극, 투명트랜지스터, 투명센서 등)을 위한 연구가 활발히 진행되고 있다. 본 연구에서는 단일벽 탄소나노튜브와 단일층 그래핀을 이용한 하이브리드 박막을 제작하여 투명전극(transparent electrode)과 전계효과 트랜지스터(field effect transistors)로의 응용 가능성을 연구하였다. 하이브리드 박막의 제작은 간단한 방법으로 단일벽 탄소나노튜브가 스핀 코팅된 구리 호일 위에 열 화학기상증착법(thermal chemical vapor deposition)을 통해 제작 하였다. 제작 과정 중 탄소나노튜브의 스핀코팅 조건을 최적화하여 하이브리드 박막에서 탄소나노 튜브의 밀도와 정렬을 제어하였으며 하이브리드 박막 제작 후 스핀 코팅 방향에 따른 박막의 저항을 측정하여 단일벽 탄소나노튜브의 코팅 방향에 따라 박막의 저항이 달라지는 모습을 확인할 수 있었다. 하이브리드 박막의 투명전극 특성을 확인 한 결과$300{\Omega}/sq$ 의 면저항에 96.4%의 우수한 투과도를 보이는 것을 확인 할 수 있었다. 또한 하이브리드 박막은 CVD 그래핀과 비교하여 향상된 와 on-state current를 보이는 것을 확인 할 수 있었다. 우리는 단일벽 탄소나노튜와 단일층 그래핀으로 이루어진 하이브리드 박막이 앞으로의 투명하고 유연한 소자제작 연구에 있어 새로운 투명 전극 및, 트랜지스터 제작 방법을 제시 할 수 있을 것이다. -
현재 플렉시블 전자기기에 대한 수요가 증가함에 따라 Ag nanowire는 ITO 대체용 투명전극 물질로 주목받고 있다. Ag nanowrie 투명전극은 면저항이 약
$300{\Omega}/sq$ 정도인 PEDOT 투명전극 보다 성능이 우수하지만, 표면에 나노와이어의 적층으로 100 nm 크기의 돌기들이 존재하여 균일한 표면특성이 요구되는 투명전극에 불리한 요인이 된다. Ag nanowire를 투명전극으로 사용하여 OLED를 제조할 경우, 40 nm~100 nm의 두께를 갖는 HTL층보다 투명전극 표면의 Rpv 값이 큰 경우 Leakage current가 증가하므로 이러한 돌기들을 감소시키는 것이 Ag nanowire를 투명전극에 적용할 수 있는 중요한 요건이 된다. 본 연구에서는 PET film 위에 Ag nanowire를 얇게 코팅하여 투과도 약 87%, 면저항$20{\Omega}/sq$ 이하의 특성을 갖는 투명전극을 제조하였다. 그리고 Ag nanowire를 코팅한 투명전극의 표면 Roughness를 감소시키기 위해 Roll press를 이용하여 나노와이어를 물리적으로 압착하였고, 압착된 Ag nanowire 투명전극 위에 PEDOT를 코팅하여 전도도 및 표면 Roughness를 감소시키는 연구를 진행하였다. -
Kim, Ju-Hwan;Park, Chan-Ae;Park, Su-Jeong;Denni, Yuseurama;Lee, Gang-Il;Chae, Hong-Cheol;Gang, Hui-Jae 178.1
본 연구에서는 RF스퍼터링법에 의하여 유리기판에 NiO를 40 nm만큼 증착시킨후, 30분 동안 각각 상온,$100^{\circ}C$ ,$200^{\circ}C$ ,$300^{\circ}C$ ,$400^{\circ}C$ 로 후 열처리 하였다. 박막의 전자적, 광학적 특성은 XPS (X-ray Photoelectron Spectroscopy), REELS (Reflection Electron Energy Loss Spectroscopy)와 UV-Spectrometer를 이용하여 =측정하였고, Hall Effect를 이용하여 전기적 특성을 측정하였다. XPS측정결과,$400^{\circ}C$ 후 열처리 한 NiO박막은 NiO 결합인 Ni2+가 줄어 들면서 금속 결합인 Ni0가 증가하면, 상온에서 띠틈이 4.0eV, 3.4eV로 줄어드는 것을 REELS로 확인 했다. 이 값은 UV-Spectrometer를 이용한 광학적 띠틈과 같음을 보였다. Hall Effect측정 결과$400^{\circ}C$ 후 열처리한 샘플에서 P-type에서 N-type으로 바뀜을 보였으며, 비저항이 낮아지는 경향을 보였다. UV-Spectrometer를 이용한 광학적 특성을 측정해본 결과, 가시광선영역인 380 nm~780 nm에서의 투과율이 75%이상으로 투명전자소자로의 응용이 가능하다는 것을 보여 주었다. -
산화아연(ZnO) 박막은 낮은 온도에서 성장이 가능하며 높은 전하 이동도(Carrier Mobility)를 얻을 수 있는 장점을 가지고 있다. 또한, 산화아연 박막은 산소함량에 따라 저항을 제어할 수 있기 때문에 원하는 물성을 얻기에 매우 용이 하게 사용되며 투명한 성질은 투명 유연 디스플레이의 박막트랜지스터로 응용을 할 수 있다는 장점을 지닌다. 이러한 투명 유연 박막 트랜지스터는 다양한 방법으로 제작이 가능하지만, 용액공정을 통한 제작은 저비용에 대면적의 제작이 용이하며, 낮은 온도에서 공정이 가능하다는 장점으로 인해 유연한 기판에 적용 가능한 방법으로 각광받고 있다. 하지만 용액공정을 통해 제작된 박막 트랜지스터의 경우 전하 이동도가 낮다고 보고되고 있다. 이를 개선하기 위해서 열처리를 통해 결정성을 향상시키고 전자 이동도를 증가시키는 방법이 보고된바 있지만 열처리 온도가
$500^{\circ}C$ 로 비교적 높기 때문에 유연 기판에 적용하기에는 적합하지 않다. 본 연구에서는 연마된 구리기판 위에 용액공정을 통해 산화아연 박막을 제작한 후 열처리 과정을 통해 결정성을 향상시키고, 열처리가 끝난 후에 유연 기판 위로 전사 하는 연구를 진행하였다. -
Si nanowires have exhibited unique optical characteristics, including nano-antenna effects due to the guided mode resonance, significant optical absorption enhancement in wide wavelength and incident angle range due to resonant optical modes, graded refractive index, and scattering. Since Si poor optical absorption coefficient due to indirect bandgap, all such properties have stimulated proposal of new optoelectronic devices whose performance can surpass that of conventional planar devices. We have carried out finite-difference time-domain simulation studies to design optimal Si nanowire array for solar cell applications. Optical reflectance, transmission, and absorption can be calculated for nanowire arrays with various diameter, length, and period. From the absorption, maximum achievable photocurrent can be estimated. In real devices, serious recombination loss occurring at the surface states is known to limit the photovoltaic performance of the nanowire-based solar cells. In order to address such issue, we will discuss how the geometric parameters of the array can influence the spatial distribution of the optical field (resulting optical generation rate) in the nanowires.
-
Carbon nanotubes (CNT)-metal contacts play an important role in nanoelectronics applications such as field-effect transistor (FET) devices. Using Al and (10,0) CNT, we have recently showed that the CNT-metal contacts mediated via topological defects within CNT exhibits intrinsically low contact resistance, thanks to the preservation of the sp2 bonding network at the metal-CNT contacts.[1] It is well-established that metals with good wetting property such as Pd consistently yield good contacts to both metallic and semiconducting CNTs. In this work, the electronic and charge transport properties of the interfaces between capped CNT and Pd will be investigated based on first-principles computations and compared with previous results obtained for the Al electrodes.
-
이중층 그래핀(graphene)의 한쪽 표면에 전하를 주입하면 반전 대칭(inversion symmetry)이 깨지며 라만-비활성 진동모드가 활성화되면서 라만 G-봉우리 부근에 새로운 봉우리가 나타난다고 알려져 있다. 삼중층 그래핀은 그래핀이 적층되는 방식에 따라 ABA (Bernal), ABC (rhombohedral) 그래핀으로 나뉘며, ABC 그래핀은 ABA와는 달리 반전 대칭성을 가지고 있다. 본 연구에서는 화학적인 방법을 이용하여 ABC 그래핀의 반전 대칭을 제어하고 그에 따른 라만 스펙트럼의 변화를 탐구하였다. ABA 그래핀과는 달리 이중층 그래핀과 ABC 그래핀에서는 저진공 열처리 또는 요오드 흡착반응을 한 후에 G-봉우리 부근에서 새로운 봉우리가 나타나는 것을 관찰하였고, 전하밀도 정도가 증가 할수록 G-봉우리와 새로운 봉우리의 위치 차이는 증가하는 것을 관찰하였다. 물과 메탄올에 의한 세척 반응으로부터 열처리는 복층 그래핀과 기판 계면에 그리고 요오드 흡착은 그래핀의 상단표면에 잉여 전하를 유발하여 반전 대칭을 깨트린다는 사실을 확인하였다. 또한 G-봉우리와 새로운 봉우리의 진동수 차이가 반전 대칭을 유발한 전하밀도의 그래디언트에 대한 척도가 될 가능성을 제시하였다.
-
Opening a bandgap by forming graphene nanoribbons (GNRs) and tailoring their properties via doping is a promising direction to achieve graphene-based advanced electronic devices. Applying a first-principles computational approach combining density functional theory (DFT) and DFT-based non-equilibrium Green's function (NEGF) calculation, we herein study the structural, electronic, and charge transport properties of boron-nitrogen binary edge doped GNRs and show that it can achieve novel doping effects that are absent for the single B or N doping. For the armchair GNRs, we find that the B-N edge co-doping almost perfectly recovers the conductance of pristine GNRs. For the zigzag GNRs, it is found to support spatially and energetically spin-polarized currents in the absence of magnetic electrodes or external gate fields: The spin-up (spin-down) currents along the B-N undoped edge and in the valence (conduction) band edge region. This may lead to a novel scheme of graphene band engineering and benefit the design of graphene-based spintronic devices.
-
Supercapacitor is attracting growing attention for a promising energy conversion and storage device because of its desirable electrochemical properties such as rapid charge-discharge rate, high power density and long cycle life. Three-dimensional (3D) metal nanostructure has been widely studied since it can provide efficient charge transport along the 3D network in many device applications. In this work, we fabricated well-ordered 3D nickel (Ni) nanostructures using 3D-arrayed polystyrene nano-opal substrates. We also fabricated half-cell supercapacitors by electrodepositing
$RuO_2$ onto these nanostructured Ni current collectors and investigated their morphological and electrochemical properties. -
Kwak, Jinsung;Kwon, Tae-Yang;Chu, Jae Hwan;Choi, Jae-Kyung;Lee, Mi-Sun;Kim, Sung Youb;Shin, Hyung-Joon;Park, Kibog;Park, Jang-Ung;Kwon, Soon-Yong 181.2
A single-layer graphene has been uniformly grown on a Cu surface at elevated temperatures by thermally processing a poly (methyl methacrylate) (PMMA) film in a rapid thermal annealing (RTA) system under vacuum. The detailed chemistry of the transition from solid-state carbon to graphene on the catalytic Cu surface was investigated by performing in-situ residual gas analysis while PMMA/Cu-foil samples being heated, in conjunction with interrupted growth studies to reconstruct ex-situ the heating process. We found that the gas species of mass/charge (m/e) ratio of 15 ($CH_3{^+}$ ) was mainly originated from the thermal decomposition of PMMA, indicating that the formation of graphene occurs with hydrocarbon molecules vaporized from PMMA, such as methane and/or methyl radicals, as precursors rather than by the direct graphitization of solid-state carbon. We also found that the temperature for dominantly vaporizing hydrocarbon molecules from PMMA and the length of time, the gaseous hydrocarbon atmosphere is maintained, are dependent on both the heating temperature profile and the amount of a solid carbon feedstock. From those results, we strongly suggest that the heating rate and the amount of solid carbon are the dominant factors to determine the crystalline quality of the resulting graphene film. Under optimal growth conditions, the PMMA-derived graphene was found to have a carrier (hole) mobility as high as${\sim}2,700cm^2V^{-1}s^{-1}$ at room temperature, which is superior to common graphene converted from solid carbon. -
Nanostructures on Graphene surface receive highly attraction for many applications ranging from sensing technologies to molecular electronics. Recently J. Jasuja et al. reported the electrical property tailoring and Raman enhancement by the implantation and growth of dendritic gold nanostructures on graphene derivatives [ACSNANO, 3, 2358, 2013] Here, we introduced Si vapor on the graphen to induce the nanostructure. The surface property change of graphene by controlling the amount of Si and the thickness of graphene were investigated using high resolution photoemission spectroscopy (HRPES), and atomic force microscopy (AFM). The Si nanostructures on graphene show the thickness dependency of graphene, and the size of Si nano-structure reached to 7 nm and 15 nm on the mono and the multilayered graphene after
$30{\AA}$ Si evaporation. -
Recent advances in the synthesis and characterization of nanoscale objects provided us with the atomistic understanding of charge transport through single molecular junctions. The representative examples are the mechanically controlled break junction technique and STM or conducting AFM junction techniques. Theoretical studies have been reported on the dependence of electronic charge transport on the geometry of molecule-electrode contacts, the critical element toward the realization of molecular electronics. In this report, we will clarify the puzzling discrepancies between theoretical predictions and experiments.
-
Park, Ha-Nul;Jo, Da-Yeong;Lee, Hye-Min;Seo, Gi-Won;Kim, Hyo-Jung;Lee, Yeong-U;Kim, Ji-Hun;Kim, Han-Gi 185.1
본 연구에서는 Electrohydrodynamic (EHD) 젯 프린팅 시스템을 이용하여 graphene이 올려져 있는 유연성 있는 PET 기판 위에 Ag 용액을 그리드로 간격에 따라 타진하였다. Ag 그리드 간격을 200 um, 300 um, 400 um, 500 um로 증가시켰으며, 이때 UV/Vis spectrometry, four-point probe를 이용하여 전기적, 광학적 특성을 분석하였다. Graphene/Ag-grid 하이브리드 투명전극은 그리드 간격 400 um에서 21Ohm/sq.의 면저항과 550 nm에서 84.08%의 투과도를 확인하였다. 또한, graphene/Ag-grid 하이브리드 투명전극의 기계적 응력에 따른 전기적 안정성을 알아보기 위해 radius에 따른 bending, fatigue test와 twist bending, rolling test를 진행하였다. Fatigue bending은 speed 30 mm/s, outer bending radius 20 mm, inner bending radius 22.5 mm로 bending test를 5000번 진행하였으며, twist bending, rolling test를 각각 10000번 진행하였다. 이 결과를 통해 bending-release cycle 조건에서도 초기저항 대비 5% 이내의 매우 우수한 전기적 안정성을 나타냄을 확인하였다. 이러한 graphene/Ag-grid 하이브리드 투명전극의 우수한 특성을 얻음으로써, graphene 박막의 플렉시블 투명전극으로서의 적용가능성을 타진할 수 있었다. -
We have studied the atomic and electronic structure of graphene nanoribbons (GNRs) on a hexagonal boron nitride (h-BN) sheet with intercalated atoms using first-principles calculations. The h-BN sheet is an insulator with the band gap about 6 eV and then it may a good candidate as a supporting dielectric substrate for graphene-based nanodevices. Especially, the h-BN sheet has the similar bond structure as graphene with a slightly longer lattice constant. For the computation, we use the Vienna ab initio simulation package (VASP). The generalized gradient approximation (GGA) in the form of the PBE-type parameterization is employed. The ions are described via the projector augmented wave potentials, and the cutoff energy for the plane-wave basis is set to 400 eV. To include weak van der Waals (vdW) interactions, we adopt the Grimme's DFT-D2 vdW correction based on a semi-empirical GGA-type theory. Our calculations reveal that the localized states appear at the zigzag edge of the GNR on the h-BN sheet due to the flat band of the zigzag edge at the Fermi level and the localized states rapidly decay into the bulk. The open-edged graphene with a large corrugation allows some space between graphene and h-BN sheet. Therefore, atoms or molecules can be intercalated between them. We have considered various types of atoms for intercalation. The atoms are initially placed at the edge of the GNR or inserted in between GNR and h-BN sheet to find the effect of intercalated atoms on the atomic and electronic structure of graphene. We find that the impurity atoms at the edge of GNR are more stable than in between GNR and h-BN sheet for all cases considered. The nickel atom has the lowest energy difference of ~0.2 eV, which means that it is relatively easy to intercalate the Ni atom in this structure. Finally, the magnetic properties of intercalated atoms between GNR and h-BN sheet are investigated.
-
Graphene, which is a 2-dimensional carbon material, has been attracting much interest due to its unique properties and potential applications. So far, many interesting experimental and theoretical works have been done concerning the electronic properties of graphene on various substrates. Especially, there are many experimental reports about doping in graphene which is caused by interaction between graphene and its supporting substrates. Here, we report the study of charge transfer between graphene and oxide substrates using density functional theory (DFT) calculations. In this study, we have investigated the charge transfer related with graphene considering various oxide substrates such as SiO2(0001) and MgO(111). Details in charge transfer between graphene and oxides are analyzed in terms of charge density difference, band structure and work function.
-
최근 친환경 에너지에 대한 관심이 증폭되면서 리튬이차전지에 대한 연구가 활발히 진행되고 있다. 특히 음극(anode) 물질의 경우 기존의 흑연(graphite)보다 이론적 용량이 약 10배 이상 높은 실리콘(Silicon)에 대한 관심이 매우 높다. 하지만 Si의 경우 리튬 충전거동 시 400% 이상의 부피팽창으로 몇 번의 충전/방전 싸이클(cycle)에 전극이 파괴되는 문제점을 지니고 있다. 이를 극복하기 위해 Si 나노선이 고려되고 있다. 우수한 전극특성을 갖는 Si 소재를 개발하기 위해서는 원자단위에서 Si 나노선의 리튬 충전 메커니즘을 살펴보는 것이 매우 중요하다. 하지만 기존의 시뮬레이션 기법으로는 Si 나노선의 볼륨팽창에 관한 메커니즘과 리튬 충전과정에서의 상변화(결정질에서 비정질) 과정을 설명하기는 기술적으로 매우 힘들다. 고전적인 분자동역학 방법의 경우 실제 나노스케일을 고려할 수 있지만, empirical potential로는 원자들간의 화학반응을 제대로 묘사할 수 없다. 한편 양자역학에 기반을 둔 제일원리방법의 경우 계산의 복잡성으로 현재의 컴퓨터 환경에서는 나노스케일에서 원자들의 동역학적인 거동을 연구하기 매우 힘들다. 우리는 이러한 문제를 해결하기 위해 실제 나노스케일에서 원자간 화학반응을 예측할 수 있는 Si-Li 시스템의 Reactive force field를 개발하였고, 분자동역학 계산방법을 이용하여 Si 나노선의 Li 충전 메커니즘을 규명하였다.
-
아연 가격의 상승과 경량합금개발의 중요성이 부각됨에 따라 아연을 대체할 새로운 강판용 내식소재의 개발이 중요하다. Al-Mg 합금 박막은 낮은 밀도를 가지면서 질량 대비 높은 강도, 좋은 내식성을 지녀 아연도금강판을 대체할 코팅소재로 그 가능성이 연구되고 있다. 이러한 Al-Mg 합금 박막의 실용화를 위해 국내외의 관련 연구그룹에서 연구를 진행하고 있으며, 그 결과 Al-Mg 합금 박막의 내식성 및 기계적 특성은 박막의 조성 및 미세구조와 상당한 관련성이 있음이 알려지고 있다. 본 연구에서는 sputtering법으로 냉연 강판에 Al-Mg 합금을 조성 및 열처리 여부 등을 조절하여 증착시킨 후 각 조건에 따른 박막의 미세구조와 기계적 특징을 조사하였다. 각 조건별 내마모도와 경도, 마찰계수, 밀착력을 분석하여 기계적 특성과 미세구조와의 상관관계를 도출하고자 하였다.
-
최근 다양한 카본 나노소재들이 열 전도성 필러로써 고분자 복합체의 열전도도 향상을 위해 연구되고 있다. 그러나 구조적 이방성을 갖는 탄소나노튜브(CNT) 혹은 그래핀나노플레이트(Graphene Nanoplatelet)를 복합체에 적용할 경우, 복합체의 수직 방향과 수평 방향에서의 열전도도가 3배 이상 차이가 나는 문제가 있다. 따라서 본 연구에서는 2차원의 GNP 표면 위에 1차원의 CNT를 직접 성장시킨 하이브리드 탄소소재를 이용하여 이러한 열전도도 이방성을 개선하고자 하였다. 하이브리드 탄소소재는 무전해 도금법과 열기상법으로 제조하였다. 합성된 하이브리드 탄소소재 및 CNT를 단독 혹은 혼합하여 필러를 만들고 이를 에폭시 기지 내에 분산시켜 복합체를 제작하였다. 필러 함량별, 필러 비율별로 제작된 복합체의 열전도도를 레이저 플래시 법으로 측정 비교하였다. 결과적으로 기존의 단일 필러들보다 열전도도 이방성이 1.5배 이상 개선된 방열용 에폭시 복합체를 제작할 수 있었다. 한편 하이브리드 탄소와 2% 이하의 CNT 배합에서 단독 필러 투입에 비해 45% 이상의 열전도율 향상을 확인하였다. 이는 미세구조 분석 및 성분 분석 결과, 필러 분산 정도가 열전도도 향상의 주요 인자로 작용하는 것을 확인하였고 기지 내 CNT가 열전도도 경로로 작용하기보다는 하이브리드 탄소소재의 균일한 분산에 영향을 준 것으로 사료된다.
-
Jang, Jong Shik;Kang, Hee Jae;Kim, An Soon;Baek, Hyun Jeong;Kim, Tae Woon;Hong, Songwoung;Kim, Kyung Joong 188.1
Aluminum is widely used as a material for electrode on silicon based devices. Especially, aluminum films are used as backside and front-side electrodes in silicon quantum dot (QD) solar cells. In this point, the diffusion of aluminum is very important for the enhancement of power conversion efficiency by improvement of contact property. Aluminum was deposited on a Si (100) wafer and a Si QD layer by ion beam sputter system with a DC ion gun. The Si QD layer was fabricated by$1100^{\circ}C$ annealing of the$SiO_2/SiO_1$ multilayer film grown by ion beam sputtering deposition. Cs ion beam with a low energy and a grazing incidence angle was used in SIMS depth profiling analysis to obtain high depth resolution. Diffusion behavior of aluminum in the Al/Si and Al/Si QD interfaces was investigated by secondary ion mass spectrometry (SIMS) as a function of heat treatment temperature. It was found that aluminum is diffused into Si substrate at$450^{\circ}C$ . In this presentation, the effect of heat treatment temperature and Si nitride diffusion barrier on the diffusion of Al will be discussed. -
Chemical vapor deposition (CVD) method is usually used to grow high-quality large area graphene. In the CVD process, copper is an especially important catalytic-substrate due to the fact that graphene films grown on Cu foils are predominantly one monolayer thick. In this study, we has grown graphene on several types of copper substrates: Cu foils and copper single crystal surfaces such as Cu(100) and Cu(111) are chosen. To investigate the differences between graphene grown on foils and single crystals, we use Raman spectroscopy, X-ray diffraction and atomic force microscopy. Details of the experimental results will be presented.
-
Lead zirconium titanate (PZT) is usually used as bulk and thin films. Due to high flexibility and piezoelectric, ferroelectric and pyroelectric properties, PZT fiber has attracted in a variety of fields such as sensor devices, non-electromechanical systems and non-volatile ferroelectric memory devices. And PZT fiber can be numerously synthesized and almost with the diameter of PZT fiber thicker than
$10{\mu}m$ . However, the electrospinnig method is cost effective and convenient. PZT obtained by electrospinning methodhas the diameter from sub-micro to nanometer. In this paper, the PZT/PVP nanofibers were synthesized with three precursors, lead nitrate, zirconium ethoxide and titanium isopropoxide. And the PZT nanofibers were fabricated after removal of PVP by annealing process at various temperature. The obtained PZT nanofibers were characterized by means of X-ray photoelectron spectroscopy (XPS) for chemical properties, X-ray diffraction (XRD) for crystallinity and phase, scanning electron microscopy (SEM) for morphologies. The diameter of PZT nanofibers were measured with SEM. From the SEM images, we confirmed that diameter of PZT nanofibers was hundreds of nanometers and decreased with increasing the annealing temperature. When the annealing temperature increased, the crystallinity of PZT nanofibers changed from pyrochlore to perovskite structure. -
The most stable adsorption structures and energies of four tautomerized forms (keto-1, enol-1, keto-2, and enol-2) of 3-methyl 5-pyrazolone (MP) adsorbed on Ge(100) surfaces have been investigated by Density Functional Theory (DFT) calculation method. Among its four tautomerized forms, we confirmed three tautomerized forms except keto-1 form show the stable adsorption structures when they adsorbed on the Ge(100)-
$2{\times}1$ surface as we calculate the respective stable adsorption structures, activation barrier, transition state energy, and reaction pathways. Moreover, among three possible adsorption structures, we acquired that enol-2 form has most stable adsorption structure with O-H dissociated N-H dissociation bonding structure. -
The interfacial electronic structure of a bilayer of chloroaluminum phthalocyanine (ClAlPc) and pentacene grown on indium tin oxide (ITO) has been studied using synchrotron radiation-excited photoelectron spectroscopy. The energy difference between the highest occupied molecular orbital (HOMO) level of the pentacene layer and the lowest unoccupied molecular orbital (LUMO) level of the ClAlPc layer (EDHOMO - EALUMO) was determined and compared with that of C60/pentacene bilayers. The EDHOMO - EALUMO of a heterojunction with ClAlPc was found to be 1.4 eV, while that with C60 was 1.0 eV. This difference is discussed in terms of the difference of the ionization energy of each acceptor materials. We also obtained the complete energy level diagrams of ClAlPc/pentacene/ITO and C60/pentacene/ITO, respectively.
-
We report the origin of the improvement of the power conversion efficiency (PCE) of hybrid thin-film solar cells when a soluble C60 derivative, [6,6]-phenyl-
$C_{61}$ -butyric acid methyl ester (PCBM), is introduced as a hole-blocking layer. The PCBM layer could establish better interfacial contact by decreasing the reverse ark-saturation current density, resulting in a decrease in the probability of carrier recombination. The power conversion efficiency of this optimized device reached a maximum value of 8.34% and is the highest yet reported for hybrid thin-film solar cells. -
Crystallization has become the most popular technique for the separation of enantiomers since the Pasteur's discovery. To investigate mechanism of crystallization of chiral molecules, it is necessary to study self-assembled structures on two-dimensional surface. Here, we have studied two-dimensional self-assembled structures of an unnatural amino acid, (S)-
${\beta}$ -methyl naphthalen-1-${\gamma}$ -aminobutyric acid (${\gamma}^2$ -1-naphthylalanine) on Au(111) surface at 150 K using scanning tunneling microscopy (STM). At initial stage, we found two chiral honeycomb structures which are counter-clockwise and clockwise configurations in one domain. The molecules are arranged around molecular vacancies, dark hole. By further increasing the amounts of adsorbed${\gamma}^2$ -1-naphthylalanine, a well-ordered square packed structure was observed. In addition, we found the other structure that molecules were trapped in the pore of the hexagonal molecular assembly. -
Cu/ZnO/
$Al_2O_3$ is widely used methanol synthesis catalyst at elevated pressures P (50 to 100 bar) and temperatures T (473 to 573 K) using$CO_2$ , CO,$H_2$ syngas mixture. Although Cu step and planar defects have been regarded as active sites in this catalyst, detailed$CO_2$ hydrogenation procedure has been still unknown and debated as well as initial intermediate. In this study, we investigated the mechanism of$CO_2$ hydrogenation on Cu(111) model surface at P (1 bar) and T (298 to 450 K) using reflection absorption infrared spectroscopy (RAIRS). Two distinct formates by hydrogenation of$CO_2$ , on step and on terrace, show different behavior with elevating temperature. The peak intensity of on step formate was continuously decreased above 360 K up to 450K in contrast to the increase of on terrace formate. These phenomena are strong possibilities that the formate is initial intermediate and is desorbed by hydrogenation reaction because thermal desorption temperature of formate (~470 K) is much higher than desorption of on step formate. And the formate production peak of on step site was weakly correlated with CO formation. -
We report solution-processed organic trilayer solar cells consisting of poly (3-hexylthiophene) (P3HT), a conjugated polyelectrolyte (CPE) and [6,6]-phenyl-C61-butyric acid methyl ester (PCBM), wherein the effect CPE layer thickness on device properties was investigated. The current-voltage characteristics under illumination and dark as well as photoluminescence were characterized using various concentrations (0.02, 0.1, and 0.3wt%) of to deposit the CPE interlayer between the donor and acceptor layers. We also investigated the influence of molecular dipole moments in the trilayer solar cells by external stimuli. These results provide an experimental approach for investigating the influence of interfacial dipoles on solar cell parameters when placed between the donor and acceptor and allow us to obtaining fundamental information about the donor/acceptor interface in organic solar cells.
-
Among various dopant candidates, nitrogen (N) atoms are considered as the most effective dopants to improve the diverse properties of graphene. Unfortunately, recent experimental and theoretical studies have revealed that different N-doped graphene (NGR) conformations can result in both p- and n-type characters depending on the bonding nature of N atoms (substitutional, pyridinic, pyrrolic, and nitrilic). To overcome this obstacle in achieving reliable graphene doping, we have carried out density functional theory calculations and explored the feasibility of converting p-type NGRs into n-type by introducing additional dopant candidates atoms (B, C, O, F, Al, Si, P, S, and Cl). Evaluating the relative formation energies of various binary-doped NGRs and the change in their electronic structure, we conclude that B and P atoms are promising candidates to achieve robust n-type NGRs. The origin of such p- to n-type change is analyzed based on the crystal orbital Hamiltonian population analysis. Implications of our findings in the context of electronic and energy device applications will be also discussed.
-
This study was to investigate the electronic structure and optical properties of Na doped into NiO thin film using XPS and REELS. The films were grown by electron beam evaporation with varying the annealing temperature. The relationship between the electrical characteristics with the local structure of NiO thin films was also discussed. The x-ray photoelectron results showed that the Ni 2p spectra for all films consist of Ni 2p3/2 which indicate the presence of Ni-O bond from NiO phase and for the annealed film at temperature above
$200^{\circ}C$ shows the coexist Ni oxide and Ni metal phase. The reflection electron energy loss spectroscopy spectra showed that the band gaps of the NiO thin films were slightly decreased with Na-doped into films. The Na-doped NiO showed relatively low resistivity compared to the undoped NiO thin films. In addition, the Na-doped NiO thin films deposited at room temperature showed the best properties, such as a p-type semiconducting with low electrical resistivity of$11.57{\Omega}.cm$ and high optical transmittance of ~80% in the visible light region. These results indicate that the Na doping followed by annealing process plays a crucial in enhancing the electrical and optical properties of NiO thin films. We believe that our results can be a good guide for those growing NiO thin films with the purpose of device applications, which require deposited at room temperature. -
열차폐 코팅(Thermal Barrier Coating)은 주로 항공기 엔진이나 화력발전용 터빈 등의
$1300^{\circ}C$ 이상의 고온에서 사용되는 부품에 적용되어 모재(내열합금)의 손상 방지 및 에너지 효율 향상을 위해 사용되고 있다. 열차폐 코팅의 경우 Plasma Spray 공법과 EB-PVD(전자빔 물리 증착법) 공법이 가장 많이 사용되고 있다. Plasma Spray에 의한 열차폐 코팅 공정은 생산 비용이 저렴하고 수평형 적층 구조를 통한 높은 열전도율을 가지는 장점이 있으며, EB-PVD 공정은 수직형 구조의 열차폐막을 통해 내열 충격성과 내열 사이클성이 양호하다는 장점이 있다. 본 연구에서는 열 사이클의 내구성이 뛰어난EB-PVD를 이용하여 열차폐 코팅 공정연구를 진행하였다. 본 연구소에서 사용하고 있는 EB-PVD 는 종래의 장치와는 달리 70 kW급 전자총 5기가 장착 되어 있으며, 각각 시편 가열용 전자총 2기 및 피코팅용 가열 전자총 3기로 구성되어 있다. 이런 구성을 통해 다양한 종류의 열차폐 박막과 높은 결정성과 치밀도를 가지는 박막 형성할 수 있을 것이다. 본 발표에서는 EB-PVD 공정 연구결과 및 향후 실용화를 위한 개발 연구 방향에 대해서 기술하였다. -
기저면에 구조적 결함을 도입함으로써 그래핀과
$MoS_2$ 와 같은 이차원 결정의 물리, 화학, 전기 및 기계적 성질을 제어하려는 연구가 폭넓게 수행되고 있다. 본 연구에서는 플라즈마 속의 산소 래디컬을 이용하여 기계적 박리법으로 만들어진 단일층 그래핀과$MoS_2$ 표면에 구조적 결함을 유도하고 제어하는 방법을 개발하였다. 라만 및 광발광 분광법을 통해 생성된 결함 밀도를 측정하고 전하 밀도 등의 화학적 변화를 추적하였다. 그래핀의 경우 산소 플라즈마 처리 시간에 따라 결함(defect)의 정도를 보여주는 라만 D-봉우리의 높이와 넓이가 커짐을 확인하였고 이를 G-봉우리의 높이와 비교하여 정량하였다.$MoS_2$ 의 경우$E{^1}_{2g}$ 와$A_{1g}$ -봉우리의 높이가 점점 감소하고 광발광의 세기 또한 감소함을 확인하였다. 또한 본 연구에서는 기판의 편평도가 결함 생성 속도에 미치는 영향을 비교 및 분석하여 반응 메커니즘을 제시하고자 한다. -
기저면에 구조적 결함을 도입함으로써 그래핀과
$MoS_2$ 와 같은 이차원 결정의 물리, 화학, 전기 및 기계적 성질을 제어하려는 연구가 폭넓게 수행되고 있다. 본 연구에서는 플라즈마 속의 산소 래디컬을 이용하여 기계적 박리법으로 만들어진 단일층 그래핀과$MoS_2$ 표면에 구조적 결함을 유도하고 제어하는 방법을 개발하였다. 라만 및 광발광 분광법을 통해 생성된 결함 밀도를 측정하고 전하 밀도 등의 화학적 변화를 추적하였다. 그래핀의 경우 산소 플라즈마 처리 시간에 따라 결함(defect)의 정도를 보여주는 라만 D-봉우리의 높이와 넓이가 커짐을 확인하였고 이를 G-봉우리의 높이와 비교하여 정량하였다.$MoS_2$ 의 경우$E{^1}_{2g}$ 와$A_{1g}$ -봉우리의 높이가 점점 감소하고 광발광의 세기 또한 감소함을 확인하였다. 또한 본 연구에서는 기판의 편평도가 결함 생성 속도에 미치는 영향을 비교 및 분석하여 반응 메커니즘을 제시하고자 한다. -
최근 반자성 물질인
$MoS_2$ 를 수소 기체 속에서 열처리하게 되면 약한 강자성이 유발된다는 연구가 보고되었다. 본 연구에서는 강자성 발현을 수반하는 물리적 및 화학적 변화를 이해하기 위해서 단일층$MoS_2$ 에 대한 라만분광 및 광발광 연구를 수행하였다. 기계적 박리법을 이용하여$MoS_2$ 결정으로부터 단일층 및 이중층$MoS_2$ 를 분리하여$SiO_2/Si$ 기판에 전사한 후,$200^{\circ}C{\sim}500^{\circ}C$ 영역의 특정 온도에서 1시간 동안 열처리하였다. 배경 기체가 열처리 도중$MoS_2$ 에 미치는 영향을 이해하기 위하여 수소 속 반응을 진공 상태와 비교하였다. 라만 스펙트럼에서는 큰 변화가 없었으나, 광발광의 세기는 수소 속 반응 후에 감소하고 진공 속 반응 후에는 증가하는 대조적인 결과를 보였다. AFM 측정으로부터는 열처리 후에$MoS_2$ 표면에 뚜렷한 변화가 일어나지 않는다는 사실을 확인하였다. 본 발표에서는 수소와 진공 조건에서 관찰된 상이한 광발광 특성과 그래핀/$SiO_2/Si$ 에서 관찰된 p-형 도핑과의 상관관계를 설명하고자 한다. -
Nanodiamond (ND) is composed of inner diamond core and outer graphite shell. The size of ND used in this study was about 5 nm. The ND solution was dropped on silicon substrate and dried in air. Dried ND sample was purified by using annealing method in air. Then, 40 keV Fe ion was irradiated into the sample. The dose was varied from
$1{\times}10^{14}$ to$1{\times}10^{16}ions/cm^2$ . The post annealing was performed at 1073 K in the vacuum to recover diamond structure. The annealing at 873 K in air was performed to remove the outer graphite shell. The structure of ND was confirmed by X-ray diffraction (XRD) and Raman spectroscopy. We will present the detailed data and results in the conference. -
VO2 exhibits metal-insulator transition (MIT), of which critical temperature (TC) is about 340 K. There have been many reports that MIT can be induced by UV light as well as heat. Clear mechanism regarding such photo-induced MIT has not been clarified. We have compared the MIT behaviors of VO2 thin film during heating-cooling cycles with and without light. We tried several light sources with different wavelengths (red, blue, and UV). Tc and hysteresis width of the resistance change were influenced by the illumination of the samples. We performed Kelvin probe force microscopy (KPFM) studies, which can reveal the evolution of the local sample work function. In this presentation, we will discuss possible physical origins for the photo-induced effects on the MIT behaviors of the VO2 samples.
-
Nanostructuring the electrode surface is an emerging technology to improve the performance of supercapacitors since it can facilitate charge transfer, ion diffusion and electron propagation during electrochemical process. Fabrication of the electrode consisting of two or more materials together has also been focused on since it can provide synergetic effect such as broader working potential range and enhanced capacitance. In this work, we have used polyaniline (PANi) and manganese oxide (MnO2) as electrode materials. PANi is one of the promising electrode materials due to its high electrochemical activity, high doping level and stability. MnO2 is also widely studied material for supercapacitors since it is relatively cheap and environmentally friendly. Firstly, we synthesized polystyrene nanospheres on MnO2 nanoparticles. MnO2-incorporated PANi hollow nanospheres were then fabricated by polymerizing aniline monomers on these PS nanospheres and dissolving the inner PS spheres. The surface morphology, electronic absorption and electrical conductivity of the electrode were analyzed using field-emission scanning electron microscope (FE-SEM), UV-visible spectrometer, and sheet resistivity meter, respectively. The electrochemical properties such as capacitance of the supercapacitors were also estimated using cyclic voltammetry.
-
VO2 has intensively investigated for several decades due to its interesting physical properties, including metal-insulator transition (MIT), thermochromic and thermoelectric properties, near the room temperature. And also gas and photo sensing properties of VO2 nanowires have attracted increasing research interest due to the high sensitivity and multi-sensing capability. We studied the light-induced resistance change of VO2 nanowires. In particular, we have investigated plasmonic enhancement of the photo-sensing properties of the VO2 nanowires. To select proper wavelength, we performed finite-difference time-domain simulations of electric field distribution in the VO2 nanowires attached with Ag nanoparticles. Localized surface plasmon resonance (LSPR) is expected at wavelength of 560 nm. The photo-sensitivity was carefully examined as a function of the sample temperature. In the presentation, we will discuss physical origins of the photo-induced resistance change in VO2.
-
그래핀(graphene)의 라만 스펙트럼은 전하밀도(charge density)와 기계적 변형(strain)에 민감하여 연구에 널리 활용되고 있다. 본 연구에서는 기계적 박리법으로 만든 그래핀에 황산 수용액으로 p-형 화학도핑(chemical doping)을 유발시키고 전하밀도의 변이에 따른 라만 스펙트럼의 변화를 조사하였다. 이러한 변화를 통해 황산과 물 분자의 계면 확산을 이해하고,
$SiO_2/Si$ 기판의 화학적 특성이 미치는 영향을 파악하고자 하였다. 분자의 효율적인 계면 확산을 위해 고온 산화반응을 이용하여 그래핀의 기저면에 나노공(nanopore)을 만든 후, 액상에서 라만 스펙트럼을 측정하였다. 증류수 속에 담궜을 때 물 분자가 그래핀-기판 계면 사이로 확산되면서 열처리에 의해 유발된 정공이 사라짐을 확인하고, D-봉우리의 가역적인 변화로부터 그래핀의 구조적 변화를 유추하였다. 황산 농도를 증가시켰을 때 G와 2D-봉우리의 진동수가 상호간에 일정한 비율로 증가하여 정공의 밀도가 증가함 알 수 있었다. 동일한 시료에 대해 황산의 농도를 감소시킴으로써 p-형 도핑을 제거하고 동일한 반응을 가역적으로 반복할 수 있었다. 상기한 분자의 2차원 확산 현상은 나노공의 유무와 기판의 전처리 조건에 따라 크게 달라진다는 사실을 확인 할 수 있었다. 또한 여러 파장에서 측정된 전하밀도와 기계적 변형에 의한 G와 2D-봉우리의 진동수 변화로부터 다른 연구자들이 활용할 수 있는 검정곡선을 제시하였다. -
Many efforts have been devoted on chemical modification of graphene layer to modulate its electrical properties. In the previous report, laser irradiation on the CYTOP(perfluoropolymer) doped graphene layer induces chemical modification of it, resulting in the insulating I-V characteristics. While the results strongly denoted C-F bond formation after irradiation, the detailed process of photo-induced chemical change is not known yet. To probe this, we utilized synchrotron based SPEM (scanning photoelectron emission spectroscopy) in NSRRC, Taiwan. We irradiate the sample by photon of 614 eV in a stepwise manner as a function of time. As photon irradiation increased, difluoride moieties in the CYTOP was broken, and then formed mono-fluoride with carbon atoms consisting graphene layer.
-
We investigated change of the electronic structure, chemical states and elements ratio in graphene film by using photoelectron spectroscopy (PES). The graphene electrode has attracted considerable interest due to its possible applications in flexible organic light emitting diodes (F-OLEDs). However, to use the graphene for OLEDs, sufficient increase of work function is required, that is related with hole injection barrier. Plasma treatment is one of the most widely used method in OLEDs to increase the work function of the anode such as indium tin oxide (ITO). In this work, we used the plasma treatment, which is generated by various gas types such as O2, and Ar to increase the work function of the graphene film. From these results, we discuss the relation among the change of work function, plasma power, plasma treatment time and gas types.
-
In nonlinear optics, the properties of nonlinear optical responses such as polarization and nonlinear analysis of the nonlinear surfaces were investigated using the jellium model by optical second harmonic generation. The nonlinear response of the Al metal surfaces were calculated using TDLDA. Band structure, lattice constant and bulk modulus of the Al metal were investigated. Effective potential and electron density were compared by changing different.
-
Titanium과 Ruthenium의 비율(Ru/Ti = 0.01, 0.03, 0.05, 0.07)을 조절하여 Ruthenium이 도입된 산화타이타늄(
$TiO_2$ )를 수열합성법을 이용하여 합성하였다. TEM 이미지를 통하여 네모난 형태의 나노입자를 확인하였으며 XRD 패턴과 ICP 원소 분석을 통하여 Anatase 형태와 각각 다른 양의 Ruthenium이 도입된 것을 확인하였다. 본 연구에서는 Ruthenium이 도입된 산화타이타늄을 이종상촉매로 사용하여 Benzyl alcohol 및 Benzyl amine의 산화반응에 적용하였으며 특히, Ru/Ti = 0.03인 촉매가 가장 우수한 활성을 보였다. -
$TiO_2$ 에 니켈과 질소가 도입된 촉매를 합성하여 광촉매 활성을 연구하였다. degussa는 methylene blue 분자에 대하여 흡착능력을 거의 나타내지 않았으나, 니켈과 질소의 양이 최적화된 촉매에서는 최대 흡착량이 13.01 mg/g에 달하였다. Zeta potential 측정 결과 최대 -25.46 mV의 음전하를 나타내었으며, 각각의 촉매흡착성은 이와 비례하는 것으로 나타났다. 특히 니켈이 도입된$TiO_2$ 는 흡착능력뿐만 아니라 가시광선을 이용한 MB분해 실험에서 우수한 광촉매 특성을 나타내었다. -
HAP란 Hydroxylapatite의 준말이며 우리말로는 수산화인회석으로도 불린다. 본 실험에서는 다양한 농도의 염기조건(NaOH
$10^{-3}$ ,$10^{-2}$ ,$10^{-1}$ , 1, 10, 30 M)에서 서로 다른 형태의 HAP를 수열합성법을 통해 합성하였다. XRD (X-ray powder diffraction) 로 관찰한 결과 NaOH 농도$10^{-1}M$ 이상에서부터 HAP가 합성됨을 확인하였다. Transmission and scaning electron microscopy 를 이용하여 HAP의 모양과 표면을 관찰해본 결과, NaOH의 농도가 진해 질수록 육각기둥의 형태에서 사각형으로 변화하였다. 6개의 각각의 HAP의 표면에 Pd (Palladium)을 도입하고 그 양을 정량화 하였다. 합성된 Pd-HAP를 C-C coupling reaction에 이종상 촉매로 사용하였다. -
본 연구에서는 Slot die coating 공법으로 코팅된 Poly (3-4 ethylenedioxythiophene): Poly (styrenesulfonate) (PEDOT:PSS) 박막과 비정질 ITO 박막의 전기적, 광학적, 기계적 특성을 비교 평가하여 Slot die coating 공법으로 코팅된 PEDOT:PSS 박막의 유기태양전지의 전극으로서의 적용가능성을 확인하였다. 상업용 PEDOT:PSS 박막은 보통 280 Ohm/sq.의 면저항과 가시광 영역에서 약 80%의 광투과도를 나타내며, 비정질 ITO 박막과 유사한 전기적, 광학적 특성을 나타내었다. Slot die coating 공법을 통해 제작된 PEDOT:PSS 투명 전극과 비정질 ITO 투명 전극의 기판 휘어짐에 따른 전기적 안정성을 비교 평가하기 위해 25 mm에서 1 mm까지 radius 변화에 따른 저항의 변화를 측정하였다. 그 결과, 비정질 ITO 투명 전극 대비 PEDOT:PSS 투명 전극이 더 우수한 전기적 안정성을 나타냄을 확인하였다. 또한, 다양한 Bending test (Inner/Outer bending, Rolling, Stretching, Twisting) 를 통해 비정질 ITO 투명 전극 보다 Slot die coating 공법으로 코팅된 PEDOT:PSS 투명 전극의 우수한 기계적 특성을 확인하였다. 이를 바탕으로 Flexible 유기태양전지에의 적용 가능성을 알아보기 위해 Slot die coating 공법으로 코팅된 PEDOT:PSS 투명 전극과 비정질 ITO 투명 전극을 유기태양전지의 anode 층에 적용하여 각각 제작하고 그 특성을 평가하였다. 비정질 ITO 투명 박막을 적용한 유기태양전지 대비 Slot die coating 공법으로 코팅된 PEDOT:PSS 투명 박막으로 제작한 유기태양전지에서 더 높은 효율이 나타났으며, 이로써 Slot die coating 공법으로 코팅된 PEDOT:PSS 투명 전극의 Flexible 유기태양전지로써의 적용 가능성을 확인하였다.
-
$150^{\circ}$ 이상의 물 접촉각을 가지는 초소수성 표면들은 그것들의 잠재적인 산업 응용분야로 인해 많은 관심을 끌고 있다. 연꽃 효과와 같은 고체표면의 초소수성 특성은 표면의 표면 형상과 화학적 구성요소로 인해 결정되는 것으로 알려져 있다. 초소수성 표면을 형성하기 위해 세워진 고분자 나노섬유, 형판 압출, 특별한 표면 처리의 제조와 같은 많은 시도가 진행되어져 왔다. 본 연구에서는, two-step 화학 에칭 공정을 통해 금속표면의 구조를 변화시켜 초소수성을 나타내는 금속을 제조하였다. 특히, 마이크로테라스 구조상에 나노 잎 구조가 부가된 계층구조를 형성하여 초소수성 금속을 제조하였으며, 이러한 표면의 여러 가지 초소수 특성들을 체계적으로 조사하였다. -
최근 대용량 에너지 저장장치로 사용하고자 하는 리튬-공기전지는 리튬 음극과 액체 전해질 사이의 화학적 불안정성이 문제가 되고 있다. 또한 리튬이온전지는 액체전해질의 사용으로 인해 폭발 등의 안정성 문제가 대두되고 있는 실정이다. 때문에 리튬-공기전지에서 리튬 음극을 액체 전해질로부터 보호할 수 있으며, 리튬이온전지의 액체전해질과 대체하였을 때 전극과도 안정한 고체전해질의 연구가 필요하다. 고체전해질은 구조적으로 crystalline, glassy, 폴리머로 나눌 수 있는데, 이 중 crystalline 구조의 고체전해질은 glassy 및 폴리머 고체전해질에 비해 상온에서 비교적 이온전도도가 높다고 알려져 있다 [1]. 그러나 이온전도도가 높은 황화물 및 질화물 고체전해질은 수분에 민감한 반면 [2,3], 산화물 계열의 물질은 안정할 것으로 예상된다. 본 연구에서는 이온전도도가 높은 산화물인 lithium lanthanum titanate (
$Li_{0.5}La_{0.5}TiO_3$ , LLTO)를 고체전해질로 선정하여 다양한 환경에서 화학적 안정성에 관해 연구하였다. LLTO와 각종 용액과의 화학적 안정성을 살펴보기 위해 고체전해질을 DI water, 1 M$LiPF_6$ Ethylene Carbonate (EC)-Dimethyl Carbonate (DMC) (50:50 vol.%), 0.57 M LiOH (pH=13), 0.1 M HCl (pH=1)에 immersion하고 무게, 표면형상, 상(phase), 이온전도도 등의 변화를 관찰하였다. 또한 LLTO와 전극간의 반응성을 알아보기 위해 LLTO 분말과 음극물질인$Li_4Ti_5O_{12}$ 및 양극물질인$LiCoO_2$ 분말을 혼합한 후$300^{\circ}C{\sim}700^{\circ}C$ 의 온도범위에서 열처리하여 반응을 가속화 한 후 상변화 현상을 살펴보았다. -
We have studied the bonding structures of five membered aromatic ring heterocyclic molecules, such as furan, thiophene, and selenophene, adsorbed on the Si(100) surface at room temperature with density functional theory. Additionally, we have investigated the evolution upon annealing of thiophene and selenophene molecules on the Si(100) surface by the core-level photoemission spectroscopy and near-edge X-ray absorption fine structure (NEXAFS). The core-level-spectra measured at different temperatures are consistently interpreted in terms of various adsorption structures suggested by theoretical calculations. In this study, we found the most suitable structures by theoretical and experimental results considering room temperature and mild thermal annealing.
-
스마트폰과 같은 통신기기 및 각종 전자제품에 있어 크기의 축소와 간소화 추세에 따라 인쇄회로기판(PCB)의 초미세회로설계 기술이 요구됨에 따라, 인쇄회로기판과 첨단 전자부품 사이의 접합 신뢰성을 향상시키기 위해 무전해 니켈 도금이 널리 사용되고 있다. 일반적으로, 무전해 Ni도금은 강산, 강염기성 용액을 이용하여 수행되고 있다. 따라서, 공정과정 중에 기판의 손상을 초래하기도 할뿐만 아니라, 환경적으로도 문제시 되고 있다. 본 연구에서는 친환경적 도금공정의 개발을 위해 중성에서 N-(B)무전해 도금을 시행하였다. 중성의 무전해 도금공정은 어떠한 기판을 사용하여도 기판의 손상없이 도금이 가능하다는 장점을 가지고 있고, Boron(B)은 Ni을 비정질화 시키는 물질로 알려져 있다. B가 첨가된 무전해 Ni도금 박막에 있어 B의 영향을 알아보기 위하여 중성조건에서 B를 포함한 DMAB의 첨가량을 조절하였다. Ni-(B) 무전해 도금 시 도금조의 온도는
$40^{\circ}C$ 로 하였고, 무전해 도금액의 pH는 7(중성)로 유지하였다. Cu Foil기판을 사용하여 DMAB의 양에 따라 성장된 Ni-B무전해 도금 박막의 특성을 분석하기 위해 X-ray Diffraction (XRD), Field Emission Scanning Electron Microscope (FE-SEM), Optical microscope (OM), X-ray Photoelectron Spectroscopy (XPS), X-ray Absorption Spectroscopy (XAS)을 이용하였다. -
Among various metal oxides, ZrO2 is of particular interests and has received widespread attention thanks to its ideal mechanical and chemical stability. As a cheap metal, Ag nanoparticles are also widely used as catalysts in ethylene epoxidation and methanol oxidation. However, the nature of Ag-ZrO2 interfaces is still unknown. In this work, the growth, interfacial interaction and thermal stability of Ag nanoparticles on ZrO2(111) film surfaces were studied by low-energy electron diffraction (LEED), synchrotron radiation photoemission spectroscopy (SRPES), and X-ray photoelectron spectroscopy (XPS). The ZrO2(111) films were epitaxially grown on Pt(111). Three-dimensional (3D) growth model of Ag on the ZrO2(111) surface at 300 K was observed with a density of
${\sim}2.0{\times}1012particles/cm2$ . The binding energy of Ag 3d shifts to low BE from very low to high Ag coverages by 0.5 eV. The Auger parameters shows the primary contribution to the Ag core level BE shift is final state effect, indicating a very weak interaction between Ag clusters and ZrO2(111) film. Thermal stability experiments demonstrate that Ag particles underwent serious sintering before they desorb from the zirconia film surface. In addition, large Ag particles have stronger ability of inhibiting sintering. -
4세대 가속기 언듈레이터 진공용기는 길이가 6 m이고 내경이
$7{\times}11mm$ 로 매우 좁아서 내부 표면의 경면연마가 까다롭다. 미국이나 독일의 경우 입자유동연마 방법으로 표면 거칠기와 표면 산화막 두께를 요구되는 수준으로 낮췄다. 이 방법을 적용해 본 결과, 연질의 알루미늄 표면에 스크레치 및 피트 발생율이 높고 고비용에 처리시간이 길다는 단점이 있었다. 포항가속기에서는 입자유동연마와 병행하여 화학연마 방법으로 관경이 좁은 형상이나 길이에 구애받지 않고 긴 진공용기 크기의 약품조가 없이 표면연마 할 수 있는 장치를 고안하였다. 이 장치는 표면조도 개선 목적의 화학연마, 표면 산화막 두께 개선, 세척 및 건조장치가 한 시스템으로 구성되어 큰 약품조와 수세조가 필요하지 않다는 장점이 있어서 입자유동연마 공정을 대체할 수 있는 방법으로 기대된다. 본 발표에서는 화학연마 장치에 대해 소개하고 연마 전 후 표면조도와 산화막 개선 결과에 대해서 논하고자 한다. -
The effects of ion beam mixing of a SiC film coated on super alloys (hastelloy X substrates) were studied, aiming at developing highly sustainable materials at above
$900^{\circ}C$ in decomposed sulfuric acid gas (SO2/SO3/H2O) channels of a process heat exchanger. The bonding between two dissimilar materials is often problematic, particularly in coating metals with a ceramics protective layer. A strong bonding between SiC and hastelloy X was achieved by mixing the atoms at the interface by an ion-beam: The film was not peeled-off at${\geq}900^{\circ}C$ , confirming excellent adhesion, although the thermal expansion coefficient of hastelloy X is about three times higher than that of SiC. Instead, the SiC film was cracked along the grain boundary of the substrate at above$700^{\circ}C$ . At${\geq}900^{\circ}C$ , the film was crystallized forming islands on the substrate so that a considerable part of the substrate surface could be exposed to the corrosive environment. To cover the exposed areas and cracks multiple coating/IBM processes have been developed. An immersion corrosion test in 80% sulfuric acid at$300^{\circ}C$ for 100 h showed that the weight retain rate was gradually increased when increasing the processing time. -
최근 전자 장비의 금속 전도성 패턴 제작에 있어서 직접적인 프린트가 가능한 프린팅 기술이 기존의 복잡한 photolithography 를 대체할 기술로 주목 받고 있다. 이와 함께 금속 전도성 패턴 제작에 사용되는 고가의 전도성 물질인 Ag ink 및 paste 를 저가의 Cu ink 및 paste 로 대체하기 위한 연구가 진행되고 있다. 하지만 일반적으로 copper 는 대기 중 에서 쉽게 산화되어 높은 저항을 야기시킨다. 따라서 Cu ink 또는 paste 를 제작할 때 copper nanoparticles 을 유기 용매에 분산하여 inert atmosphere에서 합성하거나 [1] copper ink 또는 paste 를 substrate 에 프린트하여 reduction atmosphere 에서 소성시킨다 [2]. 이번 연구에서 Cu paste 를 유리 기판에 screen printing 하여 혼합가스(질소 95%, 수소 5%)와 질소 가스 분위기에서 소성하여 Cu 전극의 소성 거동과 전기적 특성을 분석하였다. 4-point probe를 통해 소성된 Cu 전극의 저항을 측정하여 전도도를 조사하였으며 Thermal Gravimetric Analysis (TGA), Fourier Transform Infrared(FTIR)를 통해 소성된 Cu 전극의 유기물 분해가 전도도에 미치는 영향을 분석하고 Field Emission Scanning Electron Microscopy (FESEM)과 High Resolution Transmission Electron Microscopy (HRTEM)을 통해 Cu nanoparticles 의 grain growth가 전도도에 미치는 영향을 조사하였다.
-
Recently, particle induced X-ray emission (PIXE) analysis system was installed at the 2MV ion acceleration system in Korea institute science and technology (KIST). This installation is for complement to low atomic resolution of heavy atoms at Rutherford backscattering spectrometry (RBS) system. For quantitative analysis, a mass calibration of the PIXE set-up has been done with thin film standards and. The GUPIX software package has been used to process the PIXE spectra and the results are compared with the values from RBS system. Therefore, the instrumental constant H (solid angle and correction factor) is determined relying completely on the GUPIX data base (cross-sections, fluorescence and Coster-Kronig probabilities, stopping powers and attenuation coefficients) for a large set of elements. These H values can be used in future analysis.
-
High-mobility and two dimensional conduction at the interface between two band insulators, LaAlO3 (LAO) and SrTiO3 (STO), have attracted considerable research interest for both applications and fundamental understanding. Several groups have reported the photoconductivity of LAO/STO, which give us lots of potential development of optoelectronic applications using the oxide interface. Recently, a giant photo response of Pd nano particles/LAO/STO is observed in UV illumination compared with LAO/STO sample. These phenomena have been suggested that the correlation between the interface and the surface states significantly affect local charge modification and resulting electrical transport. Water and gas adsorption/desorption can alter the band alignment and surface workfunction. Therefore, characterizing and manipulating the electric charges in these materials (electrons and ions) are crucial for investigating the physics of metal oxide. Proposed mechanism do not well explain the experimental data in various ambient and there has been no quantitative work to confirm these mechanism. Here, we have investigated UV photo response in various ambient by performing transport and Kelvin probe force microscopy measurements simultaneously. We found that Pd nano particles on LAO can form Schottky contact, it cause interface carrier density and characteristics of persistence photo conductance depending on gas environment. Our studies will help to improve our understanding on the intriguing physical properties providing an important role in many enhanced light sensing and gas sensing applications as a catalytic material in different kinds of metal oxide systems.
-
ZnO는 큰 액시톤 결합에너지(60 meV)와 넓은 밴드갭(3.36 eV)을 가지고 있어 광소자 분야에서 다양하게 연구 되어지고 있다. 또한 높은 광 투과도로 인해 여러 투명 제품 분야에도 적용되어지고 있다. 본 연구에서는 높은 가시광 투과도와 함께 근적외선 차폐를 위한 스마트 필름 제작을 위해 RF sputter를 이용하여 상온에서 ZnO 나노박막을 제작하여 광학적인 특성들을 분석하였다. 실험은 Glass 와 PET 위에 동시에 성장시켜 RF power 변화와 Ar, O2의 가스 분압비, Working Pressure의 변화를 변수로 두어 진행하였다. 측정은 Ellipsometry를 이용하여 광학적인 두께와 굴절률을 조사하였고 UV visible spectrometer를 통해 광학적인 투과도를 확인하였다.
-
반도체 공정이나 디스플레이 공정에는 세라믹 부품이나 금속 부품이 많이 포함되어 있는데 이들 부품이 공정중에 발생하는 플라즈마 또는 여러가지 부산물에 의하여 부품의 표면에 다양한 코팅층이 형성된다. 그리고 이러한 공정에 들어가는 부품은 플라즈마 또는 각종 산에 취약한 특성을 나타내는데 이에 대하여 해결하기 위하여 세라믹 부품의 표면에 용사코팅이나 각종 물리, 화학적 방법을 이용하여 표면에 코팅층을 형성한다. 이렇게 형성된 코팅층중 특히 용사코팅에 의하여 형성된 코팅층은 플라즈마 공정이나 각종 부식성 산에 의하여 박리 또는 크랙이 발생하게 된다. 이러한 특성은 용사코팅층의 특성상 발생하고 있는 물리적 흡착에 의하여 흡착된 계면에서 박리가 발생할 가능성이 크게 된다. 이러한 현상을 줄이기 위하여 고열원을 통하여 열처리 실험을 실시한다. 특히 전자빔이나 레이저 열원은 고온 급속 가열에 의하여 고융점인 세라믹 용사코팅층 및 금속 코팅층을 재용융 및 응고과정을 통하여 미세구조를 변화시킨다. 특히 전자빔 열처리는 진공중에서 코팅층의 열처리를 행함으로써 코팅층 내에 있는 기공을 제거하거나 불순물을 제거하기에 용이하다. 본 연구에서 수행된 열처리는 기 코팅된 세라믹이나 금속재의 표면을 다량의 Electron의 Flux를 통하여 표면의 온도를 Melting point 직하 온도까지 상승하였다가 응고시킴으로써 코팅층의 특성을 변화시켰다. 이렇게 열처리된 시험편의 XRD를 통해 결정구조를 파악하고, SEM, OM을 통하여 기공의 제거, 결함의 제거 등을 확인하였으며 경도 변화를 통하여 물리적 특성의 변화를 함께 확인하였다. 평가 결과 결정구조의 변화와 더불어 경도등의 상승효과가 발생하였으며 코팅층 내에 존재하는 결함이 감소함을 확인하였다.
-
Yang, Jong-Geun;Go, Min-Guk;Suresh, Rai;Ahmed, M.W.;Hyeon, Su-Yeon;Gang, Je-Won;Lee, Heon-Ju 208.2
이 실험은 DLC 증착의 효율향상을 위한 것이다. 이에 따라 대기압에서 DBD를 이용하여 샘플의 surface free energy를 향상시켜 다이아몬드 증착공정의 효율을 향상시켰다. DBD공정은 2 kW의 전압과 Ar 2000sccm 그리고 방전시간을 5분으로 고정하여 실험하였다. 다이아몬드증착은 PECVD기법을 이용하여 실험하였다. 실험장비로는 DC Arc 플라즈마트론을 이용하여 실험하였다. AFM, SEM, XRD, Tribology를 이용하여 다이아몬드 증착효율 향상 및 특성에 대하여 분석하였으며 DBD를 이용한 표면처리가 증착효율을 향상에 기여하는 것을 확인하였다. -
수산화인회석(Hydroxyapatite)는 뼈와 이빨의 무기물의 주성분으로서 칼슘과 인산염으로 구성된다. 본 실험에서는 다양한 농도의 염기조건(NaOH 0,2,4,5,10 M)하에서 서로 다른 형태의 수산화인회석을 수열합성법(hydrothermal method)을 이용해 합성하였다. 합성된 각각의 수산화인회석을 XRD로 확인하였고 일정 농도 이하에서는 octacalcium phosphate이 함께 존재한다는 것을 확인하였다. 수산화인회석 표면에 Ru를 Ion-exchange 반응을 통하여 도입하였으며, 도입된 표면을 TEM을 확인하였다. Ru를 도입한 수산화인회석을 benzyl alcohol과 benzyl amine을 산화반응에 응용하였다.
-
In recent years, researches about hydrophobic and hydrophilic surfaces have been executed however their other effects have not been researched enough. In this paper, the fabrication method of hierarchical structures of micro line array combined with fluorinated wax for anisotropic superomniphobic wettability is presented. We have achieved anisotropic and superomniphobic surface via simple two step methods, which are maskless photolithography and wax deposition. In order to prove how to provide those characteristics, SEM, contact angle measurement tool and X-ray diffraction are used. Fluorinated wax is crystalized self-assembly and it is subordinated on micro line array so that it is able to display anisotropic wettability. Understanding on anisotropic superomniphobic surface and simple fabrication method has been attracted to apply for lots of applications which range from self-cleaning surface, microfluidic chip, to directionally fluid control device, even in oily fluid.
-
The hexagonal boron nitride (h-BN) sheet, a 2D material like graphene sheet, is comprised of boron and nitrogen atoms. Similar to graphene, h-BN sheet has attractive mechanical properties while it has a wide band gap unlike graphene. Recently, many experimental groups studied the growth of single BN layer by chemical vapor deposition (CVD) method on the copper substrate. To study the initial stage of h-BN growth on the copper surface, we have performed density functional theory calculations. We investigate several adsorption sites of a boron or nitride atom on the Cu surfaces. Then, by increasing the number of adsorbed B and N atoms, we study formation behaviors of the BN flakes on the surface. Several types of BN flakes atoms such as triangular, linear, and hexagonal shapes are considered on the copper surface. We find that the formation of the BN flake in triangular shape is most favorable on the surface. On the basis of the theoretical results, we discuss the growth mechanism of h-BN layer on the copper surfaces in terms of its shapes in the initial stage of growth.
-
Recently, molybdenum disulfide (MoS2) nanostructures have been investigated for applications of lithium-ion batteries, solar cell, and gas sensors. In this regard, we have studied atomic and electronic properties of MoS2 nanostructures with adsorbed atoms and molecules using density functional theory calculations. Our calculations reveal that the several atoms such as H, C, N, and F are chemically bound to several sites on the two-dimensional (2D) MoS2 surface. On the other hand, various contamination molecules such as CO, CO2, NO, NO2, and NH3 do not bind to the surface. Next, adsorption of various molecules on the one-dimensional (1D) armchair MoS2 nanoribbon is investigated. Contrary to the case of 2D MoS2 monolayer surface, some molecules (CO and NO) are bound well to the edge of the MoS2 nanoribbon. We find that the molecular states due to adsorption are located near the Fermi level, which makes the band gap narrower. Therefore, we suggest that monolayer MoS2 nanoribbons be used as the gas sensors or detectors.
-
Recently, the scaling of conventional planar NAND flash devices is facing its limits by decreasing numbers of electron stored in the floating gate and increasing difficulties in patterning. Three-dimensional vertical NAND devices have been proposed to overcome these issues. Atomic layer deposition (ALD) is the most promising method to deposit charge trap layer of vertical NAND devices, SiN, with excellent quality due to not only its self-limiting growth characteristics but also low process temperature. ALD of silicon nitride were studied using NH3 and silicon chloride precursors, such as SiCl4[1], SiH2Cl2[2], Si2Cl6[3], and Si3Cl8. However, the reaction mechanism of ALD silicon nitride process was rarely reported. In the present study, we used density functional theory (DFT) method to calculate the reaction of silicon chloride precursors with a silicon nitride surface. DFT is a quantum mechanical modeling method to investigate the electronic structure of many-body systems, in particular atoms, molecules, and the condensed phases. The bond dissociation energy of each precursor was calculated and compared with each other. The different reactivities of silicon chlorides precursors were discussed using the calculated results.
-
Epitaxial complex oxide thin film heterostructures have attracted a great attention for their multifunctional properties, such as ferroelectricity, and ferromagnetism. Two dimensional electron gas (2DEG) confined at the interface between two insulating perovskite oxides such as LaAlO3/SrTiO3 interface, provides opportunities to expand various electronic and memory devices in nano-scale. Recently, it was reported that the conductivity of 2DEG could be controlled by external electric field. However, the switched conductivity of 2DEG was not stable with time, resulting in relaxation due to the reaction between charged surface on LaAlO3 layer and atmospheric conditions. In this report, we demonstrated a way to control the conductivity of 2DEG in non-volatile way integrating ferroelectric materials into LAO/STO heterostructure. We fabricated epitaxial Pb(Zr0.2Ti0.8)O3 films on LAO/STO heterostructure by pulsed laser deposition. The conductivity of 2DEG was reproducibly controlled with 3-order magnitude by switching the spontaneous polarization of PZT layer. The controlled conductivity was stable with time without relaxation over 60 hours. This is also consistent with robust polarization state of PZT layer confirmed by piezoresponse force microscopy. This work demonstrates a model system to combine ferroelectric material and 2DEG, which guides a way to realize novel multifunctional electronic devices.
-
Closed drift ion source는 그 특성으로 인하여 강판 표면처리, 금속 표면 산화막 형성, 폴리머 혹은 기타 표면 개질 등 다양한 분야에서 사용이 되고 있다. 다양한 환경에서 사용 되는 소스의 특성으로 인하여 각기 다른 공정에 대한 최적의 특성이 요구 되며, 이러한 공정 환경에 맞춘 소스를 설계하기 위해서 ion source내 전극의 구조 및 자기장 세기 등 이온소스의 구조적 특성에 대한 연구가 필요하게 된다. 본 연구에서는 선형 이온소스의 구조 설계를 위한 실험을 소형(이온빔 인출 슬릿 직경: 60 mm) 이온빔 인출 장치를 제작하여 전극 구조에 따른 방전 특성을 우선적으로 평가를 실시하여 소형 이온빔 인출 장치에서 도출된 결과를 바탕으로 0.3 m급 linear closed drift ion source 설계에 대한 변수를 조사 하였다. 실험은 양극-음극(C-A) 간 간격 및 음극 슬릿(C-C) 간격 그리고 자기장 세기 조건에서 방전 전류 및 인출 이온빔 전류량 측정하였으며, 이 결과를 전산모사 결과와 비교 하였다. 방전전압 1~5 kV, 가스유량 10~50 sccm 조건에서 Ar 이온빔 방전 특성을 평가한 결과, 양극-음극(C-A) 간격이 넓을수록, 음극-음극(C-C) 간격이 좁을수록 방전 전류량이 증가함을 확인 하였다. 또한, 공정 가스 압력 및 자기장 세기 변화에 따른 1~5 kV의 방전 전압에 대한 방전 특성의 관찰 결과, 압력 및 자기장 변화에 따라서 방전 전류의 변화를 관찰 할 수 있었으며, 이에 대한 결과를 통하여 이온 소스 구조 내부에서의 방전 영역에 대한 압력과 자기장 세기에 대한 영향을 분석 할 수 있었다.
-
플라즈마의 연속 운전 조건은 플라즈마 발생원의 기하적, 전기적 특성에 의한 공정 특성을 갖는다. RF power를 pulsing하는 경우 off시간에 하전 입자와 중성 라디칼의 소멸 특성의 차이로 인하여 나노 미세 구조의 식각에 유리한 측면이 있다. 유도 결합 플라즈마원을 주발생원으로 이용하는 건식 식각장비의 기판 바이어스를 rf pulsing하는 경우 유체 모델을 이용한 계산 방법에서 rf off 시간 중의 2차 전자 발생 계수를 rf on time시와 동일 하게 계산하거나 입사 이온의 에너지와 무관하게 0.05 등의 상수로 처리하는 경우가 많은데 본 연구에서는 rf bias off 시간 동안의 SEC(secondary electron coefficient)를 변화시키는 조건이 플라즈마의 특성에 어떤 영향을 미치는지 CFD-ACE+에 user subroutine을 이용하여 조사하였다.
-
반도체 및 디스플레이 산업은 많은 공정들에서 저온 플라즈마 반응을 이용한다. 특히 소자 제작을 위한 실리콘 박막의 증착은 저온 플라즈마 공정의 주요 공정이다. 하지만 실리콘 박막을 합성하는데 있어서 저온 플라즈마에서 형성되는 실리콘 나노 입자는, 오염입자로써 박막의 특성을 악화시켜 소자생산 수율을 악화시키는 주요 원인이 되고 있다. 따라서 플라즈마에서 입자 형성의 원인이 되는 화학반응 및 입자들의 성장 매커니즘에 대한 연구는, 1980년대 플라즈마 공정에서 입자 합성이 보고된 이래 공정의 최적화를 위해 꾸준히 연구되어왔다. 이러한 매커니즘의 연구들은, 플라즈마 화학반응에 의해 실리콘 입자 핵을 만들어 내는 과정과 입자들이 충돌에 의해 성장해가는 과정으로 나눠진다. 플라즈마 화학 반응 과정은 아레니우스 방정식에 의해 정의된 반응계수를 이용하여 플라즈마 내 전자와 이온, 중성 화학종들이 전자 온도와 전자 밀도, 챔버 온도 등에 의해 결정되는 현상을 모사한다. 또한 이 과정에서 실리콘을 포함하는 화학종들의 반응에 의해 핵이 생성 되가는 양상을 모사한다. 생성된 핵은 충돌에 의해 입자가 성장해 가는 과정의 가장 작은 입자로써 이용된다. 입자들이 성장해가는 과정은 입자들이 서로 충돌하면서 다양한 입경의 입자로 분화되어가는 현상을 모사한다. 이 과정에 의해 다양한 입경분포로 분화된 입자들은 플라즈마 내 전자에 의해 하전되며, 이러한 하전 양상은 입경에 따라 다른 분포를 보인다. 본 연구에서는 입자의 하전 분포를 고려하여, 입자들의 성장의 주요 원인인 입자간의 충돌을 대표하는 충돌주파수를 수정하는 방식을 채택하여 보다 정밀한 입자 성장 양상을 모델링하였다. Inductively coupled plasma (ICP) 타입의 저온 플라즈마 반응기에서 합성된 입자들을 Particle Beam Mass Spectrometer (PBMS)와 Scanning Electron Microscope (SEM)를 이용하여 입경분포를 측정한 데이터와 모델링에 의해 계산된 결과를 비교하여 본 모델의 유효성을 검증하였다. 검증을 위해 100~300 mtorr의 챔버 압력 조건과 100~350 W의 입력 전력 조건들을 달리하며 측정한 결과와 계산한 데이터를 조건별로 비교하였다.
-
We prepared a flexible and transparent CuO/Cu/CuO multilayer electrodes on a polyethylene terephthalate (PET) substrate using a specially designed roll-to-roll sputtering system at room temperature for GFF-type touch screen panels (TSPs). By the continuous roll-to-roll sputtering of the CuO and Cu layer, we fabricated a flexible CuO(150nm)/Cu(150nm)/CuO(150nm) multilayer electrodes with a sheet resistance of
$0.289{\Omega}/square$ , resistivity of$5.991{\times}10^{-23}{\Omega}-cm$ , at the optimized condition without breaking the vacuum. To investigate the feasibility of the CuO/Cu/CuO multilayer as a transparent electrode for GFF-type TSPs, we fabricated simple GFF-type TSPs using the diamond patterned CuO/Cu/CuO electrode on PET substrate as function of mesh line width. Using diamond patterned CuO/Cu/CuO electrode of mesh line$5{\mu}m$ with sheet resistance of 38 Ohm/square, optical transmittance of 90% at 550 nm and an average transmittance of 89% at wavelength range from 380 to 780 nm, we successfully demonstrated GFF-type touch panel screens (TPSs). The successful operation of GFF-type TPSs with CuO/Cu/CuO multilayer electrodes indicates that the CuO/Cu/CuO multilayer is a promising transparent electrode for large-area capacitive-type TPSs due to its low sheet resistance and high transparency. -
In order to measure the absolute plasma density, various probes are proposed and investigated and microwave probes are widely used for its advantages (Insensitivity to thin non-conducting material deposited by processing plasmas, High reliability, Simple process for determination of plasma density, no complicate assumptions and so forth). There are representative microwave probes such as the cutoff probe, the hairpin probe, the impedance probe, the absorption probe and the plasma transmission probe. These probes utilize the microwave interactions with the plasma-sheath and inserted structure (probe), but frequency range used by each probe and specific mechanisms for determining the plasma density for each probe are different. In the recent studies, behaviors of each microwave probe with respect to the plasma parameters of the plasma density, the pressure (the collision frequency), and the sheath width is abundant and reasonably investigated, whereas relative diagnostic characteristics of the probes by a comparative study is insufficient in spite of importance for comprehensive applications of the probes. However, experimental comparative study suffers from spatially different plasma characteristics in the same discharge chamber, a low-reproducibility of ignited plasma for an uncertainty in external discharge parameters (the power, the pressure, the flow rate and so forth), impossibility of independently control of the density, the pressure, and the sheath width as well as expensive and complicate experimental setup. In this paper, various microwave probes are simulated by finite-different time-domain simulation and the error between the input plasma density in FDTD simulations and the measured that by the unique microwave spectrums of each probe is obtained under possible conditions of plasma density, pressure, and sheath width for general low-temperature plasmas. This result shows that the each probe has an optimum applicable plasma condition and reliability of plasma density measurement using the microwave probes can be improved by the complementary use of each probe.
-
그래핀은 높은 전기 전도도와 열전도도, 기계적 강도를 가지고 있고 동시에 높은 전자이동도(
$200,000cm^2{\cdot}V{\cdot}^1{\cdot}s{\cdot}^1$ ) 특성을 갖는 물질로써 차세대 소재로 각광받고 있다. 하지만 그래핀을 소자에 응용하기 위해서는 전사공정과 lithography 공정 과정에서 발생되는 PMMA(Poly methyl methacrylate) residue를 완벽하게 제거해야 하는 문제점이 있다. 특히, lithography 공정 중 완벽하게 PMMA residue 가 제거되지 않고 잔류해 있을 경우에 소자의 life time, performance에 악영향을 준다는 보고가 있다. 이와같은 문제를 해결하기 위해 화학적 cleaning, 열처리를 통한 cleaning, 전류 인가에 의한 cleaning과 같은 방법들을 이용하여 그래핀의 PMMA residue를 제거하는 공정들이 보고되고 있지만, 화학적 cleaning 방법의 경우 chloroform 이라는 독성물질 사용으로 인해 산업적으로 응용이 어렵고, 열처리 방법은 전극 등의 금속이$200^{\circ}C$ 이상의 높은 온도에서 장시간 노출될 경우 쉽게 손상을 입으며, 전류 인가에 의한 cleaning 방법은 국부적으로만 효과를 볼 수 있기 때문에 lithography 공정 후 PMMA residue를 효과적으로 제거하기에는 한계를 보이고 있다. 본 연구에서는 Ar을 이용하는 Ion beam 시스템을 통해 beam energy를 제어함으로써 PMMA residue를 효과적으로 제거하는 연구를 진행하였다. 최적화된 플라즈마 발생 조건을 찾기 위해 QMS(Quadrupole Mass Spectrometer)를 이용하여 입사하는 ion energy와 flux 양을 컨트롤 하였고, 250 W에서 최적화된 ion energy distribution 영역이 존재한다는 것을 확인할 수 있었다. 또한, 25 Gauss 정도의 electro-magnetic field를 이용하여 Ar의 ion energy를 10 eV 이하로 낮추어 damage를 최소화함으로써 효과적으로 그래핀을 cleaning 할 수 있었다. Cleaning과정에서 ion bombardment에 의해 발생한 damage는$250^{\circ}C$ 에서 6시간 동안 annealing 공정을 거치면서 회복되는 것을 Raman spectroscopy의 D peak ($1335cm{\cdot}^1$ ) / G peak ($1572cm{\cdot}^1$ ) ratio 로 확인할 수 있었고, PMMA residue의 cleaning 여부는 G peak ($1580cm{\cdot}^1$ )의 blue shift와 2D peak ($2670cm{\cdot}^1$ )의 red shift를 통해 확인하였다. 그리고 AFM (Atomic Force Microscopy)을 이용하여 cleaning 공정과정에서 RMS roughness가 4.99 nm에서 2.01 nm로 감소하는 것을 관찰하였다. 마지막으로, PMMA residue의 cleaning 정도를 정량적으로 분석하기 위해 XPS (X-ray Photoelectron Spectroscopy)를 이용하여 sp2 C-C bonding이 74.96%에서 87.66%로 증가함을 확인을 할 수 있었다. -
전자소자 산업의 미세화 및 대형화에 따라 플라즈마 밀도, 전위, 온도, 균일도 등 과 같은 플라즈마 특성을 제어하는 것은 차세대 플라즈마 장치 개발에 있어 매우 중요한 요소라고 할 수 있다. 특히, 급격한 소자의 미세화에 따라 플라즈마 공정을 통해 발생할 수 있는 damage는 큰 issue가 되어 왔고, 많은 연구자들은 이를 해결하기 위해서 다각적인 노력을 진행해 왔다. 그중 높은 전자 온도는 높은 전자 에너지에 의해 공정 중 소자를 손상 시키는 주된 원인이라고 보고되고 있으며, 이에 대한 제어기술은 매우 중요하다고 할 수 있다. 본 연구에서는 서로 다른 두 개의 내/외측으로 나뉘어진 나선형 모양의 ICP 안테나를 이용 하여 연구를 진행하였다. 내측의 안테나에는 2 MHz를 연결 하였으며, 외측의 안테나에는 13.56 MHz를 연결 하였으며, 내/외측 안테나에 각각 pulse mode로 입력전력을 인가해 줌으로써 플라즈마의 특성을 관찰하였다. Pulse / CW (Continuous Wave) mode에 있어서 전자온도의 측정을 위해 emissive probe 를 이용하여 plasma potential과 floating potential을 측정하였으며, 이를 통하여 전자온도를 계산하여 구할 수 있었다. Duty ratio 및 pulsing frequency의 변화에 따른 전자온도의 변화를 확인 할 수 있었으며, 그에 따른 플라즈마 균일도를 ion saturation current를 측정함으로써 관찰할 수 있었다. 실제 식각 공정에 있어서 Pulsing 조건에 따른 식각 특성을 관찰하기 위해, SiO2, ACL (Amorphous Carbon Layer)에 대해 식각을 진행하였으며, 식각 메커니즘 분석을 위해 이온에너지 분포의 변화를 PSM (Plasma Sampling Mass-spectroscopy)을 이용하여 측정하였다.
-
Characteristics of Argon metastable density with electron density have been studied by using Laser induced fluorescence (LIF) in ICP. Two different evolutions of measured metastable densities with electron density depending on a measurement position are addressed. The experimental result is explained by using a zero dimensional global model and is due to electron kinetic properties in the positions that can be seen from electron energy probability functions measured by Langmuir probe. The underlying physics on metastable density with electron density and an experimental method of LIF are presented in detail.
-
본 연구에서는 PECVD 공법 중에 이온화 에너지가 높은 선형이온빔 소스를 이용하여 고온에서 전도성 카본박막을 코팅하였다. 카본 박막 코팅을 위한 Precursor는
$C_2H_2$ gas를 이용하였으며, 온도에 따른 카본 박막의 전기적 특성 및 두께에 따른 카본 박막 성장 구조를 분석하였다. 카본 박막의 전기적 특성은 Interfacial contact resistance (ICR) 방법으로 측정하였으며, 접촉 저항 측정을 위한 모재는 SUS316L stainless steel을 사용하였고 카본 박막 성장 구조 분석을 위해서는 폴리싱된 Si-wafer를 사용하였다. 선형이온빔 소스를 이용하여 상온에서 증착한 카본 코팅의 접촉저항 값은 50 nm 코팅 두께에서$660m{\Omega}cm^2@10kgf/cm^2$ 으로 비정질상의 특성을 나타냈으며, 고온에서는$14.8m{\Omega}cm^2@10kgf/cm^2$ 으로 온도가 증가함에 따라 비정질상의 카본 박막이 전도성을 가지는 카본박막으로의 성장을 확인할 수 있었다. 또한 전도성 카본 박막의 성장 구조 분석은 FE-SEM 및 Raman spectrum 분석을 통해 확인하였으며, 그 결과 코팅 두께가 증가할수록 카본 입자들은 수nm에서 약 150 nm의 카본 cluster를 형성하며 성장하였다. 이때 전도성 카본 박막의 두께에 따른 접촉저항의 값은 고온 조건에서 카본 박막의 두께가 약 100 nm일 때,$12.1m{\Omega}cm^2@10kgf/cm^2$ 의 가장 낮은 값을 가졌다. 위의 결과를 경제성이 아주 우수한 대면적 전도성 나노 카본 박막의 상용화 가능성이 높아질 것으로 기대된다. -
플라즈마 질화 기술은 기존의 침탄 혹은 고주파 표면 경화 기술 대비 낮은 온도에서 열처리 공정이 진행됨에 따라 열 변형을 최소화 시킬 수 있으며, 후 가공을 간소화 시킬 수 있다는 장점으로 인해 자동차 부품 및 기타 응용 산업 분야에 있어 큰 관심을 받고 있다. 그러나 공정 진행에 장시간이 소요되고 복잡한 형상 및 홀 가공에 의한 기능부, 특히 내경부에 대한 균일 질화 처리가 어려워 실제 응용분야 확장에 큰 제약이 따르고 있다. 이를 해결하기 위해 본 연구에서는 일반 글로우 방전 대비 플라즈마 밀도가 10배 이상 높은 공공 음극 방전(Hollow Cathode Discharge, 이하 HCD) 현상을 이용하여 고속 고균일 질화공정을 개발하고자 하였으며, 상용화 적용을 위한 연구를 함께 진행하였다. 사용된 시료로는 실제 자동차 부품으로 사용되는 SCM415 소재의 ring gear와 slip yoke pipe를 사용하였으며, HCD 형성을 위해 특화된 플라즈마 질화장비를 활용, 공정 압력 및 인가 전력 등을 변수로 실험을 진행 하였다. 그 결과 질화 처리 속도에 있어 기존 글로우 방전 플라즈마 질화 대비 1/4 이하 수준으로 그 소요 시간을 단축시킬 수 있었으며, 다량 장입된 시료의 내경 기능부에 있어서도 높은 균일도를 갖는 질화표면이 형성됨을 확인할 수 있었다. 또한 기능부 표면에 형성된 HCD 현상을 열원으로 사용함으로써 외부가열 장치를 사용하지 않으면서도 기존의 hot wall 방식보다 높은 질화 균일도 구현이 가능하였으며, 소요 자원 및 전력 사용 측면에 있어서도 공정 시간 단축 및 외부 가열 공정 제거에 의한 높은 수준의 에너지 절약이 가능하였다.
-
Flexible device 및 OLED 디스플레이 제조를 위한 산화물 반도체 보호막 증착 및 encapsulation 공정을 위해 균일한 대면적 플라즈마를 만들기 위한 다양한 연구가 진행되고 있다. 초고주파 플라즈마는 고밀도, 고효율의 플라즈마를 저진공에서 쉽게 생성시킬 수 있고 다양한 전력결합방법을 통해 대면적 확장성이 우수한 장점이 있다. 본 연구에서는 TEM 웨이브가이드로 파워가 전달되는 선형 초고주파 플라즈마 소스에 대한 2차원축대칭 유체 시뮬레이션을 수행하였다. Ar 가스 압력과 초고주파 입력전력이 증가함에 따라 전자밀도가 증가하였고 도파관 방향으로 플라즈마의 길이가 증가함이 관측되었다. Quartz Tube 표면 가까이에서 전자밀도가 가장 높게 나타났다. 전자의 에너지 손실 채널중 가장 많은 부분을 차지하는 것은 여기종 생성에 따른 에너지 손실이었으며 탄성 충돌에 의한 에너지 손실이 두 번째로 큰 부분을 차지하였다.
-
대기압 플라즈마는 기존의 저압 플라즈마에 비해 제작이 간단하고 조작이 간편하기 때문에 응용 가능 분야가 넓다는 장점이 있지만 다양한 외부 요인으로 인한 안정성의 문제로 저압 플라즈마의 모든 응용범위를 대신하기에는 문제점이 있다. 현재 이 문제점을 해결하기 위한 연구가 활발히 진행 중에 있으며, 기판 및 유리 세정, Bio-medical, 물질 합성 등 다양한 분야에 대한 응용 연구도 진행 중에 있다. 본 연구에서는 본 연구실에서 자체 개발한 전원 장치를 이용하여 대기압 플라즈마를 발생 시켰으며, He, Ar Gas를 이용하여 PDMS 기판과 유리 기판에 표면 처리 한 후 친수성 비교 분석 실험을 실시하였다. Optical Emission Spectroscopy(OES)장치와 ICCD camera를 이용하여 플라즈마 진단과 특성 분석을 실시하였으며 Computer Numerical Control (CNC) x-y-z 3축 stage를 이용하여 플라즈마 발생을 제어함으로서 재현성을 높은 플라즈마 표면 처리 연구를 진행 하였다.
-
이온빔 소스는 반도체 및 디스플레이 공정에 있어, 표면 에칭 및 증착 등 여러 응용 분야에 활발히 이용되고 있다. 본 연구에 사용된 원형 이온빔 소스는 선형 이온빔 소스의 가장자리에서의 특성 분석을 위해 제작되었으며, 높은 직류전압과 자기장 공간에서 플라즈마를 방전시키고 발생된 이온들을 가속시켜 높은 에너지의 이온빔을 발생시킨다. 이온빔 특성 분석을 위해 전위지연 탐침과 패러데이 탐침을 개발하였다. 전위지연 탐침은 격자판에 전압을 인가하여 선택적으로 이온을 수집하고, 이온의 에너지분포함수를 측정한다. 패러데이 탐침은 이온 수집기와 가드링으로 구성되어 수집기 표면에 일정한 플라즈마 쉬스를 형성하여 정확한 이온전류밀도를 측정한다. 본 연구에서는, 아르곤 기체를 이용하여 기체유량(8~12 sccm) 및 방전전압(1~2 kV)에 따라 방전전류 16~54 mA, 소모전력 16~108 mW의 특성을 보였다. 운전압력은 0.4~0.54 mTorr이며, 이온소스로부터 18 cm 거리에서 이온전류밀도와 이온에너지분포를 측정하였다. 또한, 중공음극선을 이용하여 인위적으로 전자를 이온 소스에서 발생된 플라즈마에 공급하고 이온빔 및 플라즈마의 특성 변화를 위 시스템에서 분석하였다.
-
Lee, Yeong-U;Yang, Chan-Ho;Cha, Jong-Myeong;Kumar, Vishwanath Sujaya;Lee, Seong-Nam;Kim, Ji-Hun 226.2
나노 사이즈의 Ni 입자 ink를 제조하고 이를 전기수력학 인쇄공정에 적용하기 위하여 잉크의 유변학적 특성 및 최적 물성 발현을 위한 인쇄공정에 대한 연구를 진행하였다. Ni 잉크의 점도 및 증발거동 조절을 통해 전기수력학 인쇄공정을 최적화 하는 연구를 수행하였다. Ni 나노입자 잉크의 초기 점도가 낮고 인쇄성이 확보되지 않아 잉크내 응집성을 향상시켜주기 위한 다양한 additive들을 선정하여 전기수력학에 적합한 잉크 물성 확보에 비중을 두고 실험을 진행 하였다. 터비스캔을 사용하여 제조된 잉크 안정성에 대한 연구를 진행하였다. 다양한 인쇄공정 변수의 최적화를 통해 미세선폭 (< 20 um)이 가능한 전기수력학 인쇄공정을 확립하였다. -
투명전도성 산화물(TCO,Transparent Conductive Oxide) 물질로 널리 사용되는 ITO 박막은 산화물 반도체를 평판 디스플레이용 투명전극 재료로 개발하기 위한 많은 연구가 진행되고 있다. ITO (Indium tin oxide)는 약 3.5 eV 정도의 넓은 밴드갭을 가진 축퇴반도체로서 전기적 및 광학적 특성이 우수하기 때문에 대표적 투명전도성 박막으로 가장 많이 사용되고 있다.현재 양산화된 ITO의 조성비는 90:10WT%인 타겟을 사용하는대 투명전극은 비저항이
$1{\times}10-3{\Omega}/sq$ 이하로 면저항이$103{\Omega}/sq$ 전기전도성이 우수하고 380에서 780 nm의 가시광선 영역에서의 투과율이 80% 이상이라는 두 가지 성질을 만족시키는 박막이다. 본 실험에서는 SnO2 1~5wt% 인 ITO타겟을 제작하고 RF-Magnetron Sputtering을 사용하여 영구자석을 이용한 고밀도 플라즈마로 높은 점착성과, 균일한 박막 및 대면적 공정이 가능한 RF-magnetron sputtering방법으로 기판인 Slide glass위에 ITO를 증착하여 광학적 특성 및 전기적 특성에 대하여 측정하였다. 전기적, 광학적 특성 등 XRD을 통해 분석하였다. 그리고 증착된 모든 ITO 박막에서 가시광 투과율을 측정하기 위해 UV-Vis spectrophptometer을 이용하여 분석한 결과 90%이상의 높은 투과율이 측정되었다. ITO박막은 Anti-Fogging, Self-Cleaning, Solar cell 및 디스플레이소자 등 다양한 산업에 이용 가능할 것으로 생각된다. -
Characteristics of high Fermi velocity, high mechanical strength, and transparency offer tremendous advantages for using graphene as a promising transparent conducting material [1] in electronic devices. Although graphene is a prospective candidate for touch sensor with strong mechanical properties [2] and flexibility, only few investigations have been carried out in the field of sensor as a device form. In this study, we suggest ultra-highly sensitive and transparent graphene touch sensor fabricated by single layer graphenes. One of the graphene layers is formed in the top panel as a disconnected graphene beam transferred on PDMS, and the other of the graphene layer is formed with line-patterning on the bottom panel of triple structure PET/PI/SiO2. The touch sensor shows characteristics of flexible. Its transmittance is approximately 75% where transmittance of the top panel and the bottom panel are 86.3% and 87%, respectively, at 550 nm wavelength. Sheet resistance of each graphene layer is estimated as low as
$971{\Omega}/sq$ . The results show that the conductance change rate (${\Delta}C/C0$ ) is$8{\times}105$ which depicts ultra-high sensitivity. Moreover, reliability characteristic confirms consistent behavior up to a 100-cycle test. -
Tactile sensors have widely been researched in the areas of electronics, robotic system and medical tools for extending to the form of bio inspired devices that generate feeling of touch mimicking those of humans. Recent efforts in adapting the tactile sensor have included the use of novel materials with both scalability and high sensitivity [1]. Graphene, a 2-D allotrope of carbon, is a prospective candidate for sensor technology, having strong mechanical properties [2] and flexibility, including recovery from mechanical stress. In addition, its truly 2-D nature allows the formation of continuous films that are intrinsically useful for realizing sensing functions. However, very few investigations have been carrier out to investigate sensing characteristics as a device form with the graphene subjected to strain/stress and pressure effects. In this study, we present a sensor of vertical forces based on single-layer graphene, with a working range that corresponds to the pressure of a gentle touch that can be perceived by humans. In spite of the low gauge factor that arises from the intrinsic electromechanical character of single-layer graphene, we achieve a resistance variation of about 30% in response to an applied vertical pressure of 5 kPa by introducing a pressure-amplifying structure in the sensor. In addition, we demonstrate a method to enhance the sensitivity of the sensor by applying resistive single-layer graphene.
-
Graphene, an allotrope of carbon, is a two-dimensional material having a unique electro-mechanical property that shows significant change of the electrical conductance under the applied strain. In addition of the extraordinary mechanical strength [1], graphene becomes a prospective candidate for pressure sensor technology [2]. However, very few investigations have been carried out to demonstrate characteristics of graphene sensor as a device form. In this study, we demonstrate a pressure sensor using graphene double layer as an active channel to generate electrical signal as the response of the applied vertical pressure. For formation of the active channel in the pressure sensor, two single graphene layers which are grown on Cu foil (25 um thickness) by the plasma enhanced chemical vapor deposition (PECVD) are sequentially transformed to the poly-di-methyl-siloxane (PDMS) substrate. Dry and wet transfer methods are individually employed for formation of the double layer graphene. This sensor geometry results a switching characteristic which shows ~900% conductivity change in response to the application of pulsed pressure of 5 kPa whose on and off duration is 3 sec. Additionally, the functional reliability of the sensor confirms consistent behavior with a 200-cycle test.
-
대면적 그래핀의 높은 제조비용과 낮은 생산성으로 인해 최근 산화그래핀(GO)을 박리하여 대면적화 하는 연구가 활발히 진행되고 있다. 하지만, Hummers 법에 의해 제조된 산화그래핀은 제조공정상 발생되는 황이나 수소 및 산소 등의 불순물에 의한 특성저하와 15층에서 25층 정도의 다층 구조에 의한 높은 접촉저항 때문에 그래핀 고유의 특성 발휘가 어렵다. 본 연구에서는 DC 열 플라즈마의 NH3 방전을 이용하여 산화그래핀의 불순물인 S, H, O를 완전히 제거하였고, DC 열 플라즈마 처리된 후의 산화그래핀의 Volume을 평균 2.5배정도 증가시켰다. 또한 N2와 He을 혼합 시킨 DC 열 플라즈마 방전으로 산화그래핀 표면에 N 을 도핑 하여 전기적 특성을 향상시켰다. N 도핑 농도는 최대 20wt%이었으며 N2과 He공급량, Current 조절에 의해 Dopping 농도를 제어하였다.
-
스퍼터링 공정은 보통 수백 eV로 가속된 이온에 의한 고체 타겟으로부터 입자의 방출로서 정의할 수 있다. 스퍼터된 입자는 열에너지보다 운동에너지가 크며 박막성장은 저에너지의 입자충격, 불활성 가스이온, 타겟부터 산란된 입자에 의하여 지배된다. 본 연구는 직경 2인치의 원형 Cr 타겟을 셔터를 닫고 예비 스퍼터링 할 때 셔터(SUS 304 0.1t)가 전자기력을 받아서 기계적으로 진동하는 현상을 규명하고자 하였다. 셔터의 하단부를 챔버의 중심축에 고정시켜서 타겟과 평행하도록 수 cm 떨어뜨려서 위치한 뒤 직류 마그네트론 플라즈마를 발생시켰을 때 DC power에 따라서 각각 움직임을 동영상촬영을 진행하였고, 셔터의 중심을 실로 매달아서 자유롭게 움직일 수 있도록 한 뒤 플라즈마가 발생했을 때 기계적인 움직임을 중점적으로 관찰했다. 움직임의 차이를 비교하기 위해서 셔터의 크기를 줄여가며 일정한 DC power에서 실험을 진행했고, 자세한 관찰을 위해서 초고속카메라(210 fps)로 짧은 순간의 변화를 비교했다. 실험조건은 5, 10 mTorr, DC power 30, 40, 50, 70, 100 W, Ar 30 sccm, 셔터의 크기 10, 20, 30, 40, 50, 60 mm로 실시했다. 압력이 낮아질수록, 셔터의 크기가 작을수록, DC power가 커질수록 움직임변화가 커졌고, 진동수가 빨라지는 것을 확인했다. F=qE=ma를 통해서 실험에서 촬영한 동영상을 근거로 거리측정을 통해 실험에서 얼마의 전기장이 인가되어 있는지 예측하였다.
-
열 플라즈마(thermal plasma) 는 저온 플라즈마(cold plasma)와 달리 이온과 전자와 중성입자들이 충분한 에너지 교환으로 인해 열평형 상태를 가진다. 열 플라즈마를 생성 시킬 때 전극 사이에서 아크방전을 시켜 제트 형태로 플라즈마를 발생시키는 것을 플라즈마 토치(plasma torch)라고 한다. 이러한 플라즈마 토치는 화학 원소 분해, 강판 절단, 유해 기체 분해 등으로 널리 사용되고 있다. 본 연구에서는 플라즈마 토치를 수치적으로 해석하여 플라즈마의 특성을 알아보았다. 수치해석적 접근방법으로 열 플라즈마는 LTE (local thermodynamic equilibrium)을 가정하였으며 one-fluid 이론을 적용하였다. 이때 사용된 코드는 DCPTUN으로서
$C^{+}^{+}$ 로 작성된 열플라즈마 유동의 특성해석 코드인 동시에 SIMPLE 알고리즘을 이용한 유체 코드이다. 시뮬레이션은 2차원 축대칭이며 정렬격자계 및 비정렬격자계 모두에서 사용이 가능하도록 되어있다. 또한 맥스웰 방정식을 통해 electromagnetic field를 풀도록 하여 RF 시뮬레이션이 가능하도록 하였다. 이와 같은 열 플라즈마 시뮬레이션을 통해서 플라즈마 토치의 특성을 알아보았다. -
훌륭한 전기적 특성을 갖는 ZnO 기반의 산화물 반도체 박막트랜지스터(TFT)는 AMOLEDs에 적용될 수 있다. 하지만 이러한 장점에도 불구하고 산화물 반도체 TFT소자에 전압이 인가되었을 때 문턱 전압이 이동하게 되는 안정성 문제를 갖는다. 따라서 이를 해결하기 위한 연구가 널리 진행 되고 있다. 본 연구소에서는 고압 분위기 열처리를 통해 안정성의 원인으로 작용할 수 있는 산소공공(Oxygen vacancy)을 감소시키는 연구를 진행하였다. 산화물 반도체 TFT소자의 안정성을 향상시키는 대표적인 분위기 열처리로는 산소 고압 열처리(HPA)가 있으며, 또한 H2O 기체를 사용한 열처리를 통해 TFT소자의 안정성을 높일 수 있다는 연구 결과가 보고된 바 있다. 본 연구에서는 IGZO TFT소자에 H2O보다 더 큰 반응성을 갖는 산화제인 H2O2 기체를 사용한 HPA를 통해 positive bias stress(PBS) 및 negative bias illumination stress(NBIS) 조건에서 안정성이 향상됨을 확인하였고 이를 H2O 기체를 사용한 경우와 비교하였다. 그 결과 H2O2 기체를 산화제로 사용할 때 기존 H2O 기체에 비해 효과적인 PBS 및 NBIS 신뢰성 개선을 확인하였다.
-
The green displays are the human friendly displays, the nature friendly displays, and the economical displays. Electrochromic displays are low cost and environmental devices because they do have more choice of colours and use much less power. The elements of the electrochromic devices consist of at least two conductors, an electrochromic material and an electrolyte. The optical properties were obtained using the optical contrast between the transparency of the substrate and the coloured state of the electrochromic materials. These devices can be fully flexible and printable. Due to the characteristics of the high coloration efficiency and memory effects, the electrochromic devices have been used in various applications such as information displays, smart windows, light shutters and electronic papers. Among these technical fields switchable mirrors have been received much attention in the applicative point of view of various electronic devices production. We have developed a novel silver (Ag) deposition-based electrochromic device for the reversible electrodeposition (RED) system. The electrochromic device can switch between transparent states and mirror states in response to a change in the applied voltage. The dynamic range of transmittance percent (%) for the fabricated device is about 90% at 550 nm wavelength. Also, we successfully fabricated the large area RED display system using the parted electrochromic cells of the honey comb structure.
-
Since its discovery in 2004, graphene, a sp2-hybridized 2-Dimension carbon material, has drawn enormous attention. A variety of approaches have been attempted, such as epitaxial growth from silicon carbide, chemical reduction of graphene oxide and CVD. Among these approaches, the CVD process takes great attention due to its guarantee of high quality and large scale with high yield on various transition metals. After synthesis of graphene on metal substrate, the subsequent transfer process is needed to transfer graphene onto various target substrates, such as bubbling transfer, renewable epoxy transfer and wet etching transfer. However, those transfer processes are hard to control and inevitably induce defects to graphene film. Especially for wet etching transfer, the metal substrate is totally etched away, which is horrendous resources wasting, time consuming, and unsuitable for industry production. Thus, our group develops one-step process to directly grow graphene on glass substrate in plasma enhanced chemical vapor deposition (PECVD). Copper foil is used as catalyst to enhance the growth of graphene, as well as a temperature shield to provide relatively low temperature to glass substrate. The effect of growth time is reported that longer growth time will provide lower sheet resistance and higher VSG flakes. The VSG with conductivity of
$800{\Omega}/sq$ and thickness of 270 nm grown on glass substrate can be obtained under 12 min growing time. The morphology is clearly showed by SEM image and Raman spectra that VSG film is composed of base layer of amorphous carbon and vertically arranged graphene flakes. -
Many studies have been investigated on high density plasma source (Electron Cyclotron Resonance[ECR], Inductively Coupled Plasma[ICP], Helicon plasma) for large area source after It is announced that productivity of plasma process depends on plasma density. Among them, Some researchers have been studied on multiple sources In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP), and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple source for large-area processes.
-
Organic light emitting diode (OLED) is considered as the next generation flat panel displays due to its advantages of low power consumption, fast response time, broad viewing angle and flexibility. For the flexible application, it is essential to develop thin film encapsulation (TFE) to protect oxidation of organic materials from oxidative species such as oxygen and water vapor [1]. In many TFE research, the inorganic film by atomic layer deposition (ALD) process demonstrated a good barrier property. However, extremely low throughput of ALD process is considered as a major weakness for industrial application. Recently, there has been developed a high throughput ALD, called 'spatial ALD' [2]. In spatial ALD, the precursors and reactant gases are supplied continuously in same chamber, but they are separated physically using a purge gas streams to prevent mixing of the precursors and reactant gases. In this study, the
$Al_2O_3$ thin film was deposited by spatial ALD process. We characterized various process variables in the spatial ALD such as temperature, scanning speed, and chemical compositions. Water vapor transmission rate (WVTR) was determined by calcium resistance test and less than$10-^3g/m^2{\cdot}day$ was achieved. The samples were analyzed by x-ray photoelectron spectroscopy (XPS) and field emission scanning electron microscope (FE-SEM). -
Precise measurement of plasma parameters including density and temperature is the most essential part for understanding plasma characteristics. To persue more accurate measurement, it is very important to understand the intrinsic error of the measurement method. In this paper, we performed the plasma measurement with different method; langmuire probe and cutoff probe. Both measurement technology are known to be exactly correlate with etch other. We conducted the four set of same experiments process by diffrent persons to observe the intrinsic error based on measurement tools. As a result, the cutoff probe is relatively reliable then the Langmuir probe. This difference is analyzed to be intrinsic since it cames from the inevitable error such as manufacturing of probe tip. From this study, we sure that it is good decision to choose cutoff probe as repeatable measurement independent with intrinsic human factor.
-
Plasma hardly grows in low pressure because of lack of collision. Especially, in extremely low pressure like 1 mTorr, the experiment scale is far larger than mean free path therefore plasma is hardly generated in such low pressure. But low pressure plasma has useful properties like low damage or fine sputtering process because it has typically low electron density. In here, thermal electron is used to make breakdown in low pressure easily and cylindrical geometry is used to help discharge easily. And we changed magnetic field strength to control electron density or temperature. In low pressure, density and temperature behavior is very interesting so its characteristics are examined here.
-
Yu, Gwang-Ho;Kim, Jeong-Hyeong;Yu, Sin-Jae;Ryu, Hyeon;Seong, Dae-Jin;Sin, Yong-Hyeon;Jang, Hong-Yeong 236.1
Compounds of Ga, such as gallium oxide (Ga2O3) and gallium nitride (GaN), are of interest due to its unique properties in semiconductor application. In particular, GaN has the potentially application for optoelectronic device such as light-emitting diodes (LEDs) and laser diodes (LDs) [1]. Nanoparticle is an interesting material due to its unique properties compared to the bulk equivalents. In this report, we develop a synthesizing method for gallium nitride nanoparticle using non-thermal plasma. For gallium source, the gallium is heated by thermal conduction of tungsten boat which is heated by eddy current induced from RF current in antenna. Nitrogen source for nanoparticle synthesis are from inductively coupled plasma with N2 gas. The synthesized nano particles are analyzed using field-emission scanning microscope (FESEM), transmission electron microscope (TEM) and x-ray photoelectron spectroscopy (XPS). The synthesized particles are investigated and discussed in wide range of experiment conditions such as flow rate, pressure and RF power. -
세계 반도체 시장은 컴퓨터 기능이 더해진 모바일 기기의 수요가 증가함에 따라 메모리반도체의 시장규모가 최근 빠른 속도로 증가했다. 특히 모바일 기기에서 저장장치 역할을 하는 비휘발성 반도체인 NAND Flash Memory는 스마트폰 및 태블릿PC 등 휴대용 기기의 수요 증가, SSD (Solid State Drive)를 탑재한 PC의 수요 확대, 서버용 SSD시장의 활성화 등으로 연평균 18.9%의 성장을 보이고 있다. 이러한 경제적인 배경 속에서 NAND Flash 미세공정 기술의 마지막 단계로 여겨지는 1Xnm 공정이 개발되었다. 그러나 1Xnm Flash Memory의 생산은 새로운 제조설비 구축과 차세대 공정 기술의 적용으로 제조비용이 상승하는 단점이 있다. 이에 따라 제조공정기술을 미세화하지 않고 기존의 수평적 셀구조에서 수직적 셀구조로 설계 구조를 다양화하는 기술이 대두되고 있는데 이 중 Flash Memory의 대용량화와 수명 향상을 동시에 추구할 수 있는 3D NAND 기술이 주목을 받게 되면서 공정기술의 변화도 함께 대두되고 있다. 3D NAND 기술은 기존라인에서 전환하는데 드는 비용이 크지 않으며, 노광장비의 중요도가 축소되는 반면, 증착(Chemical Vapor Deposition) 및 식각공정(Etching)의 기술적 난이도와 스텝수가 증가한다. 이 중 V-NAND 3D 기술에서 사용하는 박막증착 공정의 경우 산화막과 질화막을 번갈아 증착하여 30layer 이상을 하나의 챔버 내에서 연속으로 증착한다. 다층막 증착 공정이 비정상적으로 진행되었을 경우, V-NAND Flash Memory를 제조하기 위한 후속공정에 영향을 미쳐 웨이퍼를 폐기해야 하는 손실을 초래할 수 있다. 본 연구에서는 V-NAND 다층막 증착공정 중에 다층막의 두께를 가상 계측하는 알고리즘을 개발하고자 하였다. 증착공정이 진행될수록 박막의 두께는 증가하여 커패시터 관점에서 변화가 생겨 RF 신호의 진폭과 위상의 변화가 생긴다는 점을 착안하여 증착 공정 중 PECVD 장비 RF matcher와 heater에서 RF 신호의 진폭과 위상을 실시간으로 측정하여 데이터를 수집하고, 박막의 두께와의 상관성을 분석하였다. 이 연구 결과를 토대로 V-NAND Flash memory 제조 품질향상 및 웨이퍼 손실 최소화를 실현하여 제조 시스템을 효율적으로 운영할 수 있는 효과를 기대할 수 있다.
-
Etching is one of the most important steps in semiconductor manufacturing. In etch process control a critical task is to stop the etch process when the layer to be etched has been removed. If the etch process is allowed to continue beyond this time, the material gets over-etched and the lower layer is partially removed. On the other hand if the etch process is stopped too early, part of the layer to be etched still remains, called under-etched. Endpoint detection (EPD) is used to detect the most accurate time to stop the etch process in order to avoid over or under etch. The goal of this research is to develop a hardware and software system for EPD. The hardware consists of an Optical Plasma Monitor (OPM) sensor which is used to continuously monitor the plasma optical emission intensity during the etch process. The OPM software was developed to acquire and analyze the data to perform EPD. Our EPD algorithm is based on the following theory. As the etch process starts the plasma generated in the vacuum is added with the by-products from the etch reactions on the layer being etched. As the endpoint reaches and the layer gets completely removed the plasma constituents change gradually changing the optical intensity of the plasma. Although the change in optical intensity is not apparent, the difference in the plasma constituents when the endpoint has reached leaves a unique signature in the data gathered. Though not detectable in time domain, this signature could be obscured in the frequency spectrum of the data. By filtering and analysis of the changes in the frequency spectrum before and after the endpoint we could extract this signature. In order to do that, first, the EPD algorithm converts the time series signal into frequency domain. Next the noise in the frequency spectrum is removed to look for the useful frequency constituents of the data. Once these useful frequencies have been selected, they are monitored continuously in time and using a sub-algorithm the endpoint is detected when significant changes are observed in those signals. The experiment consisted of three kinds of etch processes; ashing, SiO2 on Si etch and metal on Si etch to develop and evaluate the EPD system.
-
이번 연구는 system-on-panel에 적용하기 위한 비휘발성 메모리의 전하보유시간 및 메모리 윈도우 특성 향상에 관한 연구이다. 이를 위해 SiO2/SiOX/SiOXNY의 메모리 구조를 이용하였으며, 채널층으로 결정화 온도에 따른 수소화된 미세결정 실리콘-게르마늄을 이용하였다. 채널 층으로 사용된 수소화된 미세결정 실리콘-게르마늄은 비정질 실리콘-게르마늄보다 더 낮은 bandgap과 더 적은 defect density로 인하여 더 향상된 전하보유시간 및 메모리 윈도우를 얻을 수 있었다. 결정화가 거의 이루어지지 않은 실리콘-게르마늄 비휘발성 메모리의 경우 약 4.9V의 메모리 윈도우를 얻을 수 있었다. 반면 300oC에서 약 43.4%의 결정화가 이루어진 실리콘-게르마늄의 메모리 윈도우는 약 5.9V로 약 17%의 향상이 있으며, 10년 후 74.5%의 높은 전하보유시간을 가졌다.
-
이번 연구는 system-on-panel에 적용하기 위한 비휘발성 메모리의 메모리 윈도우 특성 향상에 관한 연구이다. 이를 위해 SiO2/SiNX/SiOXNY의 메모리 구조를 이용하였으며, 채널층으로 투명한 비정질 인듐-주석-아연-산화물을 이용하였다. N형 물질의 특성인 수많은 전자로 인해 erasing의 어려움이 발생하는데 이는 빛과 전압의 동시 인가로 해결하였다. 전하트랩층은 비휘발성 메모리에서 가장 널리 이용되는 질화막을 이용하였으며, SiH4과 NH3의 비율은 8대 1에서 1대 2까지 이용하였다. 이번 연구에서 SiH4과 NH3의 비율이 2대 1일 때 쓰기 전압 +13V와 지우기 전압 -6V에서 약 3.7V의 높은 메모리 윈도우를 얻을 수 있었다.
-
Jo, Song-Jin;Yun, Dang-Mo;Kim, Il-Gu;Kim, Mi-Yeong;Lee, Seung-Hyeon;Lee, Beom-Ju;Sin, Jin-Guk 238.2
Bottom emission type의 유기발광다이오드는 ITO glass와 Al 사이에 유기물 층이 샌드위치 구조로 존재하며, 발광층에서 발생된 빛은 방사 방향으로 퍼져나간다. 이때 bottom으로 이동하는 빛은 굴절률이 서로 다른 박막을 통과하면서 초기 발생된 빛 중 20%만이 air로 빠져나온다. 특히 glass와 air사이의 굴절률이 달라 발생되는 전반사에 의해 손실되는 빛의 양은 35%에 달한다. 따라서 본 연구에서는 glass와 air사이의 전반사를 줄이고 효과적으로 발광량을 추출하기 위해 열경화성 고분자를 사용하여 nano-structure를 제작하였다. 열경화성 고분자의 nano-structure를 제작하는데 있어 영향을 주는 온도, 압력 요인을 확인하였고, 투과율 99.6%, 직경 250 nm의 고밀도 nano-structure를 제작하였으며, 유기발광다이오드의 전기 광학적 특성에 미치는 효과를 살펴보았다. -
최근 산화아연이나 산화주석을 기반으로 한 산화물 박막 트랜지스터의 연구가 활발히 진행되고 있다. 2004년 일본의 Hosono 교수 그룹에서 비정질 InGaZnO (IGZO) 박막을 이용한 TFT소자 제작을 발표하고 우수한 특성을 확인한 후 산화물 TFT 소자기술에 대한 전 세계적인 연구개발의 계기가 마련 되었다. 그러나 다성분계 화합물 산화물 반도체의 경우 복잡한 성분 조합과 조절이 어렵고, 공정의 제약으로 인해 상용화에 어려움을 겪고 있다. 산화아연의 경우 증착공정중 쉽게 결정화가 이뤄져 대면적화가 어렵고, 결정립계에 의한 이동도 저하, DC 신뢰성 저하가 발생한다. 이에 비해 산화 티타늄의 경우 증착과정후 열처리를 통해서 비정질상과 결정립상을 조절할 수 있다. 하지만, 현재까지 발표된 산화 티타늄 기반의 박막 트랜지스터의 경우 내부 캐리어의 조절이 상대적으로 어려운 단점이 있었다. 본 연구에서는 산화 티타늄기반의 박막 트랜지스터를 제작하고 공정중 산소 분압을 조절하여 소자 특성을 개선시키고 동작모드를 조절하는 연구를 진행하였다. Bottom gate 형식의
$TiO_2$ TFT를 제작하였고 전이 특성을 살펴본 결과 산소분압이 증가할수록 이동도는 감소하는 경향이 나타났다. 또한, 산소분압을 조절하여 박막 내부의 캐리어를 조절할 수 있는 가능성을 보였다. -
태양전지의 전면전극과 웨이퍼의 접촉저항은 태양전지의 효율을 저하시키는 원인이 된다. 전면전극과 웨이퍼의 접촉저항을 감소시키는 공정으로써 선택적 에미터 도핑이 널리 적용되고 있다. 선택적 에미터 도핑은 태양전지의 전면전극 하부에 고농도 도핑을 함으로써 전극과 웨이퍼의 접촉저항을 감소시켜 태양전지의 효율상승을 유도한다. 이러한 선택적 에미터 도핑은 주로 고가의 레이저 장비가 요구 되어 생산단가가 높으며 웨이퍼의 구조적 손상을 야기한다. 본 연구에서는 고가의 레이저 장비를 플라즈마 제트 장치로 대체함으로써 생산단가를 낮추고자 한다. 도펀트가 도포된 웨이퍼에 플라즈마 제트를 조사하면 플라즈마 전류 흐름에 의한 저항 열이 발생한다. 발생된 열에 의해 도펀트가 웨이퍼에 확산되어 도핑된다. 플라즈마 제트로 구성된 선택적 에미터 도핑 장비 개발을 위한 기초 특성을 조사한다. 플라즈마 제트의 전류량의 변화에 따른 웨이퍼의 온도 특성과 도포된 도펀트 용재의 인산 함유량에 따른 도핑 깊이를 조사한다. 또한 선택적 에미터 도핑의 생산성을 향상시키기 위해 다중 채널 플라즈마 제트 장치를 구성하여 특성을 조사한다. 각 채널의 플라즈마 제트의 선폭과 전류량이 적절한 균일도를 갖도록 한다. 도핑 프로파일은 이차 이온 질량분석법을 통해 분석한다.
-
Kim, Yun-Jung;Jin, Se-Hwan;No, Jun-Hyeong;Song, Seo-Jin;Lee, Ye-Gwon;Choe, Min-Seong;Kim, Hui-Ju;Gwon, Gi-Cheong;Jo, Gwang-Seop 240.1
대기압 플라즈마 제트 장치에 주입되는 기체의 유량 변화에 따른 방전 특성을 유체역학적으로 해석하였다. 장치에 주입되는 기체의 유량 변화는 레이놀즈수에 의한 유체 흐름의 상태 변화와 베르누이 정리에 의한 압력 변화를 동반한다. 유리관에 주입되는 기체의 레이놀즈수가 Re<2000이면 층류이며 Re>4000이면 난류, 2000Park, Ji-Hun;Attri, Pankaj;Hong, Yeong-Jun;Kumar, Naresh;Kim, Sang-Yeop;Kim, Yeong-Jo;Lee, Gu-Hyeop;Lee, Seung-Mok;Park, Bong-Sang;Jeon, Su-Nam;Choe, Eun-Ha 240.2
Characteristics of pulse-discharged plasma in liquid and its biological applications to proteins are investigated by making use of high voltage Marx generator. The Marx generator has been consisted of 5 stages, where each charging capacitor is$0.5{\mu}F$ to generate a high voltage pulse with rising time of$1{\mu}s$ . We have applied an input voltage of 6 kV to the each capacitor of$0.5{\mu}F$ . The high voltage pulsed plasma has been generated inside a polycarbonate tube by a single-shot operation, where the breakdown voltage is measured to be 7 kV, current of 1.2 kA, and pulse width of${\sim}1{\mu}s$ between the two electrodes of anode-cathode made of stainless steel, which are immersed into the liquids. For the investigation of the influence of pulsed plasma on biomolcules, we have focused on the amino acids, DNA, proteins, cell and cholesterol.The large-area high-power radio-frequency (RF) driven ion sources based on the negative hydrogen (deuterium) ion beam extraction are the major components of neutral beam injection (NBI) systems in future large-scale fusion devices such as an ITER and DEMO. Positive hydrogen (deuterium) RF ion sources were the major components of the second NBI system on ASDEX-U tokamak. A test large-area high-power RF ion source (LAHP-RaFIS) has been developed for steady-state operation at the Korea Atomic Energy Research Institute (KAERI) to extract the positive ions, which can be used for the NBI heating and current drive systems in the present fusion devices, and to extract the negative ions for negative ion-based plasma heating and for future fusion devices such as a Fusion Neutron Source and Korea-DEMO. The test RF ion source consists of a driver region, including a helical antenna and a discharge chamber, and an expansion region. RF power can be transferred at up to 10 kW with a fixed frequency of 2 MHz through an optimized RF matching system. An actively water-cooled Faraday shield is located inside the driver region of the ion source for the stable and steady-state operations of RF discharge. The characteristics and uniformities of the plasma parameter in the RF ion source were measured at the lowest area of the expansion bucket using two RF-compensated electrostatic probes along the direction of the short- and long-dimensions of the expansion region. The plasma parameters in the expansion region were characterized by the variation of loaded RF power (voltage) and filling gas pressure.We present highly transparent liquid crystal displays (LCDs) using hybrid films based on carbon nanomaterials, metal grid, and indium-tin-oxide (ITO) grid. Carbon based nanomaterials are used as transparent electrodes because of high transmittance. Despite of their high transmittance they have relatively high sheet resistance. To solve this problem, we applied grid and made hybrid conductive films based on carbon nanomaterials. Conventional photolithography processes were used to make a grid pattern of metal and ITO. To fabricate transparent conductive films, carbon nanotube (CNT) ink was spin coated on the grid pattern. The transparency of the conductive film was controlled by shape and size of the grid pattern and the thickness of CNT films. The optical transmittance of CNT-based hybrid films is 92.2% and sheet resistance is also reduced to$168{\Omega}/square$ . These substrates were used for the fabrication of typical twisted nematic (TN) LCD cells. From the characteristics of LCD devices such as transmittance, operating voltage, voltage holding ratio our devices were comparable to those of pristine ITO substrates. The result shows that the hybrid conductive films based on carbon nanomaterials could be alternative of ITO for the highly transparent LCDs.The electron cyclotron resonance plasma source with a belt-type magnet assembly (BMA) is designed for effective plasma confinements. For characterizing the plasma source, the plasma parameters are measured by Langmuir probe. However, the plasma parameters and the motion of charged particles near the ECR zone are not easy to diagnostics, because of the high plasma density and temperature. Thus, as an alternative method, the electromagnetic simulation of the plasma source has been performed by using three-dimensional particle-in-cell and Monte Carlo collisional (PIC-MCC) simulation codes. For considering the limitation of simulation resources and time, the periodic boundary condition is applied and the coulomb collision is neglected. In this paper, we present the results of 3D PIC simulations of ECR plasmas with BMA and we compare them with the experimental results.Seo, Hyeon-Jin;Hwang, Gi-Hwan;Ju, Dong-U;Yu, Jeong-Hun;Lee, Jin-Su;Jeon, So-Hyeon;Nam, Sang-Hun;Yun, Sang-Ho;Bu, Jin-Hyo 242.2
Plasma-polymer thin films (PPTF) have been deposited on a Si(100) wafer and glass under several conditions such as different RF power by using plasma-enhanced chemical vapor deposition (PECVD) system. Ethylcyclohexane, ammonia gas, hydrogen and argon were utilized as organic precursor, doping gas, bubbler gas and carrier gases, respectively. PPTFs were grown up with RF (ratio frequency using 13.56 MHz) powers in the range of 20~60 watt. PPTFs were characterized by FT-IR (Fourier Transform Infrared), FE-SEM (Scanning Electron Microscope), AFM (Atomic Force Microscope), Contact angle and Probe station. The result of FT-IR measurement showed that the PPTFs have high cross-link density nitrogen doping ratio was also changed with a RF power increasing. AFM and FE-SEM also showed that the PPTFs have smooth surface and thickness. Impedance analyzer was utilized for the measurements of C-V curves having different dielectric constant as RF power.For two-dimensional grid electrodes immersed in plasmas, sheath expansion due to negative high-voltage pulse applied to the electrode generates high-energy pseudowave. The high-energy pseudowave can be used as ion beam for ion implantation. To estimate ion dose due to high-energy pseudowave, investigation on sheath expansion of grid electroes is necessary. To investigate sheath expansion, an analytic model was developed by Vlasov equation and applying the 1-D sheath expansion model to 2-D. Because of lack of generalized 2-D Child-Langmuir current, model cannot give solvable equation. Instead, for a given grid electrode geometry, the model found the relations between ion distribution functions, Child-Langmuir currents, and sheath expansions. With these relations and particle-in-cell (PIC) simulations, for given grid electrode geometry, computation time was greatly reduced for various conditions such as electrode voltages, plasma densities, and ion species. The model was examined by PIC simulations and experiments, and they well agreed.기존의 저압 플라즈마에 비해 여러 장점을 가지는 중간압력 플라즈마 및 대기압 플라즈마는 수년전부터 많은 관심을 받고 있으며 다양한 응용분야에서 활발히 이용되고 있다. 기초과학으로서의 플라즈마 측면뿐만 아니라 플라즈마 응용의 결과들은 플라즈마의 특성에 따라 좌우되므로 플라즈마 진단 역시 최근 플라즈마 연구에 중요한 부분을 차지하고 있다. 일반적으로 플라즈마 내의 모든 화학적 반응 및 물리적 반응에 있어 전자가 결정적인 역할을 하기 때문에 플라즈마 내의 전자의 정보를 대표하는 지표인 전자온도($n_e$ ) 및 전자밀도($T_e$ )의 측정이 중요하다. 본 연구에서는 대기압 플라즈마에서 중성원자와 전자의 상호작용에 의한 연속 방출광을 자외선-가시광 영역에서 측정하고, 이를 기반으로$n_e$ 및$T_e$ 를 측정하였다. 높은 압력에서 불완전 전리된 플라즈마는 이온화율이 낮고 중성원자의 밀도가 이온밀도보다 훨씬 높기 때문에 중성 제동복사(Neutral bremsstrahlung)의 방사도를 이용한 ne 및 Te의 측정이 가능하다. 특히 아르곤 대기압 플라즈마에서 측정된 연속 방출광 스펙트럼의 자외선 영역(280~450 nm)에서는 중성 제동복사에 의한 연속 방출광뿐만 아니라 수소분자에 의한 dissociative 연속 방출광이 함께 존재하는 것이 확인되어 최종적으로 두 연속 방출광을 고려하여 정확한 ne 및 Te를 측정할 수 있었다. 대기압 아르곤 축전결합방전에서 입력전력에 따라 전자온도는 2.5 eV로 유지되었으며, 전자밀도는$(0.7-1.1){\times}10^{12}cm^{-3}$ 범위에서$j_d{\propto}n_e{\propto}P_{rf}$ 관계를 따르며 변화하는 것이 관찰되었다.Lee, Sang-Jin;Kim, Cheol-Hwan;Baek, Jong-Hyeon;Jo, Seong-Geun;Ham, Dong-Seok;Choe, U-Jin;Kim, Gwang-Je;Lee, Jae-Heung 244.1
디스플레이 패널의 터치 스크린에 가장 널리 사용되는 ITO 전극은 사용자의 눈에 전극 면이 시각적으로 구분되지 않도록 해야 한다. 따라서 최근에는 ITO 전극 면이 구분되지 않도록 하기 위해 다층 박막으로 이루어진 인덱스 매칭(index matching, IM) 기술을 이용하여 ITO 전극 필름을 제작하고 있다. 이러한 인덱스 매칭된 ITO 필름은 기판이나 공정 조건, 인덱스 매칭 층의 물질 종류에 따라 ITO 박막의 전기적 광학적 특성이 각각 다르게 나타나기 때문에 이에 대한 많은 연구가 이루어지고 있다. 본 연구에서는 롤투롤 스퍼터링(roll to roll sputtering) 방법으로 고굴절과 저굴절이 순차적으로 코팅 처리된 PET 기판 위에 ITO 박막을 증착하여 IM-ITO 필름을 제작하고 전기적 광학적 특성을 관찰하였다. 이를 위해 습식(wet) 코팅 방법으로 저굴절층과 고굴절층을 PET 필름 위에 코팅하여 IM층을 제작한 PET 필름 위에 ITO 박막을 증착하고,$150^{\circ}C$ 로 후 열처리를 하여 인덱스 매칭된 ITO 필름을 제작하였다. 제작된 필름은 GIXD를 이용하여 박막의 구조와 결정성을 조사하였고, 면저항 측정기와 홀측정 장치를 이용하여 전기적 특성을 관찰하였다. 그리고 분광광도계와 탁도(haze) 측정기를 이용하여 광학적 특성을 조사하였다. 본 연구를 통해 롤투롤 스퍼터링 방법으로 유무기 복합막으로 구성된 IM-ITO 박막의 전기적 광학적 구조적 특성에 대해 보고하고자 한다.Kim, Byeong-Cheol;Kim, Wang-Ryeol;Kim, Hyeon-Seung;O, Cheol-Uk;Song, Seon-Gu;Guk, Hyeong-Won;Gwon, Min-Cheol 244.2
금속, 플라스틱, 유리 등의 재료 표면에 다양한 색상을 표현하기 위해 일반적으로 습식 도금을 많이 적용하고 있다. 하지만 습식 도금은 공정 수가 많을 뿐만 아니라 위험물질 및 오염물질을 많이 사용하기 때문에 산업사고, 환경오염 등을 야기 시킨다. 따라서 본 연구에서는 친환경적 방법인 물리적기상증착(PVD ; Physical Vapor Deposition) 방식의 한 종류인 스퍼터링(Sputtering)으로 색상을 구현하였다. PVD 방식의 증착은 습식 도금 방식에 비해 친환경적이며, 전처리에서 후처리까지 한 공정으로 가능하다는 점이다. 스퍼터링은 PVD의 다른 방식인 E-beam 방식에 비해 대량생산을 할 수 있다는 장점이 있다. 양산형 스퍼터링 장비(${\Phi}1200mm{\times}H1400mm$ )로 실험을 진행하였으며, 증착 물질은 Ti, Al, Cr 을 사용하였고, 반응성 가스(Reactive Gas) 로는 N2, C2H2 가스를 사용하였다. 전처리는 LIS (Linear Ion Source)로 식각(Etching) 하였고, 펄스직류전원공급장치(Pulsed DC Power Supply)를 사용하여 증착 하였으며, 증착시 기판에 bias (-100 V)를 인가 하였다. 그 결과 회색계열, 갈색계열 등 여러가지 색을 구현할 수 있었으며, 증착된 박막의 특성을 알아보기 위하여 색차계, 내마모 시험기, 연필경도 시험기를 사용하였다. 향후 후처리 공정으로 내지문(AF ; anti fingerprint coating) 박막 등과 같은 실용적인 박막을 증착할 계획이다.Im, Yu-Bong;Kim, Ho-Rak;Park, Ju-Yeong;Kim, Jong-Guk;Lee, Seung-Hun;Seon, Jong-Ho;Lee, Hae-Jun;Choe, Won-Ho 245.1
교차하는 전기장과 자기장으로 플라즈마를 방전하고, 이온 빔을 효과적으로 가속하는 원형 이온 빔 소스를 개발하였다. 방위각 방향으로 비대칭적인 중성 가스와 전자 빔의 공급으로 이온 빔 소스에서 불안정하고 불균일한 플라즈마가 방전되어, 이온 소스의 효율을 저하시킨다. 본 연구에서는 플라즈마 이미지를 이용하여 이온 소스 내부에서의 중성입자 밀도 분포를 측정하는 방법을 개발하였다. 자기장의 방향이 서로 다른 방전조건에서 얻어지는 한 쌍의 플라즈마 이미지로부터 티코노프 정형화 기법을 이용하여 방위각에 대한 중성입자의 밀도 분포를 재구성한다. 본 재구성 기법을 이용하여 얻어진 밀도 분포는 유체흐름 등가회로 모델을 바탕으로 한 수치해석을 이용하여 분석하였다. 중성입자 밀도의 공간분포는 인가 전압, 자기장의 세기 및 유량과 같은 방전조건의 변화에 크게 영향을 받지 않고, 가스 공급부의 내부 구조에 의해 결정되는 것을 확인하였다. 또한, 등가회로 모델을 이용하여 균일한 공간분포를 얻기 위한 공급부 설계를 수행하였다.홀 방식 이온빔 소스는 방전 채널 내부에 중성기체 및 전자를 주입하여 플라즈마를 생성하며, 생성된 이온들은 자기장에 의해 구속된 전자들과 양극이 만드는 전기장에 의해 가속되어 이온 빔을 발생시킨다. 홀 방식 이온빔 소스에는 고리형 소스와 원통형 소스가 있으며, 기하학적 구조 및 자기장 구조가 달라 발생되는 이온전류, 가속효율, 연료효율, 이온화 비율 등 플라즈마 특성이 다르다. 특히, 플라즈마의 이온화 비율은 이온빔 소스의 방전 전류 및 연료효율에 영향을 미치며, 다중전하를 띤 이온의 높은 에너지는 채널벽의 침식 문제를 야기하는 등 이온빔의 전하량 분석 연구는 물리적 연구측면 뿐만 아니라 실용적인 측면에서도 매우 중요하다. 원통형 소스의 경우 연료효율이 100% 이상으로, 이온화 효율이 매우 높아 발생되는 이온의 가속효율도 높게 나타난다. 본 연구에서는, 이를 통해 다중이온을 진단할 수 있는 ExB 탐침을 개발하여, 다중이온의 생성 비율과 연료 효율과의 관계를 살펴보았다. 이온전위지연 탐침과 패러데이 탐침을 이용하여 채널 및 자기장 구조에 따른 전류 분포 및 이온에너지분포를 측정하였으며, 이온 빔의 효율 및 플라즈마 특성을 분석하였다.Kim, HongHee;Son, DongIck;Jin, ChangKyu;Hwang, DoKyung;Yoo, Tae-Hee;Park, CheolMin;Choi, Won Kook 246.1
Over the past several years, colloidal core/shell type quantum dots lighting-emitting diodes (QDLEDs) have been extensively studied and developed for the future of optoelectronic applications. In the work, we fabricate an inverted CdSe/ZnS quantum dot (QD) based light-emitting diodes (QDLED). In order to reduce work function of indium tin oxide (ITO) electrode for inverted structure, a very thin (<10 nm) polyethylenimine ethoxylated (PEIE) is used as surface modifier[1] instead of conventional metal oxide electron injection layer. The PEIE layer substantially reduces the work function of ITO electrodes which is estimated to be 3.08 eV by ultraviolet photoemission spectroscopy (UPS). From transmission electron microscopy (TEM) study, CdSe/ZnS QDs are uniformly distributed and formed by a monolayer on PEIE layer. In this inverted QDLEDs, blend of poly (9,9-di-n-octyl-fluorene-alt-benzothiadiazolo) and poly(N,N'-bis(4-butylphenyl)-N,N'-bis(phenyl)benzidine] are used as hole transporting layer (HTL) to improve hole transporting property. At the operating voltage of 8 V, the QDLED device emitted spectrally orange color lights with high luminance up to 2450 cd/m2, and showed current efficacy of 0.6 cd/A, respectively.Green-light emitting OLED with single layer of Alq3 and orange-light emitting OLED with double layer of rubrene/Alq3 as EML were fabricated and characterized comparatively. The two OLED devices were based on an anode of ITO, HTL of TPD, and cathode of Al, respectively. The green light emitting OLED was then prepared with Alq3 as both ETL and EML, while the orange-light emitting OLED was prepared with rubrene deposited on Alq3. All the component layers of the OLED devices were deposited by a thermal evaporation technique in vacuum. Photoluminescence characteristics of the EML layers were investigated. Electrolumiscence characteristics of the OLED devices were comparatively investigated.The capacitive coupled plasma is used widely in the semiconductor industries. Especially, the uniformity of the industrial plasma is heavily related with defect ratio of devices. Therefore, the industries need the capacitive coupled plasma source which can generate the uniform plasma and control the plasma's uniformity. To achieving the uniformity of the large area plasma, we designed multi-powered electrodes. We controlled the uniformity by controlling the power of each electrode. After this work, we started to research another concept of the plasma device. We make the plasma chamber that has multi-ground electrodes imaginary (CST microwave studio) and simulate the electric field. The shape of the multi-ground electrodes is ring type, and it is same as the shape of the multi-power electrodes that we researched before. The diameter of the side electrode's edge is 300mm. We assumed that the plasma uniformity is related with the impedance of ground electrodes. Therefore we simulated the imaginary chamber in three cases. First, we connected L (inductor) and C (capacitor) at the center of multi-ground electrodes. Second, we changed electric conductivity of multi-ground electrode. Third, we changed the insulator's thickness between the center ground electrode and the side ground electrode. The driving frequency is 2, 13.56 and 100 MHz. We switched our multi-powered electrode system to multi-ground electrode system. After switching, we measured the plasma uniformity after installing a variable vacuum capacitor at the ground line. We investigate the effect of ground electrodes' impedance to plasma uniformity.Han, Guk-Hui;Kim, Yun-Jung;Jin, Se-Hwan;Kim, Hyeon-Cheol;Suanpoot, Pradoong;Jo, Gwang-Seop 247.2
플라즈마 전파 속도와 전자 온도를 조사하기 위해 ICCD카메라(Intensified Charge-Coupled Device Camera, 이하 ICCD)를 이용하여 대기압 저온 플라즈마제트의 방전 이미지를 촬영하였다. 사용된 플라즈마 제트 장치는 유리관 안에 주사기 바늘형 전극이 들어있는 형태이다. 전극의 내경은 1.3 mm, 외경은 1.8 mm, 총 길이는 39.0 mm이며 재질은 스테인레스강이다. 유리관의 내경은 2.0 mm, 외경은 2.4 mm, 총 길이는 80.0 mm이다. 입력 전압은 3.0 kV이며 구동 주파수는 40 kHz이다. 아르곤과 질소의 혼합가스 비율은 각각 100:1, 98:2, 95:5을 사용하였으며 총 가스유량은 400 sccm이다. 각각의 비율별로 군속도는 267 km/s, 305 km/s, 313 km/s이며 이온 음향 속도는 1.16 km/s, 1.24 km/s, 1.25 km/s이고, 전자 온도는 0.55 eV, 0.63 eV, 0.65 eV로 관찰되었다.Yu, Jung-Hoon;Nam, Sang-Hoon;Lee, Jin-Su;Hwang, Ki-Hwan;Seo, Hyeon-Jin;Ju, Dong-Woo;Jeon, So-Hyoun;Yun, Sang-Ho;Boo, Jin-Hyo 248.1
We present results from an experimental study of conductivity change of poly (3,4-ethylenedioxythiophene) poly(styrenesulfonate) (PEDOT:PSS) film according to the surface structuring. We demonstrate that the patterned structure was enhanced with approximately five times conductivity in comparison with non structure of PEDOT:PSS film. In order to patterning, we have fabricated polystyrene (PS) colloidal monolayer as a template with sphere diameter of 780nm and 1.8um. Structure has honeycomb shape and it provide shorter path way to flowing of electron. Pattern size was controlled by PS diameter and varied by Transformer Coupled Plasma (TCP) etching system. Conductivity was converted from sheet resistance which measured by 4-point prove. Film thickness was derived using Field Emission Scanning Electron Microscopy (FE-SEM) images.In this study, the optical properties and structural characteristics of gallium nitride (GaN) thin films prepared by radio frequency (RF) magnetron sputtering were investigated. Auger electron and X-ray photoelectron spectra showed that the deposited films consisted mainly of gallium and nitrogen. The presence of oxygen was also observed. The optical bandgap of the GaN films was measured to be approximately 3.31 eV. The value of the refractive index of the GaN films was found to be 2.36 at a wavelength of 633 nm. X-ray diffraction data revealed that the crystalline phase of the deposited GaN films changed from wurtzite to zinc-blende phase upon decreasing the sputtering gas pressure. Along with the phase change, a strong dependence of the microstructure of the GaN films on the sputtering gas pressure was also observed. The microstructure of the GaN films changed from a voided columnar structure having a rough surface to an extremely condensed structure with a very smooth surface morphology as the sputtering gas pressure was reduced. The relationship between the phase and microstructure changes in the GaN films will be discussed.A multicusp ion source has been used widely in negative hydrogen cyclotrons mainly for radioisotope productions. The ion source is designed to have cusp geometries of magnetic field inside plasma chamber, where ions are confining and their mean lifetimes increase. The magnetic confinement produced a number of permanent magnetic poles helps to increase beam currents and reduce the emittance. Therefore optimizing the number of magnets confining more ions and increasing their mean lifetime in plasma has to be investigated in order to improve the performance of the ion source. In this work a numerical simulation of the magnetic flux density from a number of permanent magnets is carried to optimize the cusp geometries producing the highest plasma density, which is clearly indicated along the full-line cusp geometry. The effect of magnetic fields and a number of poles on the plasma structure are investigated by a computing tool. The electron confinement effect becomes stronger and the density increases with increasing the number of poles. On the contrary, the escape of electrons from the loss cone becomes more frequent as the pole number increases [1]. To understand above observation the electron and ion's trajectories along with different cusp geometries are simulated. The simulation has been shown that the optimized numbers of magnets can improve the ion density and uniformity.Sim, Geon Bo;Kim, Yong Hee;Kwon, Jae Sung;Park, Daehoon;Hong, Seok Jun;Kim, Young Seok;Lee, Jae Lyun;Lee, Gwang Jin;Lim, Hwan Uk;Kim, Kyung Nam;Jung, Gye Dong;Choi, Eun Ha 250.2
Currently, teeth whitening method which is applicable to dental surgery is that physician expertises give medical treatment to teeth directly dealed with a high concentration of hydrogen peroxide and carbamide peroxide. If hydrogen peroxide concentration is too high for treatment of maximized teeth whitening effect [1], it is harmful to the human body [2]. To the maximum effective and no harmful teeth whitening effect in a short period of time at home, we have observed the whitening effect using carbamide peroxide (15%) and a low-temperature atmospheric pressure plasma jet which is regulated by the Food and Drug Administration. The gas supplied conditions of the non-thermal atmospheric pressure plasma jet was with the humidified (0.6%) gas in nitrogen or air at gas flow rate of 1000 sccm. Also, the measurement of chemical species from the jet was carried out using the optical emission spectroscopy (OES), the evidence of increased reactive oxygen species compared to non-humidified plasma jet. We have found that the whitening effect of the plasma is very excellent through this experiment, when bovine teeth are treated in carbamide peroxide (15%) and water vapor (0.2 to 1%). The brightness of whitening teeth was increased up to 2 times longer in the CIE chromaticity coordinates. The colorimetric spectrometer (CM-3500d) can measure color degree of whitening effect.Oraganic Light Emitting Diodes (OLED) 소자의 광추출 효율을 향상시키기 위한 방안으로 나노급 사이즈의 고 굴절률 패턴을 기판의 내부 패턴에 적용하였다. 100 nm 및 300 nm의 직경을 갖는 Si3N4 나노 패턴을 나노 임프린트 리소그래피와 건식 식각 공정을 통하여 OLED의 유리기판에 형성을 하였다. 그리고 Silicon On Glass (SOG) 물질을 패턴이 전사된 기판에 스핀 코팅으로 평탄화 공정을 진행 함으로써 OLED소자의 전기적인 특성이 떨어지는 문제점을 개선하였다. 그러고 나서 Si3N4 나노 패턴이 형성되고 평탄화 공정을 마친 기판상 OLED 소자를 제작하였다. OLED의 발광층에서 발생한 빛은 Si3N4 나노패턴에 의해 산란되어 광 추출 효율을 개선할 수 있다. 본 연구에서 두 가지 종류 100nm, 300nm 높이의 Si3N4 나노패턴으로 높이에 따른 광 추출 효율을 비교하고자 OLED 소자를 제작하였다. 기판에 Si3N4 패턴이 형성된 OLED의 효율은 Si3N4 300nm에서 13.1% 증가하였다.현재 의료 및 표면처리 분야에 많이 이용되고 있는 상온 대기압 플라즈마 중에서 유전체 격벽 방전(DBD) 장치는 비교적 간단한 구조를 가지며 sub-millimeters 사이즈에서도 매우 높은 플라즈마 밀도의 발생 및 유지가 가능하다. 그러나, 현재로선 이러한 Micro DBD의 특성을 실험적으로 분석하는 것은 장비의 한계가 있으므로, Particle-In-Cell 시뮬레이션을 이용하여 중요 플라즈마 변수들을 관찰하였다. 여기서 사용된 중요변수로는 13.56 MHz~600 MHz사이의 인가 주파수를 두었으며, 유전체 표면에서 양이온에 대한 이차전자 방출계수를 고려하였다, 또한 중성기체는 헬륨가스와 아르곤가스의 2가지 중성기체인 경우를 살펴보았다. 이러한 시뮬레이션을 통해 인가전압의 주기 대비 Ion transit time의 비율이 달라짐에 따라 플라즈마 쉬스의 특성변화와 함께 전자 에너지 확률함수(EEPF)의 특성이 달라진다는 것을 확인하고, 이러한 전자 가열 양상의 변화 원리에 대해서 분석하였다. 또한 주파수 비율 조정을 통한 전자온도, 파워, 효율 등을 조절하는 방법의 공학적 가치에 대해 의견을 제시하였다.Plasma technology has been widely used for decontamination, differentiation, and disease treatment. Recently, studies show that plasma has effects on increasing seed germination and plant growth. In spite of increasing number of studies about plasma effects, the interaction between plasma and plants has been rarely informed. In this study, we have analyzed the effects of nonthermal atmospheric pressure plasma on seed germination and growth of coriander (Coriandum sativum), a medicinal plant. We used to Ar, air, and N2 plasma on seed as feeding gases. Plasma was discharged at 0.62 kV, 200 mA, 9.2 W. Seed germination was increased over time when treated with N2 based DBD plasma for exposure times of 30 seconds and 1 minute, everyday. After 7 days, about 80~100% of seeds were germinated in the treatment with N2 based DBD plasma, compared to control (about 40%, only gas treated seeds). In order to elucidate the mechanism of increased germination, we have analyzed characteristics of changes in plant hormones and seed surface structure by SEM.Lee, Byeong-Jun;Ju, Yeong-Do;Kim, Seung-Hwan;Ha, Tae-Gyun;Gong, Hyeong-Seop;Park, Yong-Jeong;Park, Jong-Do;Nam, Sang-Hun 253.2
Micro hollow cathode discharges (MHCDs) are high-pressure, non-equilibrium discharges. Those MHCDs are useful to produce an excimer radiation. A major advantage of excimer sources is their high internal efficiency which may reach values up to 40% when operated under optimum conditions. To produce strong excimer radiation, the optimisation of the discharge conditions however needs a detailed knowledge of the properties of the discharge plasma itself. The electron density and temperature influence the excitation as well as plasma chemistry reactions and the gas temperature plays a major role as a significant energy loss process limiting efficiency of excimer radiation. Most of the recent spectroscopic investigations are focusing on the ultraviolet or vacuum ultraviolet range for direct detection of the excimer. In our experiments we have concentrated on investigating the micro hollow cathodes from the near UV to the near infrared (300~850 nm) to measure the basic plasma parameters using standard plasma diagnostic techniques such as stark broadening for electron density and the relative line intensity method for electron temperature. Finally, the neutral gas temperature was measured by means of the vibrational rotational structures of the second positive system of nitrogen.Atmospheric plasma's electron temperature is less than thermal plasma, so it is useful at bio experiment. We have investigated the optical emission spectroscopy (OES) lines by spectrometer during Atmospheric plasma bombardment onto the PBS surface by using an Ar gas flow. Also we have measured the OH radical density inside the solution induced by the Atmospheric plasma bombardment. OH radical species are appeared at 308 nm and 309 nm. Densities of OH radical species has been found to be significantly decreased versus depth of the solution from 2 mm to 6 mm. OH radical density inside the PBS is measured to be about$1.87{\times}1016cm-3$ downstream at 2 mm from the surface under optimized Ar gas flow of 200 sccm in Atmospheric plasma. Also we have investigated cell viability of lung cancer and normal cell after Atmospheric plasma treatment for fixed exposure time in 60 seconds, but different depths. We used SEM, we observed change of cell morphorogy, did experiment about FDA & PI Staining method. It is found that there is selectivity between the lung cancer and lung normal cell, in which cancer cell definitely has higher cell death ratio more than normal cell. We have investigated change of bond structure in FT-IR spectroscopy, the following peaks were observed: and intense O-H peak at 3422 cm-1 and at 2925 cm-1 corresponds to C-H stretch vibrations of methylene group.The Transmission Fourier Transform Infrared spectroscopy (FTIR) of SiOx charge storage layer with the richest silicon content showed an assignment at peaks around 2000~2300 cm-1. It indicated that the existence of many silicon phases and defect sources in the matrix of the SiOx films. The total hysteresis width is the sum of the flat band voltage shift (${\Delta}VFB$ ) due to electron and hole charging. At the range voltage sweep of${\pm}15V$ , the${\Delta}VFB$ values increase of 0.57 V, 1.71 V, and 13.56 V with 1/2, 2/1, and 6/1 samples, respectively. When we increase the gas ratio of SiH4/N2O, a lot of defects appeared in charge storage layer, more electrons and holes are charged and the memory window also increases. The best retention are obtained at sample with the ratio SiH4/N2O=6/1 with 82.31% (3.49V) after 103s and 70.75% after 10 years. The high charge storage in 6/1 device could arise from the large amount of silicon phases and defect sources in the storage material with SiOx material. Therefore, in the programming/erasing (P/E) process, the Si-rich SiOx charge-trapping layer with SiH4/N2O gas flow ratio=6/1 easily grasps electrons and holds them, and hence, increases the P/E speed and the memory window. This is very useful for a trapping layer, especially in the low-voltage operation of non-volatile memory devices.태양전지 도핑공정은 대부분 퍼니스(furnace)도핑으로 제작된다. 퍼니스 도핑 공정은 고가의 장비와 유지 비용이 요구되며 국부적인 부분의 도핑은 제한적이다. 또한 도핑 시 온도와 공정 시간이 태양전지의 전기적 특성을 결정짓는 중요한 변수 이다. 그리하여 최근 많은 연구가 진행되는 대기압 플라즈마를 이용하여 도핑공정에 응용하고자 한다. 본 연구에서 대기압 방전 시 전원은 DC-AC 인버터를 사용하였다. 인버터의 최대 출력 전압은 최대 5kv, 주파수는 수십 KHz 이다. Ar 가스는 MFC(Mass Flow Controller)를 사용하여 조절하였다. 대기압 플라즈마를 이용한 태양전지 도핑 시 소스와 ground 거리에 따른 대기압 플라즈마의 방전을 열화상카메라(thermo-graphic camera, IR)로 온도의 변화 측정 및 광학적 발광분광법(Optical Emission Spectroscopy, OES)을 통해 불순물(질소, 산소)을 측정 하였다. 웨이퍼 도핑 후 생성된 웨이퍼를 측정 및 분석을 하였다.Electrode erosion is indispensable for atmospheric plasma systems, as well as for switching devices, due to the high heat flux transferred from arc plasmas to contacts, but experimental and theoretical works have not identified the characteristic phenomena because of the complex physical processes. Our investigation is concerned with argon free-burning arcs with anode erosion at atmospheric pressure by computational fluid dynamics (CFD) analysis. We are also interested in the energy flux and temperature transferring to the anode with a simplified unified model of arcs and their electrodes. In order to determine two thermodynamic quantities such as temperature and pressure and flow characteristics we have modified Navier-Stokes equations to take into account radiation transport, electrical power input and the electromagnetic driving forces with the relevant Maxwell equations. From the simplified self-consistent solution the energy flux to the anode can be derived.21세기 정보화 시대의 도래와 함께 반도체 및 디스플레이 분야는 고부가가치산업으로 급격히 성장하였고, 현재까지도 미래의 지속적인 시장 창출을 위하여 기술개발과 투자로 초미세화, 고효율, 대면적화에 대한 원천기술 확보가 중요시되고 있다. 반도체 및 디스플레이의 대면적화가 진행됨에 따라 플라즈마 공정장비의 대면적화도 활발히 기술개발이 진행되고 있으며, 대면적화에 있어 플라즈마의 공간균일도는 생산수율 및 공정균일화를 위해 기본적으로 평가되어야 하는 중요한 지표가 되었다. 하지만 종래의 진단법들은 대면적 플라즈마 진단에 매우 제한적이기 때문에 본 연구에서는 대면적 플라즈마의 공간균일도 평가를 위해 플라즈마의 방출광 측정을 기초로 하는 진단계를 개발하였다. 플라즈마 방출광을 이용한 진단은 플라즈마에 섭동을 주지 않고 전자온도의 변화 및 공간균일도를 평가할 수 있다. 이 진단법은 두 마주보는 한쪽 면이 평평한 볼록렌즈(plano-convex lens)로 이루어진 수광시스템과 역변환 알고리즘을 통해 선 적분된 방출광으로부터 플라즈마 방출광의 국지적 정보를 측정하는 것이다. 플라즈마와 같이 크기가 큰 광원의 경우 렌즈 광학계에서 필연적으로 수반되는 선적분된(chord-integrated) 방출광을 제거하기 위해 구조에 따른 시스템 함수를 이용한 푸리에 변환 알고리즘을 개발하였고, 이를 통해 렌즈 초점거리의 정확한 방출광 세기만 재구성하였다. 이러한 재구성 방법을 이용하여 렌즈의 거리를 움직이며 대면적 플라즈마의 방출광 분포측정을 수행하였고, 이에 대한 결과를 발표하고자 한다.최근 고출력 펄스 스퍼터링, HPPMS (high power pulsed magnetron sputtering)을 개선한 기술이 개발되고 있다. High power impulse magnetron sputtering (HIPIMS)이라고도 불려지는 이 기술은 Kouznetsov1) 에 의해 개발되었으며, 짧은 주기 동안 높은 peak power 밀도를 얻을 수 있기 때문에, 스퍼터링시 높은 이온화율을 만들 수 있다. 스퍼터 된 종들의 높은 이온화는 다양한 분야에서 기존 코팅 물질의 특성 개선 및 self-assisted 이온 증착 공정을 통해 우수한 박막을 제조하는데 기여되고 있다. 그러나 HIPIMS는 순간 전력 밀도가 MW수준으로 높아서 고융점, 고열전도도의 물질에만 적용할 수 있다는 단점을 가지고 있다). 최근에 HIPIMS를 대체하기 위해 modulated pulse POWER (MPP)가 개발되었다. 이것은 스퍼터 된 종들의 이온화율을 높일 수 있음과 동시에 여러 가지 물질에 적용할 수 있다고 보고하고 있다. MPP와 HIPIMS와의 차이점은 HIPIMS는 간단한 하나의 초고출력 펄스를 이용하는 반면에, MPP는 펄스 길이 3 ms 안에서 다양하게 조절이 가능하며, 한 전체 펄스 주기 안에서 다중 세트 펄스와 micro 펄스를 자유롭게 조합하여 인가할 수 있다는 장점이 있다. 본 실험에서는 In2O3 : SnO2의 조성비 10:1 wt% target을 사용하였으며, Ar:O2의 유량비는 10:1의 비율로, 기판의 온도를 올려 주지 않는 상태에서 실험을 하였다. Ar 유량을 40 sccm으로 고정시킨 후 O2의 유량을 2~6 sccm에 대하여 비교를 하였다. 박막의 두께를 100 nm로 이하로 하였을 때 비저항은$7.6{\times}10-4{\Omega}cm$ 의 값을, 80% 이상의 투과도와 10 cm2/Vs 이상의 mobility를 얻을 수 있었다. 또한 박막 두께 150 nm로 고정, substrate moving에 따른 ITO 박막의 차이를 알아보았다. 비저항의 값은$5.6{\times}10-4{\Omega}cm$ 의 값을, 80% 이상의 투과도와 15 cm2/Vs의 값을 얻었다.We investigated characteristics of ITO/Ag-Pd-Cu (APC)/ITO multilayer electrodes prepared by direct current magnetron sputtering for use as an anode in organic solar cells (OSCs). To optimize electrical properties of ITO/APC/ITO multilayer, we fabricated the ITO/APC/ITO multilayer at a fixed ITO thickness of 30 nm as a function of APC thickness. Compare to the surface of Ag layer on ITO, the APC had a smooth surface morphology. At optimized APC thickness of 12 nm, the ITO/APC/ITO multilayer exhibited a sheet resistance of$6{\Omega}/square$ and optical transmittance of 84.15% at a wavelength of 550 nm which is comparable to conventional ITO/Ag/ITO multilayer. However, the APC-based ITO multilayer showed a higher average transmittance in a visible region than the Ag-based ITO multilayer. The higher average transmittance of ITO/APC/ITO multilayer indicated the multilayer is suitable anode for organic solar cells with P3HT:PCBM active layer. OSCs fabricated on the optimized ITO/ACP/ITO multilayer exhibited a better performance with a fill factor of 64.815%, a short circuit current of$8.107mA/cm^2$ , an open circuit voltage of 0.59 V, and power conversion efficiency (3.101%) than OSC with ITO/Ag/ITO multilayer (2.8%).디스플레이 공정용 ICP (Inductively Coupled Plasma) 장비의 공정영역별 전자기적 특성을 파악하기위해 9개의 안테나가 적용된 3차원 구조에서 Ar 플라스마를 사용하여 시뮬레이션 하였다. 안테나에 인가된 전류, 공정압력, power등 공정 조건별로 안테나로부터 유도된 전기장과 자기장을 구하고, 이들로부터 poynting's theorem을 적용하여 플라스마의 resistance와 reactance를 계산하였다. 이로부터 공정조건별 플라스마의 전기적 특성을 파악 할 수 있었다.Lim, Seung-Ju;Min, Boo-Ki;Taylor, Nathan;Kim, Tae-Gyu;Kim, Hyeong-Seok;Yang, Seon-Pil;Jung, Jin-Yong;Han, Jin-Hyun;Lee, Jong-Yong;Kang, Seung-Oun;Choi, Eun Ha 259.1
Recently non-thermal plasma has been frequently applied to various research fields. The liquid plasma have received much attention lately because of interests in surgical and nanomaterial synthesis applications. Especially, intensive researches have been carried out for non-thermal plasma in liquid by using various electrode configurations and power supplies. We have developed a bioplasma source which could be used in a liquid, in which outer insulator has been covered onto the outer electrode. Also we have also put an insulator between the inner and outer electrode. Based on the surface discharge mode, the nonthermal bioplasma has been generated inside a liquid by using an alternating current voltage generator with peak voltage of 12 kV under driving frequency of 22 KHz. Here the discharge voltage and current have been measured for electrical characteristics. Especially, We have measured discharge and optical characteristics under various liquids of deionized (DI) water, tap water, and saline by using monochromator. We have also observed nitric oxide (NO), hydrogen peroxide (H2O2), and hydroxyl (OH) radical species by optical emission spectroscopy during the operation of bioplasma discharge inside various kinds of DI water, tap water, and saline. Here the temperature has been kept to be$40^{\circ}C$ or less when discharge in liquid has been operated in this experiment. Also we have measured plasma temperature by high speed camera image and density by using either H-alpha or H-beta Stark broadening method.Multi-crystal Silicon wafer를 대기압에서 리모트타입의 RF-DBD를 이용하여 에칭을 하였다. DBD소스의 전극으로 알루미늄을 사용하였고 유전체로는 알루미나를 사용하였다(전극 갭을 기록). 전원공급은 13.56 MHz RF 전원장치를 이용하였으며 아르곤과 SF6 유량을 변수로 하여 실험하였다. Ar 유량은 2~10 slm, SF6는 0.2~1 slm으로 변화를 주어 최적화 조건을 찾았다. 결론적으로 SF6의 유량이 증가할수록 Si 에칭율이 증가하였다. 그러나 SF6의 유량이 2 lm일 때 에칭율이 감소하였다. 그리고 scan time이 45초일 때$2.3{\mu}m/min$ 로 최대 에칭율을 얻었다.지구상에 존재하는 모든 생물에 의해 배출되는 이산화탄소는 온실가스로써 산업혁명 이후 급격한 농도 증가로 인해 지구 온난화 등의 다양한 환경문제를 초래하고 있다. 지구 온난화의 가시화로 인한 각종 기후 협약 및 탄소배출권 등에 규제로 온실가스 감축의무부과가 확실해져 탈 석유기반 사회로 전환을 위한 이산화탄소를 처리하는 다양한 연구가 각국에서 활발히 진행 중이다. 본 연구에서 마이크로웨이브 플라즈마 토치를 이산화탄소 분해에 이용하게 되었고 그 목적은 이산화탄소가스를 마이크로웨이브로 가열하여 순수한 이산화탄소 플라즈마 토치를 발생함으로서 지구 온난화의 주범인 이산화탄소를 생산적으로 이용하기 위한 것으로 전자파를 발진하는 마그네트론으로는 3kW, 2.45GHz의 주파수를 사용한다. 마이크로웨이브 플라즈마 토치를 이용한 이산화탄소의 분해 시 생성되는 물질을 확인하기 위하여 이산화탄소의 열역학적 평형을 계산하였으며 또한 이산화탄소의 분해 반응의 준 평형상태에서의 속도상수를 이용하여 각 분해반응생성물들의 밀도비율을 계산하였고, 이를 일반화시켜 도시하였다. 위 과정을 통해 고온의 이산화탄소 토치는 탄화수소 연료를 1기압에서 개질할 수 있음을 알 수 있다. 예를 들어 메탄개질은$CO_2+CH_4{\rightarrow}2CO+2H_2$ 의 반응식이 된다. 이때 엔탈피와 엔트로피 변화는 각 각${\Delta}H=247kJ/mole$ 과${\Delta}S=257J/mole/deg.$ 이며 이 반응에 대한 gibbs 자유에너지는$G={\Delta}H-T{\Delta}S$ 로서 개질 자발반응이 일어나는 온도는$T={\Delta}H/{\Delta}S=961K$ 가 된다. 그리고 탄화수소 개질에 참여하는 산소와 CO 라디칼의 밀도가 대단히 높다. 따라서 메탄개질은 이산화탄소 토치를 통하여 1기압에서 쉽게 이루어진다.저온에서 작동하는 대기압 플라즈마 젯은 생체 조직에의 플라즈마 처리를 가능하게 한다. 이에 이온과 전자, 활성 종, 전기장, UV 등을 발생시키는 플라즈마를 암세포에 처리하여 그에 따른 변화를 관찰하였다. 모세관 타입의 젯에 산소를 반응기체로 흘려주어 헬륨 내 산소 함유량에 따른 활성 산소종의 생성을 확인하였다. 대기압 플라즈마에 의해 생성되는 활성 산소 종(OH, O, electronically excited O (1D), O2 ($1{\Delta}g$ ) 등)이 세포에 산화 스트레스를 유발할 것이라 예상되어 인체의 폐암 세포[Human lung cancer cell, A549]에 펄스파의 헬륨-산소 플라즈마를 처리한 후, 세포 내 활성 산소 종의 증가량을 비교하였다. 그 결과 적은 양의 산소를 추가하였을 때 세포 내 활성 산소 종의 농도가 증가되었다. 이때 플라즈마에서 발생되는 활성 산소 종(Reactive Oxygen Species, ROS)들은 광 방출 스펙트럼(Optical Emission Spectroscopy)로 확인하였고, 세포내 활성 산소 종은 DCF-DA 염색을 통하여 분석하였다. 이러한 헬륨-산소 플라즈마가 세포 성장의 어떠한 시기에 영향을 미치는지를 알아보기 위하여 세포주기 변화를 분석한 결과, 플라즈마 처리 9시간 후부터 G2/M 주기에 머물러 있음을 확인하였다.Lee, Jin Young;Baik, Ku Youn;Kim, Tae Soo;Jin, Gi-Hyeon;Kim, Hyeong Sun;Bae, Jae Hyeok;Lee, Jin Won;Hwang, Seung Hyun;Uhm, Han Sup;Choi, Eun Ha 262.1
Lipid peroxidation induces functional deterioration of cell membrane and induces cell death in extreme cases. These phenomena are known to be related generally to the change of physical properties of lipid membrane such as decreased lipid order or increased water penetration. Even though the electric property of lipid membrane is important, there has been no report about the change of electric properties after lipid peroxidation. Herein, we demonstrate the molecular energy band change in red blood cell membrane through peroxidation by air-based atmospheric pressure DBD plasma treatment. Ion-induced secondary electron emission coefficient (${\gamma}$ value) was measured by using home-made gamma-focused ion beam (${\gamma}$ -FIB) system and electron energy band was calculated based on the quantum mechanical Auger neutralization theory. The oxidized lipids showed higher gamma values and lower electron work functions, which implies the change of surface charging or electrical conductance. This result suggests that modified electrical properties should play a role in cell signaling under oxidative stress.Plasma processing is an essential process for pattern etching and thin film deposition in nanoscale semiconductor device fabrication. It is necessary to maintain plasma chamber in steady-state in production. In this study, we determined plasma chamber state with residual gas analysis with self-plasma optical emission spectroscopy. Residual gas monitoring of fluorocarbon plasma etching chamber was performed with self-plasma optical emission spectroscopy (SPOES) and various chemical elements was identified with a SPOES system which is composed of small inductive coupled plasma chamber for glow discharge and optical emission spectroscopy monitoring system for measuring optical emission. This work demonstrates that chamber state can be monitored with SPOES and this technique can potentially help maintenance in production lines.현재 대기압 플라즈마는 신재생 에너지, 반도체, 표면처리, 바이오산업 등에서 다양하게 활용되고 있으며, 그에 대한 연구들이 진행되고 있다. 바이오산업에서의 플라즈마는 살균, 제독, 세포재생 등으로 연구되고 있으며, 이런 대기압 플라즈마의 응용은 꾸준히 증가하는 추세이다. 선행연구에 따라 멀티 플라즈마 젯 소스의 필요성이 제기되었으며, 플라즈마의 균일한 방전조건이 화두되어 왔다. 먼저 각 소스별 방전개시전압과 가스 유량에 따른 플라즈마의 전류와 전압 변화를 알아보았고, 이에 대한 문제점들을 보안하기 위해 앞서 연구한 멀티소스를 개선하여 플라즈마 방전 특성 연구를 진행하였다. 본 연구에서는 기체유입방식이 다른 두 종류의 멀티 플라즈마 젯 소스를 이용하여 각 소스 채널별 유량변화에 따른 방전개시전압과 전류, OES (Optical Emission Spectroscopy)로 각 소스의 플라즈마 방전 특성을 측정하여 각 소스의 채널별 방전 균일도를 비교 분석하였다.No, Jun-Hyeong;Kim, Yun-Jung;Jo, Tae-Hun;Jin, Se-Hwan;Park, Gyeong-Sun;Jo, Gwang-Seop;Gwon, Gi-Cheong 263.2
최근 바이오 산업에서 대기압 플라즈마를 생체에 적용하는 실험이 늘고 있다. 그 중 아르곤 플라즈마는 혈액 응고를 촉진시켜, 의학적 활용에 대한 연구가 많이 진행되고 있다. 본 실험은 혈액이 응고되는 동안의 변화를 정량적 측정하고자 전기적 특성 변화를 측정하였다. 정량적 측정 방법으로 Capacitance와 Impedance 등 전기적 특성을 측정하였으며, 플라즈마 처리 전후에 따른 전기적 특성의 비교를 통해 혈액 응고 정도를 분석하였다. 또한 대기압 플라즈마 처리 중 나타나는 변화를 측정하기 위해 실시간 측정이 가능한 전극을 개발을 진행하였으며, 이때 플라즈마에 의해 측정장비가 영향을 받아 전기적 특성이 왜곡되는 현상이 나타나는 것을 해결하고자 전극의 구조 및 측정방식의 개선 실험을 진행하였다.현재 디스플레이에서 가장 널리 이용되는 ELA poly-Si TFT의 표면 거칠기 등으로 인한 대면적 문제를 해결하고자 연구 중인 MIC 방식의 ULG poly-Si TFT를 이용한 게이트-바이어스 스트레스에 따른 전기적 특성을 비교하고자 한다. Positive gate bias의 경우 20V의 게이트 전압과 -0.1V의 드레인 전압에서 10,000초 동안 비교 측정하였으며, 이때${\Delta}VTH$ 는 ELA poly-Si TFT가 143.6 mV, ULG poly-Si TFT가 28.8 mV였다. 또한 negative gate bias의 경우 -20 V의 게이트 전압과 -0.1 V의 드레인 전압에서 10,000초 동안 비교 측정하였으며, 이때${\Delta}VTH$ 는 ELA poly-Si TFT가 154.4 mV, ULG poly-Si TFT가 70.8 mV였다. 이는 게이트 절연막과 채널층 사이의 계면에서 높은 표면 거칠기로 인한 전계의 차이에 의해 더 많은 전하의 트랩에 기인한 것이다.비휘발성 메모리는 전원이 공급되지 않아도 저장된 정보를 계속 유지하는 메모리로써 현재 다양한 차세대 전자소자의 집적화 구현을 위해 저전압 동작 및 저장능력의 향상 등에 대한 연구가 활발히 진행되고 있다. 이때 삽입되는 전하저장층의 경우 기존 널리 이용되는 질화막(SiNx) 외에 최근에는 산화 알루미늄(Al2O3) 등의 고유전상수 물질 뿐만 아니라, 밴드갭 조절을 통해 전하저장능력을 향상시키는 산화막(SiOx)에 대한 연구도 진행 중이다. 이번 연구에서는 전하저장능력을 향상시키기 위해 전하저장층으로 산화막을 이용할 뿐만 아니라, 기존의 평편한 구조가 아닌 표면 조절을 통해 전하저장능력을 보다 향상시키고자 한다. 또한 이번 연구에서는 비휘발성 메모리 소자의 응용을 위해 우선적으로 금속-절연체-반도체 형태의 MOOxOyS 구조를 이용하였다. 이 때 실리콘 표면적을 변화시키기 위해 이용된 실리콘 웨이퍼는 1) 평편한 실리콘, 2) 수산화암모늄, 이소프로필 알코올 및 탈이온수를 혼합한 용액에 식각시킨 삼각형 구조, 3) 불산, 질산 및 아세트산을 혼합한 용액에 식각시킨 라운드 구조이다. 정전용량-전압 측정을 통해 얻어진 메모리 윈도우는 1) 평편한 실리콘의 경우 약 5.1 V, 2) 삼각형 구조의 경우 약 5.3 V, 3) 라운드 구조의 경우 약 5.9 V를 얻었다. 이 때, 라운드 구조의 경우 가장 넓은 표면적으로 인해 상대적으로 전하트랩이 가장 많이 되어 메모리 윈도우가 가장 커지는 특성을 볼 수 있었다.반도체 및 디스플레이 등의 산업 중 증착공정에서 TCO 등 산화막의(oxide thin film) 중요성은 날로 대두되고 있다. 특히 정밀한 막질을 원하는 공정에서 산소(Oxygen)유량의 차이로 인한 생성된 막질의 변화가 크다. 이로 인하여 여러 연구실에서 다양한 연구가 활발하게 진행중에 있다. 특히 최근 IGZO (In-Ga-ZnO)가 이슈가 되면서 더 다양하게 연구가 진행중이다. 그러나 공정 장비의 노화나 증착공정중장비(Chamber)내부의 미세한 변화가 많아 산소와 공정 기체의 비가 틀어지는 경우가 있고 이를 제어하기는 쉽지 않은 실정이다. 본 연구에서는 먼저 ITO (Indium Tin Oxide)타겟을 통해 스퍼터장비에서 일반적인 공정을 진행한 박막과, 제작된 유량 제어 시스템을 통하여 공정을 진행한 박막을 만들었다. 이를 통해 박막의 차이점을 분석하고 증착공정중 발생하는 플라즈마의 분석도 진행하여 공정의 제어가 가능함을 확인하였다.박막형 전기변색 소자의 특성파악을 위하여, 투명전극층으로 ITO를 이용하였으며, 가장 우수한 전기변색 특성을 나타내는 것으로 알려져 있는$WO_3$ 를 DC magnetron sputtering방식을 이용하여 증착하였으며, 무기전해질층은 E-beam evaporation 방식으로 증착하고, 이온저장층을 제외한 박막형 전기변색 소자 구조를 제작하여 전기변색층의 증착조건에 따른 전기변색 소자 특성 연구를 수행하였다. 증착온도와 혼합gas의 분합비 등의 조건의 변화를 통한 박막 특성을 확인하였으며, XRD, SEM, TEM, Transmission Measurement 등을 이용하여 박막 분석을 하였다. ITO층의 저항에 따른 변색효율을 확인하였으며,$WO_3$ 층의 산소분합비에 따른 투과율변화를 분석하였다. 이온저장층을 제거한 상태에서의 박막형 전기변색 소자의 투과율변화가 가시광선 영역에서 45%의 변색효과를 확인하였다.Graphene, a two dimensional plane structure of$sp^2$ bonding, has been promised for a new material in many scientific fields such as physics, chemistry, and so on due to the unique properties. Chemical vapor deposition (CVD) method using transitional metals as a catalyst can synthesize large scale graphene with high quality and transfer on other substrates. However, it is difficult to control the number of graphene layers. Therefore, it is important to manipulate the number of graphene layers. In this work, homogeneous solid solution of Cu and Ni was used to control the number of graphene layers. Each films with different thickness ratio of Cu and Ni were deposited on$SiO_2/Si$ substrate. After annealing, it was confirmed that the thickness ratio accords with the composition ratio by X-ray diffraction (XRD). The synthesized graphene from CVD was analyzed via raman spectroscopy, UV-vis spectroscopy, and 4-point probe to evaluate the properties. Therefore, the number of graphene layers at the same growth condition was controlled, and the correlation between mole fraction of Ni and the number of graphene layers was investigated.Yun, Yeong-Jun;Jo, Seong-Hwan;Kim, Chang-Yeol;Nam, Sang-Hun;Lee, Hak-Min;O, Jong-Seok;Kim, Yong-Hwan 273.2
Sputtering process has been widely used in Si-based semiconductor industry and it is also an ideal method to deposit transparent oxide materials for thin-film transistors (TFTs). The oxide films grown at low temperature by conventional RF sputtering process are typically amorphous state with low density including a large number of defects such as dangling bonds and oxygen vacancies. Those play a crucial role in the electron conduction in transparent electrode, while those are the origin of instability of semiconducting channel in oxide TFTs due to electron trapping. Therefore, post treatments such as high temperature annealing process have been commonly progressed to obtain high reliability and good stability. In this work, the scheme of electron-assisted RF sputtering process for high quality transparent oxide films was suggested. Through the additional electron supply into the plasma during sputtering process, the working pressure could be kept below$5{\times}10-4Torr$ . Therefore, both the mean free path and the mobility of sputtered atoms were increased and the well ordered and the highly dense microstructure could be obtained compared to those of conventional sputtering condition. In this work, the physical properties of transparent oxide films such as conducting indium tin oxide and semiconducting indium gallium zinc oxide films grown by electron-assisted sputtering process will be discussed in detail. Those films showed the high conductivity and the high mobility without additional post annealing process. In addition, oxide TFT characteristics based on IGZO channel and ITO electrode will be shown.The effects of the interfacial buffer layer and temperature on the organic bulk heterojunction (BHJ) nanostructures of copper phthalocyanine (CuPc) and fullerene (C60) systems were investigated using real time in-situ x-ray scattering. In the CuPc:C60 BHJ structures, standing-on configured${\gamma}$ -CuPc phase was formed by co-deposition of CuPc and C60. Once formed${\gamma}$ -phase was thermally stable during the annealing upon$180^{\circ}C$ . Meanwhile, the insertion of CuI buffer layer prior to deposition of the CuPc:C60 BHJ layer induced lying-down configured CuPc crystals in the BHJ layer. The lying CuPc peak intensity and the lattice parameter were increased by the thermal annealing. This increment of the intensity seemed to be related to the strain at the interface between CuPc:C60 and CuI, which was proportional to the enhancement of the power conversion efficiency of the device.현재 자동차 분야에서 차량 경량화의 한 수단으로 자동차용 유리를 고강도 투명 플라스틱 소재인 Polycarbonate(PC)로 대체하고자 하는 연구가 이루어지고 있다. 하지만, PC의 낮은 내마모 특성과 자외선에 의한 열화 및 변색 현상은 해결하여야 할 문제점으로 지적되고 있으며, 에너지 소비 저감을 위하여 적외선 영역 반사율(reflectance)이 높은 저방사(low emissivity) 특성이 요구되고 있다. 본 연구에서는, ICP-assisted reactive magnetron sputtering 장비를 이용하여 투과율(transmittance)이 확보되고, 고경도 특성을 갖는 Al-Si-N와 300 nm 파장 이하의 자외선 차단 특성이 있는 SiN:H 그리고 저방사 특성을 위해 Al을 증착하였고, 박막의 증착 순서는 SiN:H 박막을 가장 아래에 증착하고 그 위에 Al/Al-Si-N 박막을 다층으로 형성하였다. 박막의 chemical state와 crystallinity를 확인하기 위하여 XPS(X-ray Photoelectron Spectroscopy), XRD (X-ray Diffraction)를 이용하여 분석하였다. Knoop${\mu}$ -hardness tester와 Taber tester를 이용하여 경도 및 내마모 특성을 분석하였다. 제작된 샘플의 Al-Si-N 박막 경도는 Si 비율에 따라 다른 경도 특성을 갖는데, 실제 Si/(Al+Si) 비율이 24%에서 최대 31 GPa의 경도 값을 갖는 것을 확인하였다. UV-Vis Spectrometer를 이용하여 250 nm~700 nm 파장의 투과율을 측정하였고, 자외선 영역의 경우 SiN:H 박막에 의해 300 nm 이하의 파장에서 2% 이하의 투과율을 확인하였다. 그리고 FT-IR(Fourier Transform Infrared Spectroscopy)를 이용하여$2.5{\mu}m{\sim}15{\mu}m$ 파장의 반사율을 이용하여 방사율을 측정하였는데, 3*(Al/Al-Si-N) 구조의 다층 박막의 경우 방사율은 0.27로 측정되었다.Hwang, Gi-Hwan;Nam, Sang-Hun;Yu, Jeong-Hun;Lee, Jin-Su;Ju, Dong-U;Jeon, So-Hyeon;Bu, Jin-Hyo 277.1
전도성 고분자인 PEDOT은 좋은 광학적 특성 및 유연성, 등의 장점으로 인해 TCO 박막으로의 응용을 위한 연구가 이루어 지고 있다. 하지만, 아직 까지는 높은 수준의 전도성 향상을 기대하기는 어려운 실정이며 전도성 향상에 대한 체계적 연구가 부족한 상황이다. 이에 본 연구에서는 다양한 알코올을 이용한 Dipping treatment를 활용하여 전도성의 변화에 대한 고찰을 하였으며, 열처리 과정을 통해 전도성이 어떻게 영향을 받는지에 대하여 연구하였다. 이를 위해 박막의 두께 및 cross-section을 FE-SEM을 이용하여 측정하였고, 각 각의 박막의 비저항은 4-poin probe를 활용하여 면저항을 구한다음 두께를 곱하는 방법으로 계산하였다. 동시에 열처리 및 Dipping을 한 박막의 PEDOT의 표면을 AFM image를 통해 확인하였다.For next-generation electronic applications, human-machine interface devices have recently been demonstrated such as the wearable computer as well as the electronic skin (e-skin). For integration of those systems, it is essential to develop many kinds of components including displays, energy generators and sensors. In particular, flexible sensing devices to detect some stimuli like strain, pressure, light, temperature, gase and humidity have been investigated for last few decades. Among many condidates, a pressure sensing device based on organic field-effect transistors (OFETs) is one of interesting structure in flexible touch displays, bio-monitoring and e-skin because of their flexibility. In this study, we have investigated a flexible e-skin based on highly sensitive, pressure-responsive OFETs using microstructured ferroelectric gate dielectrics, which simulates both rapidly adapting (RA) and slowly adatping (SA) mechanoreceptors in human skin. In SA-type static pressure, furthermore, we also demonstrate that the FET array can detect thermal stimuli for thermoreception through decoupling of the input signals from simultaneously applied pressure. The microstructured highly crystalline poly(vinylidene fluoride-trifluoroethylene) possessing piezoelectric-pyroelectric properties in OFETs allowed monitoring RA- and SA-mode responses in dyanamic and static pressurizing conditions, which enables to apply the e-skin to bio-monitoring of human and robotics.Organic materials have been explored as the gate dielectric layers in thin film transistors (TFTs) of backplane devices for flexible display because of their inherent mechanical flexibility. However, those materials possess some disadvantages like low dielectric constant and thermal resistance, which might lead to high power consumption and instability. On the other hand, inorganic gate dielectrics show high dielectric constant despite their brittle property. In order to maintain advantages of both materials, it is essential to develop the alternative materials. In this work, we manufactured nanocomposite gate dielectrics composed of organic material and inorganic nanoparticle and integrated them into organic TFTs. For synthesis of nanocomposite gate dielectrics, polyimide (PI) was explored as the organic materials due to its superior thermal stability. Candidate nanoprticles (NPs) of halfnium oxide, titanium oxide and aluminium oxide were considered. In order to realize NP concentration dependent electrical characteristics, furthermore, we have synthesized the different types of nanocomposite gate dielectrics with varying ratio of each inorganic NPs. To analyze gate dielectric properties like the capacitance, metal-Insulator-metal (MIM) structures were prepared together with organic TFTs. The output and transfer characteristics of organic TFTs were monitored by using the semiconductor parameter analyzer (HP4145B), and capacitance and leakage current of MIM structures were measured by the LCR meter (B1500, Agilent). Effects of mechanical cyclic bending of 200,000 times and thermally heating at$400^{\circ}C$ for 1 hour were investigated to analyze mechanical and thermal stability of nanocomposite gate dielectrics. The results will be discussed in detail.We have investigated the highly flexible and transparent Si-doped$In_2O_3$ (ISO)/Ag/ISO multilayer grown on polyethylene terephthalate (PET) substrates using a roll-to-roll sputtering system. The electrical and optical properties of ISO/Ag/ISO multilayer electrodes depended on the insertion of a nano-size Ag layer. Due to the high conductivity of a nano-size Ag layer, the optimized ISO/Ag/ISO multilayer electrodes showed the lowest resistivity of$3.679{\times}10^{-5}Ohm-cm$ , even though the ISO/Ag/ISO multilayer electrodes was sputtered at room temperature. Furthermore, the ISO/Ag/ISO multilayer electrodes exhibited a high transmittance of 86.33%, because of the anti-reflection effect, comparable to Sn-doped$In_2O_3$ (ITO) electrodes. In addition, the ISO/Ag/ISO multilayer electrodes had a very smooth surface morphology without surface defects and showed good flexibility. The flexible OSCs fabricated on ISO(30nm)/Ag(8nm)/ISO(30nm) multilayer electrode showed a power conversion efficiency of 3.272%. This result indicates that the ISO/Ag/ISO multilayer is a promising transparent conducting electrode for flexible OSCs.글래스(glass), 폴리머 또는 쿼츠와 같은 투명기판은 렌즈, 디스플레이, 광검출기, 광센서, 발광다이오드 및 태양전지와 같은 광 및 광전소자 분야에서 널리 사용되고 있다. 이러한 소자들의 경우, 광추출 또는 광흡수 효율을 향상시키는 것이 매우 중요하다. 그러나 투명기판의 경우, 약 1.5의 굴절율로 인해 표면에서 4% 반사가 발생되는데, 이러한 광학적 손실은 소자의 성능을 저하시키는 원인이 된다. 따라서, 글래스와 공기 경계면에서 발생되는 광손실을 줄이기 위한 효율적인 무반사 코팅이 필요하다. 최근, 우수한 내구성 뿐만 아니라, 광대역 파장 및 다방향성에서 무반사 특성을 보이는 서브파장 주기를 갖는 나노구조(subwavelength structures)의 형성 및 제작 공정에 관한 연구가 보고되고 있다. 이러한 나노구조는 경사 굴절율 분포를 가지는 유효 매질을 형성하기 때문에 투명기판 표면에서의 Fresnel 반사로 인한 광손실을 줄일 수 있다. 또한, 무반사 서브파장구조를 형성하기 위한 패터닝 방법으로, 간단/저렴하고 대면적 제작이 용이한 열적 응집 공정을 이용한 자가정렬된 금속 나노입자 형성 기술이 널리 사용되고 있다. 따라서 본 실험에서는 열적 응집현상에 의해 형성된 비주기적 금 나노입자 식각 마스크 패턴 및 유도결합 플라즈마 장비를 이용하여 글래스 기판 위에 무반사 서브파장 나노구조를 제작하였다. 금 나노패턴 및 제작된 글래스 서브파장 나노구조의 식각 프로파일은 주사전자현미경을 사용하여 관찰하였으며, UV-Vis-NIR 스펙트로미터를 사용하여 빛의 투과율을 측정하였다. 또한, 제작된 샘플들에 대해서, 표면 접촉각 측정 장비를 이용하여 표면 wettability를 조사하였다.반도체 선폭이 20 nm급까지 감소함에 따라 기존에 수율에 문제를 끼치던 공정 외부 유입 입자뿐만 아니라, 공정 도중에 발생하는 수~수십 나노의 작은 입자도 수율에 악영향을 끼치게 되었다. 이에 따라 저압, 극청정 조건에서 진행되는 공정 중 발생하는 입자를 실시간으로 모니터링 할 수 있는 장비에 대한 수요가 발생하고 있다. Particle beam mass spectrometer (PBMS)는 이러한 요구사항을 만족할 수 있는 장비로 100 mtorr의 공정 조건에서 5 nm 이상의 입자의 직경별 수농도를 측정할 수 있는 장비이다. PBMS로 입자의 수농도를 측정하기 위해서는 PBMS 전단에서 입자를 중앙으로 집속할 필요가 있다. 공기역학렌즈는 PBMS 전단에서 입자를 집속시키기 위해 일반적으로 널리 사용되고 있는 장비로 여러 개의 오리피스로 이루어져 있다. 공기역학렌즈를 지나는 수송 유체와 입자는 이러한 연속 오리피스를 거치면서 팽창과 수축을 반복하며, 관성력의 차이로 인해 입자가 중앙으로 집속된다. 그러나 기존 공기역학렌즈는 고정된 직경의 오리피스를 사용하기 때문에 설계된 공정조건 이외에는 입자의 집속효율이 감소한다는 단점을 지닌다. 따라서 공정조건이 바뀔 경우 공기역학렌즈를 교체해야 되며, 진공이라는 환경하에서 이러한 교체는 많은 시간과 노력을 요구로 한다. 본 연구에서는 이러한 공기역학렌즈의 문제점을 해결하기 위해 다양한 공정조건에서 교체 없이 사용할 수 있는 새로운 형태의 공기역학렌즈인 조기래형 공기역학렌즈를 제안하였다. 각각의 오리피스가 중공의 직경을 변경할 수 있는 구조인 조리개의 형태로 설계되어 있어, 공정조건에 따라 중공의 직경을 변경함으로써 입자의 집속을 결정하는 요소인 Stokes number를 조절 할 수 있다. 이러한 조리개형 공기 역학 렌즈의 성능을 평가하기 위해 수치해석적인 방법을 이용하였다. 공기 역학 렌즈 전단의 압력을 0.1~10 torr까지 변화시켜가며 다양한 공정조건에서 오리피스의 직경만을 변경하여 입자 집속 가능 여부를 판단하였으며, 조리개 형태의 구조상 발생할 수 있는 leak로 인한 입자 집속 효율의 변화도 평가하였다.Kim, Chang-Min;Lee, Hwang-Ho;Lee, Byeong-Ho;Kim, Min-A;Go, Sang-Eun;Choe, Ji-Su;Lee, Yeong-Min;Lee, Se-Jun;Kim, Deuk-Yeong 282.1
산소 플라즈마 전처리가 ZnO/Si 박막 및 계면에 미치는 영향과 그것이 n-ZnO/p-Si 이종접합 다이오드의 전기적 특성에 관여하는 상관관계 등을 조사하였다. ZnO 박막을 Si 기판 위에 Sputter법으로 증착하였으며, 양질의 n-ZnO/p-Si 다이오드를 제작하기 위하여 산소 플라즈마를 이용하여 Si 기판의 표면을 전처리하는 기법을 선택하였다. 산소 플라즈마에 의해 전처리된 시편의 경우, (002) ZnO 보다 (101) ZnO가 더 우세하게 성장되었으며, (101) ZnO의 완화된 c-축 배향성 때문에 수평방향으로의 박막 성장이 이루어졌고, 그로 인해 ZnO 박막의 결정립 크기가 상대적으로 증가하는 것이 관측되었다. 이처럼 (101) 방향으로 성장된 ZnO 박막의 경우, 산소결공 등의 자생결함 밀도가 상대적으로 높아져 결국 캐리어 농도의 증가를 야기시켰다. 이러한 산소 플라즈마 전처리 효과는 n-ZnO/p-Si 이종접합 다이오드의 전도 특성과 밀접한 관련이 있으며, 특히 다이오드의 전도 특성을 현저히 개선시키는 것으로 관측되었다.Lee, Hwang-Ho;Kim, Chang-Min;Lee, Byeong-Ho;Choe, Gyeong-San;An, Jang-Hun;U, Bin;Lee, Yeong-Min;Lee, Se-Jun;Kim, Deuk-Yeong 282.2
Cr의 함량과 주입 가스의 유량 비율을 변화하여 ZnCrO 박막의 구조적 특성에 미치는 영향과 그것이 자기적 특성에 관여하는 상관관계 등에 관하여 조사하였다. ZnCrO 박막을 Pt/Ti/Al2O3 기판 위에 Sputter법으로 증착하였으며, 이 때 양질의 ZnCrO 박막을 제작하기 위하여 산소 분압 변화에 따른 박막의 표면 및 구조적 특성을 관찰하였다. 아르곤과 산소 분압이 1 : 1 (Ar : O2=15 sccm : 15 sccm) 이었을 경우, 가장 매끄러운 표면을 갖고 또한 구조적으로도 안정된 ZnCrO 박막을 얻을 수 있었다. 동일한 조건에서 Cr 함량을 변화시켜 본 결과, Cr 함량이 작아질수록 자기적 특성이 향상되는 것이 관측되었다. 즉, Cr의 함량이 감소할수록 Cr 입자 1개 당 유효 자화도가 증가하는 것이 관측되었는데, 이러한 결과는 Cr 함량 변화에 따는 ZnCrO 박막의 결정 자기이방성 변화에 따른 것이다.최근 주위 환경에 존재하는 다양한 에너지를 전기에너지로 회수 또는 수확하는 에너지 하베스팅 기술(energy harvesting technology)이 크게 주목을 받고 있으며, 이와 더불어 압전 나노발전소자(piezoelectric nanogenerator)의 연구가 활발해 진행되고 있다. 한편, 수열합성법 또는 전기화학증착법을 이용하여 비교적 간단하게 수직으로 성장된 산화아연 나노로드(ZnO nanorod)는 광대역 에너지 밴드갭(wide bandgap energy)과 압전(piezoelectric)특성을 갖게 된다. 이렇게 수직 정렬된 나노로드의 기하학적 구조는 외부 물리적인 힘에 의해 구부러짐(bending) 변형이 일어나 압전특성이 효과적으로 일어나며, 이런 현상을 이용하여 압전 나노발전소자에 응용할 수 있다. 본 연구에서는 상부의 전극의 표면 거칠기(surface roughness)를 증가시켜 외부 힘에 의해 산화아연 나노로드가 효과적으로 변형을 일으켜 압전 특성을 향상시켰다. 실험을 위해, 산화아연 마이크로로드 어레이 (microrod arrays)와 실리카 마이크로스피어(silica microsphere)를 각각 템플릿으로 이용하여 그 위에 금(Au)를 증착하여 상부전극을 제작하였다. 산화아연 나노로드와 마이크로로드는 전기화학증착법을 이용해서 저온공정($75^{\circ}C$ )으로 ITO가 코팅된 PET 기판위에 성장하였으며, 인가된 전압의 세기를 변화시켜 산하아연 구조물의 크기를 조절하였다. 또한 화합합성법으로 실리카 마이크로 스피어를 준비하였다. 이러게 제작된 상부전극을 통해 기존의 사용되었던 전극과 비교하여 성능이 향상됨을 확인하였으며, 이와 함께 이론적인 분석을 진행하였다.열필라멘트 화학증착공정(Hot Wire Chemical Vapor Deposition)에서 기상 에서 생성되는 하전된 실리콘 나노입자와 저온결정성 실리콘박막 증착의 연관성을 압력의 변화에 따른 상호비교를 통해 조사하였다. 필라멘트 온도는$1800^{\circ}C$ 로 고정시키고 0.3~2 torr의 범위에서 공정 압력을 변화시키면서 증착하였다. 압력이 증가함에 따라 증착된 실리콘 박막의 결정화도는 증가하였으며, 증착속도는 감소하였다. 반응기 압력에 따른 기상에서 생성되는 나노입자의 크기분포의 변화를 조사하기 위하여 탄소막이 코팅된 투과전자현미경(Transmission Electron Microscopy) 그리드 위에 실리콘 나노입자를 포획하고 관찰하였다. 포획된 실리콘 나노입자의 크기분포와 개수농도는 압력이 증가함에 따라 감소하였다. 투과전자현미경을 이용하여 분석한 결과, 나노입자는 결정성 구조를 보였다. 압력이 증가함에 따라 나노입자의 크기가 감소하고 개수농도가 감소하는 것은 증착속도의 감소와 관련됨을 알 수 있다. 한편, 공정압력 증가에 따른 나노입자의 크기분포 및 개수농도 감소와 증착속도의 감소는 일반적으로 알려진 기상에서 석출하는 고상의 평형석출량(equilibrium amount of precipitation)이 압력의 증가함에 따라 증가한다는 사실과 일치하지 않는다. 이러한 압력경향성은 Si-H 시스템이 0.3~2 torr의 압력 영역에서 retrograde solubility를 갖는 것을 의미한다. 나노입자의 하전여부, 크기분포 및 개수농도를 측정하기 위하여 입자빔질량분석장비(Particle Beam Mass Spectroscopy)를 이용하였다. 그 결과, 실리콘 나노입자는 양 또는 음의 극성을 가진 하전된 상태임을 확인하였고, 투과전자현미경(TEM) grid에 포획한 실리콘 나노입자의 크기와 경향성이 일치하였다. 이는 나노입자가 저온의 기판에서 핵생성되어 성장하여 생성된 것이 아니라 열필라멘트 주위의 고온영역에서 생성된 것을 의미한다.본 연구에서는 현재 디스플레이에서 가장 널리 이용되는 저온 polycrystalline silicon (poly-Si)의 결정화 방법에 따른 thin-film transistor (TFT)의 전기적 특성을 분석하였다. 분석에 이용된 결정화 방식은 Excimer Laser Annealing (ELA)와 Metal Induced Crystallization (MIC)이다. ELA와 MIC TFTs의 전기적 특성 측정을 통한 분석결과 ELA와 MIC poly-Si TFTs의 전기적 특성 [field-effect mobility (${\mu}_{FE}$ ), on/off current ratio ($I_{ON}/I_{OFF}$ ), sub-threshold swing (SS)]은 큰 차이는 없지만, ELA를 이용한 poly-Si TFT의 전기적 특성이 조금 우수하다. 하지만, MIC poly-Si TFT의 경우 threshold voltage ($V_{TH}$ )가 0V에 보다 가까울 뿐만 아니라, 전기적 스트레스를 통한 신뢰성 확인 시 ELA poly-Si TFT보다 조금 더 안정적이다. 이는 ELA의 경우 좁은 면에 선형 레이저 빔으로 조사하면서 생기는 hill-lock의 영향으로 표면이 거칠고 균일하지 못하여 바이어스 인가시 생기는 문제이다. 또한 MIC는 금속 촉매를 이용해 결정립 경계를 확장하고 결정 크기를 키워 대면적화에 유리하다. Thermal Stress에서는 (from 293K to 373K) TFT에 점차 높은 온도를 가하자 MIC poly-Si TFT의 경우 off 상태에서 누설 전류 값이 증가하며 열에 민감한 반응을 보이는 것을 확인하였다.PV (photovoltaic) has becoming an important industry to invest due to its high robustness and require very little maintenance which goes a long time. Solar cell fabrication involves a few critical processes such as doping to make the N-type and P-type silicon, contact metallization, surface texturization, and anti-reflection coatings. Anti-reflection coating is a kind of surface passivation which ensures the stability, and efficiency of the solar cell. Thus, I will focus on the changes happen to the solar cell due to the reflectance and anti-reflection coating by PC1D. By using the PC1D (solar cell simulation program), I would analysis the effect of reflectance on the N-type cell. At last I will conclude the result regarding what I learned throughout this experiment.So, Hyeon-Seop;Park, Jun-U;Jeong, Dae-Ho;Lee, Ho-Seon;Sin, Hye-Yeong;Yun, Seok-Hyeon;An, Hyeong-U;Kim, Su-Dong;Lee, Su-Yeon;Jeong, Du-Seok;Jeong, Byeong-Gi 288.1
We investigated the optical properties of Ge1-xSex and Ge1-x-ySexAsy amorphous semiconductor films using spectroscopic ellipsometry and Raman spectroscopy. The dielectric functions and absorption coefficients of the amorphous films were determined from the measured ellipsometric angles. We obtained the optical gap energies and Urbach energies from the absorption coefficients, and found a strong bowing effect in the optical gap energy of Ge1-x-ySexAsy where the endpoint binaries were Ge0.50Se0.50 and Ge0.31As0.69. Based on the correlation between optical gap energies and Urbach energies, the large bowing parameter was attributed to the electronic disorder. We found the composition dependence of several phonon modes using Raman spectroscopy. For Ge1-x-ySexAsy, the D mode (232-267 cm-1) changed from As-As (or As3 pyramid), to As(Se1/2)3 pyramid, and finally to Se clusters, as the Se composition increased. Resonant Raman phenomenon was observed in Ge0.38Se0.62 at a laser excitation of 514 nm (2.41 eV). We verified that this laser energy corresponds to the transition energy of Ge0.38Se0.62 using the second derivative of the dielectric function of Ge0.38Se0.62.InP quantum dots were grown by using the molecular beam epitaxy technique. Quantum dots are connected and composed string-like one-dimensional structure due to the strain field along [110] crystal direction. Two prominent photoluminescence transitions from normal quantum dots and string-like one-dimensional structure were observed which show strong optical anisotropy along [1-10] and [110] crystal directions. Both peaks also showed blue-shift while rotating emission polarization from [1-10] to [110] direction. Such optical transition behaviors are the consequence of the valence band mixing caused by strain field along the [110] crystal direction.In this study, we made a organic thin film device in MIS(Metal-Insulator-Semiconductor) structure by using PVP (Poly vinyl phenol) as a insulating layer, and CdSe/ZnS nano particles which have a core/shell structure inside. We dissolved PVP and PMF in PGMEA, organic solvent, then formed a thin film through a spin coating. After that, it was cross-linked by annealing for 1 hour in a vacuum oven at$185^{\circ}C$ . We operated FTIR measurement to check this, and discovered the amount of absorption reduced in the wave-length region near 3400 cm-1, so could observe decrease of -OH. Boonton7200 was used to measure a C-V relationship to confirm a properties of the nano particles, and as a result, the width of the memory window increased when device including nano particles. Additionally, we used HP4145B in order to make sure the electrical characteristics of the organic thin film device and analyzed a conduction mechanism of the device by measuring I-V relationship. When the voltage was low, FNT occurred chiefly, but as the voltage increased, Schottky Emission occurred mainly. We synthesized CdSe/ZnS and to confirm this, took a picture of Si substrate including nano particles with SEM. Spherical quantum dots were properly made. Due to this study, we realized there is high possibility of application of next generation memory device using organic thin film device and nano particles, and we expect more researches about this issue would be done.Park, Yeong-Bin;Nam, Gi-Ung;Mun, Ji-Yun;Park, Seon-Hui;Park, Hyeong-Gil;Yun, Hyeon-Sik;Kim, Yeong-Gyu;Ji, Ik-Su;Kim, Ik-Hyeon;Kim, Dong-Wan;Kim, Jong-Su;Kim, Jin-Su;Im, Jae-Yeong 289.2
본 연구에서는 전기증착법 정전류 방법으로 ITO 유리기판 위에 ZnO 나노막대를 성장하였다. 성장 매개 변수로 용액 농도, 전착 전류, 용액 온도 및 성장 시간으로 하였고, 성장된 ZnO 나노막대는 field-emission scanning electron microscopy, X-ray diffractometer, photoluminescence를 이용하여 구조적, 광학적 특성을 분석하였다. 모든 시료에서 ZnO 나노막대는 wurtzite 형태의 결정 구조를 가지고, c-축 배향성을 나타내는 강한 ZnO(002) 회절피크가 나타났다. 용액 농도와 전착 전류가 감소함에 따라 ZnO 나노막대의 밀도 및 직경이 감소하였다. 또한, ZnO 나노막대는 성장 온도가 증가함에 따라 직경이 줄어들었고, 성장 시간이 증가함에 따라 ZnO 나노막대의 길이는 늘어났다. 모든 ZnO 나노막대 시료는 자유 엑시톤 재결합에 의해서 3.18 eV, 산소공공에 의한 결함에 의해서 2.32~1.86 eV의 피크가 관찰되었다. ZnO 나노막대의 직경이 작아질수록 NBEE 피크의 세기가 감소하고, 용액의 농도가 증가함에 따라 NBEE 피크는 청색편이 하였다.Mun, Ji-Yun;Nam, Gi-Ung;Park, Seon-Hui;Park, Yeong-Bin;Park, Hyeong-Gil;Yun, Hyeon-Sik;Kim, Yeong-Gyu;Ji, Ik-Su;Kim, Ik-Hyeon;Kim, Dong-Wan;Kim, Jong-Su;Kim, Jin-Su;Im, Jae-Yeong 290.1
스핀코팅방법으로 증착된 ZnO 박막의 단계적 후열처리에 따른 구조적, 광학적 특성에 관한 연구를 수행하였다. 일반적으로 ZnO 박막은 한 층을 증착한 후에, 유기물을 제거하기 위하여 전열처리를 수행한다. 본 연구에서는 ZnO 박막을 전열처리와 후열처리를 동시에 단계적으로 수행하였다. X-ray diffractometer, UV-visible spectrometer, photoluminescence를 이용하여 ZnO 박막의 구조적, 광학적 특성을 분석하였다. 모든 시료에서 표면은 직경이 약 20 nm인 둥근 입자들로 이루어져 있었다. X-ray diffraction 패턴은$31^{\circ}$ ,$34^{\circ}$ ,$36^{\circ}$ 에서 나타났고, 이것은 각각 ZnO의 (100), (002), (101) 방향을 보여준다. 전열처리와 후열처리를 동시에 수행했을 경우, 자유엑시톤 재결합에 의해 3.2 eV에서 좁은 near-band-edge emission 피크가 나타났으며, 투과도 또한 향상되었다.Park, Seon-Hui;Nam, Gi-Ung;Mun, Ji-Yun;Park, Yeong-Bin;Park, Hyeong-Gil;Yun, Hyeon-Sik;Kim, Yeong-Gyu;Ji, Ik-Su;Kim, Ik-Hyeon;Kim, Dong-Wan;Kim, Jong-Su;Kim, Jin-Su;Im, Jae-Yeong 290.2
본 연구에서는 스핀코팅방법으로 증착된 ZnO 박막의 Zn-seed 층 열처리에 따른 구조적 광학적 특성에 관한 연구를 수행하였다. ZnO 박막을 증착하기 전, Quartz 기판에 열증착법으로 Zn-seed층을 증착하였고, furnace에서 300, 350, 400,$450^{\circ}C$ 의 온도로 1시간 동안 열처리하였다. ZnO 박막은 스핀코팅방법으로 5층을 증착한 후,$600^{\circ}C$ 에서 1시간 동안 후열처리를 하였다. X-ray diffractometer, UV-visible spectrometer, Photoluminescence를 이용하여 ZnO 박막의 구조적, 광학적 특성을 분석하였다. 모든 ZnO 박막 시료에서 c-축 배향성을 나타내는 강한 ZnO(002)피크와 ZnO(100), ZnO(101) 회절피크가 나타났고, wurtzite 형태의 ZnO 박막이 관찰되었다. Zn-seed층을$350^{\circ}C$ 에서 열처리함에 따라 deep-level emission 피크에 대한 near-band-edge emission 피크의 발광세기 비율이 증가하였으나, 온도가 증가함에 따라 점점 감소하였다. 또한, Zn-seed층을$350^{\circ}C$ 에서 열처리 하였을 때 가장 높은 광 투과도를 나타내었다.Kim, Ik-Hyeon;Park, Hyeong-Gil;Kim, Yeong-Gyu;Nam, Gi-Ung;Yun, Hyeon-Sik;Park, Yeong-Bin;Mun, Ji-Yun;Park, Seon-Hui;Kim, Dong-Wan;Kim, Jin-Su;Kim, Jong-Su;Im, Jae-Yeong 291.1
산화아연 박막은 아연이 코팅된 테프론 기판 위에 졸-겔 스핀코팅 방법을 이용하여 각기 다른 후열처리 온도에서 제작되었다. 산화아연 박막의 후열처리 온도에 따른 구조적, 광학적 특성은 field emission scanning electron microscopy (FE-SEM), X-ray diffractometer, and photoluminescence spectroscope를 이용하여 분석하였다. 후열처리 온도를 달리하여 성장한 모든 산화아연 박막은 수지상(dendrite) 구조를 가지고 있으며, 이 수지상 구조 위에 약 20 nm의 산화아연 입자들이 성장되었다. 후열처리 온도가 증가함에 따라 c-축 배향성이 우세하게 나타났으며, 인장응력도 증가하였다. 후열처리 온도$400^{\circ}C$ 에서 Near-band-edge emission (NBE) 피크는 적색편이(red-shift) 하였고, 후열처리 온도가 증가함에 따라 deep-level emission (DLE) 피크의 세기는 감소하였다. 또한$400^{\circ}C$ 의 후열처리 온도에서 NBE 피크의 반치폭(FWHM)이 가장 작았으며, INBE/IDLE의 비율이 가장 높았다. 따라서$400^{\circ}C$ 의 후열처리 공정에 의해 결정성 및 광학적 특성이 가장 우수한 산화아연 박막을 얻을 수 있었다.Kim, Yeong-Gyu;Park, Hyeong-Gil;Nam, Gi-Ung;Yun, Hyeon-Sik;Kim, Ik-Hyeon;Park, Yeong-Bin;Park, Seon-Hui;Mun, Ji-Yun;Kim, Dong-Wan;Kim, Jin-Su;Kim, Jong-Su;Im, Jae-Yeong 291.2
ZnO 박막(thin film)은 씨앗층(seed layer)의 종류, 두께, 증착 조건 등에 따라 그 특성이 달라지는 것으로 알려져 있다. 이에 본 연구에서는 씨앗층의 종류에 따른 박막의 특성변화를 알아 보기 위해, 졸겔 스핀코팅(sol-gel spin-coating) 방법으로 4가지 종류의 씨앗층(Al-ZnO, Co-ZnO, Cu-ZnO, In-ZnO) 위에 ZnO 박막을 성장 한 후 성장된 ZnO 박막의 구조적, 광학적 특성을 field emission scanning electron microscope, X-ray diffractometer, UV-visible spectrometer를 통해 조사하였다. ZnO 박막의 표면구조는 씨앗층의 종류에 따라 변하였으며, 씨앗층 위에 성장된 ZnO 박막들의 c축 배향성과 결정성이 씨앗층 없이 성장된 ZnO 박막보다 더 우수하게 나타났다. 투과도(transmittance) 측정값을 통해 계산된 광학적 밴드갭(optical bandgap)과 Urbach 에너지는 씨앗층에 따라 다른 값을 나타내었다. 광학적 밴드갭은 Al-ZnO 씨앗층 위에 성장된 ZnO 박막에서 가장 크게 나타났으며, Urbach 에너지는 Co-ZnO 씨앗층 위에 성장된 ZnO 박막에서 가장 낮았다. 따라서 ZnO박막 성장 시 용도에 맞게 적절한 씨앗층을 사용하는 것은 소자의 성능을 향상시키는데 매우 중요한 역할을 할 수 있다.칼코겐화합물은 주기율표 6족에서 산소를 제외한 칼코겐 원소가 하나 이상 포함되는 화합물 반도체 소재로 상변화 및 광전변환 특성을 가지고 있다. 이와 같은 칼코겐화합물의 장점을 이용하여 집적회로의 로직 블록 간의 신호 전달을 제어하는 프로그래머블 스위치를 구현 할 수 있다. 본 연구에서는 프로그래머블 스위치에 적용 가능한 칼코겐화합물로 널리 알려진 GeSbTe 및 GeTe 박막의 도핑에 따른 전기적, 구조적 특성 변화를 보고한다. RF magnetron sputtering 방식을 이용하여 doped GST 및 doped GeTe 박막을 증착하고 도핑에 따른 전기적, 구조적 특성을 관찰하였다. GST 박막의 경우 도핑에 의해 면저항 값이 증가하고 결정화 온도가 상승하는 것을 확인하였다. 반면 GeTe 박막에서는 도핑에 의해 면저항 값이 감소하고 결정화 온도가 낮아지는 것을 확인하였다. 이러한 결과로부터 GeSbTe 및 GeTe 박막의 전기적 특성은 도핑에 따라 변화하며, 도핑 조건을 적절히 조절함으로써 프로그래머블 스위치에 적용 가능한 칼코겐화합물의 확보가 가능하다는 결론을 내릴 수 있다.Strong exciton-photon coupling in microcavities have generated an intense research effort since quasiparticles called exciton polaritons are produced and shows interesting phenomena. Most of studies have been done with GaAs based microcavities at cryogenic temperature. Recently, GaN material which has large exciton binding energy and oscillator strength has much attention because strong coupling between photon and exciton could be realized at room temperature. However, fabrication of high quality microcavity using GaN is challengeable due to the large mismatch between the lattice and the thermal expansion coefficient in GaN based distributed Bragg mirror. Here, we observed strong coupling regime of exciton-photon in GaN micro-rods which were grown by metalorganic vapour phase epitaxy (MOCVD) on Si substrate. Owing to the hexagonal cross-section of micro-rod, whispering gallery modes of photon are naturally formed and could be coupled with exciton in GaN. Using angle-resolved micro-photoluminescence measurement, exciton polariton dispersion curves were directly observed from GaN micro-rod. We expect room temperature exciton polariton condensation could be realized in high quality GaN micro-rod.유기물 박막에 나노입자가 분포되어 있는 나노복합체를 이용한 전자 소자는 낮은 소비 전력, 낮은 공정 가격, 그리고 높은 기계적 휘어짐이 가능하기에 차세대 전자 소자로 많은 연구가 진행되고 있다. 친환경 소자를 지향하는 현대 기술에서 환경 친화적 코어-쉘 구조의 나노입자를 이용한 나노복합체는 차세대 전자 소자 중 비휘발성 메모리 소자 연구에서 뛰어난 소자 성능을 보여주고 있어 큰 관심을 받고 있으나 코어-쉘 나노입자를 이용한 비휘발성 메모리 소자의 쉘의 유무에 따른 전도도 특성 및 전하수송 메커니즘 연구는 아직 미미한 실정이다. 본 연구에서는, indium-tin-oxide가 코팅된 polyethylene terephthalate 기판 위에 CuInS2 (CIS)-ZnS 친환경 코어-쉘 나노입자가 poly (methylmethacrylate) (PMMA) 안에 분산된 박막을 이용한 비휘발성 메모리 소자를 제작하여 ZnS 쉘이 전기적 전도도에 미치는 영향을 관찰 하였다. CIS-ZnS 코어-쉘 나노입자에서 ZnS 쉘이 없어도 메모리 소자의 전류-전압 특성에서는 높은 전도도 (ON)와 낮은 전도도 (OFF) 상태가 존재하는 전류 쌍안정성 동작을 보이지만, ZnS 쉘의 유무에 따라 ON/OFF 비율 차이를 보여 전도도 특성이 다름을 관측 하였다. 반복된 전계적 스트레스에 의한 전도도 상태 유지 능력 측정을 수행하여 ZnS 쉘의 유무에 따른 소자의 전도도 안정성 능력을 관측하였다. 측정된 전기적 특성을 기반으로 PMMA 박막 안에 분산된 CIS-ZnS 코어-쉘 나노입자를 이용한 비휘발성 메모리 소자에서 ZnS 쉘의 따른 전도도 특성 및 전하수송 메커니즘 특성을 설명하였다.Park, Dong-U;No, Sam-Gyu;Ji, Yeong-Bin;O, Seung-Jae;Seo, Jin-Seok;Jeon, Tae-In;Kim, Jin-Su;Kim, Jong-Su 300.2
테라헤르쯔(terahertz : THz)파는 0.1~10 THz 의 범위로 적외선과 방송파 사이에 광대역 주파수 스펙트럼을 차지하고 있으며 직진성, 투과성, 그리고 낮은 에너지(meV)를 가지고 있어 비 파괴적이고 무해한 장점을 지니고 있다. Ti:sapphire laser와 같은 femto-pulse source 등이 많은 발전이 되어 현재 많은 연구와 발전이 이루어지고 있다. femto-pulse source를 이용한 THz 응용에서는 높은 저항, 큰 전자이동도, 그리고 아주 짧은 전하수명의 기판을 요구하는데 저온에서 성장한(low-temperature grown : LT) InGaAs는 격자 내에 Gallium 자리에 Arsenic이 치환 하면서 AsGa antisite가 발생하여 전하수명을 짧아지는 것을 응용하여 가장 많이 이용되고 있다. 본 연구에서는 보다 높은 저항을 얻기 위하여 molecular beam epitaxy를 이용하여 semi-insulating InP:Fe 기판위에 격자 정합된 LT-InGaAs:Be/InAlAs multi quantum well (MQW)를 well과 barrier를 가각$10{\mu}m$ 씩 100주기 성장을 하였고 Ti와 Au를 각각 30,$200{\mu}m$ 로 dipole antenna를 제작 하였다. 이 때 Ti:sapphire femto-pulse laser (30 fs/90 MHz)를 excitation source로 사용하였을 때 9000 pA로 LT-InGaAs epilayer (180 pA)보다 50배 이상 큰 전류 신호를 얻을 수 있었다. THz 발생과 검출을 초소형, 초경량, 고효율로 하기 위해서는 fiber-optic를 이용해야 하는데 이때 분산과 산란 손실이 가장 적은 1550 nm 대역에서 많은 연구가 이루어 졌다. 780, 1560 nm의 mode-locking laser (90 fs/100 MHz)를 사용하여 현재 많이 이용되고 있는 Ti:sapphire femto-pulse laser와 비교하여 THz 특성 변화를 확인하는 연구를 진행 하고 있다.모바일 전자기기 시장의 큰 증가세로 인해 플래시 메모리 소자에 대한 수요가 급격히 증가하고 있다. 특히, 저 전력 및 고집적 대용량 플래시 메모리의 필요성이 커짐에 따라 플래시 메모리 소자의 비례축소에 대한 연구가 활발히 진행되고 있다. 하지만 10 nm 이하의 게이트 크기를 가지는 플래시 메모리 소자에서 각 셀 간의 간섭에 의한 성능저하가 심각한 문제가 되고 있다. 본 연구에서는 10 nm 이하의 낸드 플래시 메모리 소자에서 인접한 셀 간의 간섭으로 인해 발생하는 전기적 특성의 성능 저하를 관찰하고 메커니즘을 분석하였다. 4개의 소자가 배열된 낸드플래시 메모리의 전기적 특성을 3차원 TCAD 시뮬레이션을 툴을 이용하여 계산하였다. 인접 셀의 프로그램 상태에 따른 측정 셀의 읽기 동작과 쓰기 동작시의 전류-전압 특성을 게이트 크기가 10 nm 부터 30 nm까지 비교하여 동작 메커니즘을 분석하였다. 게이트의 크기가 감소함에 따라 플로팅 게이트에 주입되는 전하의 양은 감소하는데 반해 프로그램 전후의 문턱전압 차는 커진다. 플래시 메모리의 게이트 크기가 줄어듦에 따라 플로팅 게이트의 공핍영역이 차지하는 비율이 커지면서 프로그램 동작 시 주입되는 전하의 양이 급격히 줄어든다. 게이트의 크기가 작아짐에 따라 인접 셀 과의 거리가 좁아지게 되고 이에 따라 프로그램 된 셀의 플로팅 게이트의 전하가 측정 셀의 플로팅 게이트의 공핍영역을 증가시켜 프로그램 특성을 나쁘게 한다. 이 연구 결과는 10 nm 이하의 낸드 플래시 메모리 소자에서 인접한 셀 간의 간섭으로 인해 발생하는 전기적 특성의 성능 저하와 동작 메커니즘을 이해하고 인접 셀의 간섭을 최소로 하는 소자 제작에 많은 도움이 될 것이다.집적회로의 밀도가 높이기 위해 단일 소자의 크기를 줄이는 과정에서 발생하는 소자의 성능 저하를 줄이기 위해 새로운 구조 및 구성 물질을 변경하는 연구가 활발하게 진행되고 있다. 기존의 평면 구조를 변형한 3차원 구조의 n-channel FinFet는 소자의 구성 물질을 바꾸지 않고도 쇼트 채널효과와 누설전류를 줄일 수 있다. 다양한 구조의 유전 물질을 응용한 n-channel FinFEET은 기존의 n-channel FinFET보다 소자의 크기를 줄일 수 있는 가능성을 제시하고 있다. FinFETs에 관한 많은 연구가 진행되어 왔지만, 유전체 물질을 이용한 n-channel FinFETs의 구조에 대한 연구는 매우 적다. 본 연구는 FinFET의fin channel 영역에 유전 물질을 삽입하여 그 영향을 분석한 연구이다. FinFET의 fin channel 영역에 유전 물질을 삽입하여 평면 구조의 MOSFET에서 fully depletion SOI 구조와 같은 동작을 하도록 만들었다. 유전 물질을 삽입한 FinFET 소자의 전기적 특성을 3차원 TCAD 시뮬레이션을 툴을 이용하여 계산하였다. 유전 물질을 삽입한 n-channel FinFET에서 전자 밀도와 측면 전계의 영향이 기존의 FinFET보다 좋은 특성을 확인하였다. 또한 유전물질을 삽입한 FinFETs은 subthershold swing, 누설전류, 소비전력을 줄여 주었다. 이러한 결과는 n-Channel FinFETs의 성능을 향상시키는데 많은 도움이 될 것이다.We report the growth of high quality zinc oxide (ZnO) thin films on amorphous glass substrates and their structural and optical characteristics. For the growth of ZnO films, mechanically exfoliated ultrathin graphite or graphene layers were used as an intermediate layer because ZnO does not have any heteroepitaxial relationship with the amorphous substrates, which significantly improved the crystallinity of the ZnO films. Structural and optical characteristics of the films were investigated using scanning and transmission electron microscopy, x-ray diffraction, and variable temperature photoluminescence spectroscopy. High crystallinity and excellent optical characteristics such as stimulated emission were exhibited from the high quality ZnO films grown on glass substrates.유기발광소자는 고휘도, 넓은 시야각, 빠른 응답속도, 높은 색재현성, 좋은 유연성의 소자 특성 때문에 디스플레이 제품에 많이 응용되고 연구가 활발하게 진행되고 있다. 최근에 저소비전력, 고휘도, 소형화 및 장수명의 장점을 가진 유기발광소자의 상용화가 진행되면서 차세대 디스플레이소자로서 관심을 끌게 되었다. 최근에는 고효율의 장점을 가지는 무기 형광체와 양자점을 이용한 백색 유기발광 소자에 대한 연구가 활발하게 진행되고 있으나 색 안정성이 좋지 않은 문제점이 있다. 본 연구에서는 적색 빛을 방출하는 CdSe/ZnS 양자점과 녹색 빛을 방출하는 YAG:Ce3+ 무기 형광체를 포함하는 polymethylmethacrylate (PMMA)를 색변환층으로 이용하여 청색 유기발광소자에 결합한 백색 유기발광소자를 제작하였다. CdSe/ZnS 양자점과 YAG:Ce3+ 무기 형광체의 광흡수대역은 250 nm에서 500 nm이므로 470 nm의 청색 발광소자의 청색 빛을 흡수하여 색변환층에서 재 발광할 때 색 변환 결과를 무기 형광체와 양자점의 여러 가지 혼합 비율에 따라 전계발광 스펙트럼을 통해 관측하였다. 또한, 전압을 12 V 에서 16 V까지 변화하였을 때 색좌표가 (0.32, 0.34)에서 (0.30, 0.33)으로 적은 변화를 보여 높은 색안정성을 확인 할 수 있었다. 이 연구 결과는 양자점과 무기 형광체를 혼합한 색변환층을 이용한 백색 유기발광소자의 색 변환 효율 증가와 색안정성에 대한 기초자료로 활용할 수 있다.좋은 전기적 특성을 가지면서 소자의 크기를 줄이기에 용이한 Gate-all-around (GAA) twin Si nanowire field-effect transistors (TSNWFETs)의 연구가 많이 진행되고 있다. Switching 특성과 단채널 효과가 없는 TSNWFETs의 특성은 GAA 구조의 본질적인 특성이다. TSNWFETs는 기존의 single Si nanowire TSNWFETs와 bulk FET에 비하여 Drive current가 nanowire의 지름에 많은 영향을 받지 않는다. 그러나 TSNWFETs의 전체 on-current는 훨씬 작고 nanowire의 지름이 작아지면서 줄어들게 되면서 소자의 sensing speed와 sensing margin 특성의 악화를 가지고 온다. GAA TSNWFETs의 제작 및 전기적 실험에 대한 연구는 많이 진행되었으나, GAA TSNWFETs의 전기적 특성에 대한 이론적 연구는 매우 적다. 본 연구에서는 GAA TSNWFETs의 nanowire 크기에 따른 전기적 특성을 관찰하였다. GAA TSNWFETs와 bulk FET의 전기적 특성을 양자역학을 고려하여 3차원 TCAD 시뮬레이션을 툴을 이용하여 계산하였다. GAA TSNWFETs와 bulk FET의 전류-전압 특성 계산을 통해 on-current 크기, subthreshold swing, drain-induced barrier lowering (DIBL), gate-induced drain leakage를 보았다. 전류가 흐르는 경로와 전기적 특성의 물리적 의미에 대한 연구를 위해 TSNWFETs에서의 전류 밀도, conduction band edge, potential 특성을 분석하였다. 시뮬레이션 결과를 통해 Switching 특성, 단채널 효과에 대한 면역 특성, nanowire의 단면적에 따른 전류 흐름을 보았다. nanowire의 크기가 작아지면서 DIBL이 증가하고 문턱전압과 전체 on-current는 감소하면서 소자의 특성이 악화된다. 이러한 결과는 GAA TSNWFETs의 전기적 특성을 이해하고 좋은 소자 특성을 위한 구조를 연구하는데 많은 도움이 될 것이다.The oxides of group IV transition metals such as titanium, zirconium, hafnium have many important current and future application, including protective coatings, sensors and dielectric layers in thin film electroluminescent (TFEL) devices. Recently, group IV transition metal oxide films have been intensively investigated as replacements for SiO2. Due to high permittivities (k~14-25) compared with SiO2 (k~3.9), large band-gaps, large band offsets and high thermodynamic stability on silicon. Herein, we report the synthesis of new group IV transition metal complexes as useful precursors to deposit their oxide thin films using chemical vapor deposition technique. The complexes were characterized by FT-IR, 1H NMR, 13C NMR and thermogravimetric analysis (TGA). Newly synthesised compounds show high volatility and thermal stability, so we are trying to deposit metal oxide thin films using the complexes by Atomic Layer Deposition (ALD).Flat-panel displays have been growing as an essential everyday product in the current information/communication ages in the unprecedented speed. The forward-coming applications require light-weightness, higher speed, higher resolution, and lower power consumption, along with the relevant cost. Such specifications demand for a new concept-based materials and applications, unlike Si-based technologies, such as amorphous Si and polycrystalline Si thin film transistors. Since the introduction of the first concept on the oxide-based thin film transistors by Hosono et al., amorphous oxide thin film transistors have been gaining academic/industrial interest, owing to the facile synthesis and reproducible processing despite of a couple of shortcomings. The current work places its main emphasis on the binary oxides composed of ZnO and SnO2. RF sputtering was applied to the fabrication of amorphous oxide thin film devices, in the form of bottom-gated structures involving highly-doped Si wafers as gate materials and thermal oxide (SiO2) as gate dielectrics. The physical/chemical features were characterized using atomic force microscopy for surface morphology, spectroscopic ellipsometry for optical parameters, X-ray diffraction for crystallinity, and X-ray photoelectron spectroscopy for identification of chemical states. The combined characterizations on Zn-Sn-O thin films are discussed in comparison with the device performance based on thin film transistors involving Zn-Sn-O thin films as channel materials, with the aim to optimizing high-performance thin film transistors.Chalcogenides (Te,Se) and pnictogens(Bi,Sb) materials have been widely investigated as thermoelectric materials. Especially, Bi2Te3 (Bismuth telluride) compound thermoelectric materials in thin film and nanowires are known to have the highest thermoelectric figure of merit ZT at room temperature. Currently, the thermoelectric material research is mostly driven in two directions: (1) enhancing the Seebeck coefficient, electrical conductivity using quantum confinement effects and (2) decreasing thermal conductivity using phonon scattering effect. Herein we demonstrated influence of annealing temperature on structural and thermoelectrical properties of Bismuth-telluride-selenide ternary compound thin film. Te-rich Bismuth-telluride-selenide ternary compound thin film prepared co-deposited by thermal evaporation techniques. After annealing treatment, co-deposited thin film was transformed amorphous phase to Bi2Te3-Bi2Te2Se1 polycrystalline thin film. In the experiment, to investigate the structural and thermoelectric characteristics of Bi2Te3-i2Te2Se1 films, we measured Rutherford Backscattering spectrometry (RBS), X-ray diffraction (XRD), Raman spectroscopy, Scanning eletron microscopy (SEM), Transmission electron microscopy (TEM), Seebeck coefficient measurement and Hall measurement. After annealing treatment, electrical conductivity and Seebeck coefficient was increased by defect states dominated by selenium vacant sites. These charged selenium vacancies behave as electron donors, resulting in carrier concentration was increased. Moreover, Thermal conductivity was significantly decreased because phonon scattering was enhanced through the grain boundary in Bi2Te3-Bi2Te2Se1 polycrystalline compound. As a result, The enhancement of thermoelectric figure-of-merit could be obtained by optimal annealing treatment.정보화 시대의 발전에 따라 점점 더 많은 정보를 더욱 빠르게 처리할 수 있는 기기들이 요구되고 있다. 메모리는 그 중에서 핵심적인 부품으로써 소자의 고집적화와 고속화가 계속 진행되면서 기존의 메모리 소자들은 집적화에서 그 한계에 도달하고 있다. 기존 소자들의 집적화의 한계를 극복하기 위하여 새로운 비휘발성 메모리 소자들이 제안되었다. 그 중 resistive switching random access memory(ReRAM)은 저항의 변화특성을 사용하는 메모리로 간단한 구조를 가지고 있기 때문에 집적화에 유리하다는 장점을 가지고 있다. 그 외에도 빠른 동작 속도와 낮은 전압에서의 동작이 가능하여 차세대 메모리로써 각광받고 있는 추세이다. 본 연구실에서는 이미 nitride 물질을 기반으로 한 여러 ReRAM 소자들을 제안해 왔다. 그 중 AlN-based ReRAM 소자는 빠른 동작 속도와 좋은 내구성을 보인 바 있다. 하지만 상업화를 위해서 해결해야 할 문제점들이 아직 존재하고 있다. 대표적으로 소자의 배열에서 각 소자의 균일한 동작이 보증되어야 하기 때문에 소자의 셋/리셋 전압의 산포를 줄이고 동작 전류 레벨을 낮추어야 할 필요성이 존재한다. 이러한 ReRAM의 이슈를 해결하기 위해, 본 실험에서는 기존의 AlN-based ReRAM 소자에 Ti를 도핑 방법을 이용하여 소자의 동작 전압 및 전류의 산포를 줄이기 위한 연구를 진행 하였다. 본 실험은 co-sputtering 방법을 이용하여 Ti가 도핑된 AlN을 저항변화 물질로 사용하여 그 특성을 살펴보았다. Ti의 도핑 효과로 소자의 신뢰성 향상 및 동작 전압의 감소 등의 효과를 얻을 수 있었다. 이는 nitride 기반 물질에서 Ti dopant에 의해 형성된 TiN의 효과로 설명된다. TiN는 metallic한 특성을 지니고 있기에 저항변화물질 내에서 일종의 metallic particle의 역할을 수행할 수 있다. 따라서 conducting path의 형성과정에서 이러한 particle 들이 전계를 유도하여 좀 더 균일한 set/reset 특성을 나타내게 된다.전자기기의 휴대성과 이동성이 강조되고 있는 현대사회에서 비휘발성 메모리는 메모리 산업에 있어 매우 매력적인 동시에 커다란 잠재성을 지닌다. 이미 공정의 한계에 부딪힌 Flash 메모리를 대신하여 10nm 이하의 공정이 가능한 상변화 메모리(Phase-Change Memory, PRAM), 스핀 주입 자화 반전 메모리(Spin Transfer Torque-Magnetic RAM, STT-MRAM), 저항 변화 메모리(Resistive Random Access Memory, ReRAM)가 차세대 비휘발성 메모리 후보로서 거론되고 있으며, 그 중에서도 ReRAM은 빠른 속도와 낮은 소비 전력, CMOS 공정 호환성, 그리고 비교적 단순한 3차원 적층 구조의 특성으로 인해 활발히 연구되고 있다. 특히 최근에는 질화물 또는 질소를 도핑한 산화물을 저항변화 물질로 사용하는 ReRAM이 보고되고 있는데, 이들은 동작전압이 낮을 뿐만 아니라 저항 변화(Resistive Switching, RS) 과정에서 일어나는 계면 산화를 방지할 수 있으므로 ReRAM의 저항 변화 재료로서 각광받고 있다. 그러나 Cell 단위의 ReRAM 소자를 Crossbar Array 구조에 적용시켰을 때 주변 Cell과의 저항 상태 차이로 인해 전류가 낮은 저항 상태(LRS)의 Cell로 흘러 의도치 않은 동작을 야기한다. 이와 같이 누설 전류(Leakage Current)로 인한 상호간의 간섭이 일어나는 Cross-talk 현상이 존재하며, 공정의 간소화와 집적도를 유지하면서 이 문제를 해결하는 것은 실용화하기에 앞서 매우 중요한 문제이다. 따라서, 본 논문에서는 Read 동작 시 발생하는 Cell과 Cell 사이의 Cross-talk 문제를 해결하기 위해 자가 정류 특성(Self-Rectifying)을 가지는 실리콘 질화물/알루미늄 질화물 이중층(Si3N4/AlN Bi-layer)으로 구성된 ReRAM 소자 구조를 제안하였으며, Sputtering 방법을 이용하여 제안된 소자를 제작하였다. 전압-전류 특성 실험결과, 제안된 구조에 대한 에너지 밴드 다이어그램 시뮬레이션 결과와 동일하게 Positive Bias 영역에서 자가 정류 특성을 획득하였고, 결과적으로 Read 동작 시 발생하는 Cross-talk 현상을 차단할 수 있는 결과를 확보하였다.반도체에서 양자점이 포함된 나노복합체의 전자적 구조와 성질에 대한 연구는 기본적인 양자 물리적 현상을 이해하고 전자소자 및 광소자의 다양한 응용 분야를 파생할 수 있기 때문에 많은 관심을 갖고 있다. 나노복합체를 구성하는 각각의 양자우물과 양자점에 대한 실험과 이론에 대한 연구는 많이 진행되고 있으며, 양자우물 안에 양자점이 삽입된 나노복합체에 대한 연구는 상대적으로 미흡한 상태이다. 또한 양자우물 안에 자발 형성된 양자점이 삽입된 나노복합체에 대한 전기적 특성 및 광학적 특성에 대한 연구는 많으나, 양자우물 안에 삽입된 양자점에 대한 전자적 구조에 대한 연구는 거의 없다. 양자우물 안에 양자점을 형성한 나노복합체 구조를 사용하여 제작한 전자소자와 광소자의 효율을 향상시키기 위해서는 이 복합 구조의 전자적 성질에 대한 연구가 필요하다. 본 연구에서는 단일 양자우물 안에 자발 형성된 InAs 양자점을 포함한 나노복합체의 전자적 특성을 분석하기 위하여 변형효과와 비포물선효과를 포함한 전자적 부띠 에너지에 대하여 비교 분석하였다. InAs 양자점은 20 nm의 직경을 갖고 있으며, GaAs 기판위에 버퍼층과 AlAs 층을 사용한 양자우물 구조에 삽입되었다. 단일 양자우물 안에 삽입된 양자점의 전자적 구조는 형상 의존 변형효과와 비포물선 효과를 고려한 쉬뢰딩거 방정식을 삼차원 가변 메시 유한차분법을 사용하여 수치해석 방법으로 분석하였다. 수치해석 방법으로 양자우물의 우물 폭의 영향을 받는 양자점의 크기변화에 따라 삼차원적인 전자 및 정공의 부띠 에너지와 기저상태 및 여기 상태의 파동 함수를 계산하였다. 이러한 결과는 나노복합체 안에 형성된 InAs 양자점의 전자적 특성을 이해하는데 도움을 주며, InAs가 포함된 나노복합체를 사용한 전자 소자와 광소자 연구에 기초 자료로 사용될 수 있다.최근 III-N계 물질 기반의 광 반도체 중 m-면 사파이어 기판을 사용하여 반극성 (11-22) GaN박막을 성장하는 광반도체의 발광효율을 높이려는 연구가 많이 진행되고 있다. 하지만, 반극성 (11-22) GaN와 m-면 사파이어 기판과의 큰 격자상수 차이와 결정학적 이방성의 차이에 의해 많은 결정 결함이 발생하게 된다. 이러한 결정결함들은 반극성 LED소자내에서 누설전류 및 비발광 재결합, 순방향전압 등의 소자특성을 저하시키는 큰 요인이 되기 때문에 고효율 발광소자를 제작함에 있어 어려움을 야기시킨다. 이러한, 반극성 LED 소자의 효율 향상을 위해 결함 분석에 대한 연구를 주를 이루고 있는 상황으로, n-GaN층에 Si도핑에 관한 연구가 진행되고 있다. 이미 극성과 비극성에서는 n-GaN층에 Si이 도핑이 증가될수록 결정질이 향상되고, 양자우물의 계면의 질도 향상 되었다는 보고가 있다. 본 연구에서는 반극성 (11-22) GaN 기반의 발광소자를 제작함에 있어 n-GaN 층의 도핑 농도 변화를 통한 반극성 GaN 박막의 결정성 및 전기적 특성 변화에 따른 LED소자의 전계 발광 특성에 대한 연구를 진행하였다. 금속유기화학증착법을 이용하여 m-면 사파이어 기판에$2.0{\mu}m$ 두께의 반극성 (11-22) GaN 박막을 저온 GaN완충층이 존재하지 않는 고온 1단계 성장법을 기반으로 성장하였다.[3] 이후,$2.0{\mu}m$ 반극성 (11-22) GaN 박막 위에$3.5{\mu}m$ 두께의 n-GaN 층을 성장시켰다. 이때, n-형 도펀트로 SiH4 가스를 4.9, 9.8, 19.6, 39.2 sccm으로 변화하여 성장하였다. 이 4가지 반극성 (11-22) n-GaN 템플릿을 이용하여 동일 구조의 InGaN/GaN 다중양자우물구조와 p-GaN을 성장하여 LED 구조를 제작하였다. X-선${\omega}$ -rocking curve를 분석한 결과, 이러한 특성은 반극성 (11-22) n-GaN층의 Si 도핑농도 증가에 따라서 각 (0002), (11-20), (10-10) 면에서 결정 결함이 감소하고, 반극성 (11-22) n형 GaN템플릿을 이용하여 성장된 반극성 GaN계 LED소자는 20mA인가 시 도핑 농도 증가에 따라 9.2 V에서 5.8 V로 전압이 감소하였으며 역방향 전류에서도 누설전류가 감소함이 확인되었다. 또한, 전계 발광세기도 증가하였는데, 이는 반극성 n형 GaN박막의 실리콘 도핑농도 증가에 따라 하부 GaN층의 결정성이 향상과 더불어 광학적 특성이 향상되고, n형 GaN층의 전자 농도 및 이동도의 동시 증가에 따라 전기적 특성이 향상 됨에 따라 LED소자의 전계 발광 특성이 향상된 것으로 판단된다.III-N계 기반의 광 반도체는 직접 천이형 넓은 밴드갭 구조를 갖고 있기 때문에 자외선에서 가시광을 포함한 적외선까지 포함한 폭 넓은 발광이 가능하여 조명 및 디스플레이 관련 차세대 광원으로 많은 관심을 받고 있다. 하지만 p형 GaN의 경우, 상온에서 도펀트로 사용되는 마그네슘(Mg)이 수소(H)와 결합하여 보상 효과를 나타내기 때문에 높은 정공농도를 갖기에 어려움이 있다고 알려져 있다. 따라서, 대부분의 연구 그룹에서는 GaN계 LED 소자를 성장 후 rapid thermal annealing 공정이 요구되고 있고, 최근에는 박막 성장 후 반응로 내에서 자체적으로 열처리를 진행하고 있는 실정이다. 하지만, 열처리 조건은 LED 소자의 발광특성에 큰 영향을 주기 때문에 본 연구에서는 반응로에서 열처리가 된 LED 샘플에 대해 추가적인 열처리 공정의 유무에 따른 GaN계 LED소자의 광학적 및 전기적 특성에 대해 알아보고자 하였다. 금속유기화학증착법을 이용하여 c-면 사파이어 기판에 저온 GaN 완충층 및$2.0{\mu}m$ 두께의 GaN 박막을 성장한 후,$3.0{\mu}m$ 두께의 n-형 GaN에피층과 InGaN/GaN 5주기의 양자우물구조를 형성하고$0.1{\mu}m$ 두께의 p형 GaN층을 성장하였다. P-형 GaN층 성장 후 온도를 내리면서$750^{\circ}C$ , N2 분위기에서 5분간 Mg 활성화를 위한 열처리를 반응로에서 in-situ로 진행하였다. 그 후 급속열처리 장비에 장입하여$650^{\circ}C$ , N2 분위기에서 5분간 추가적인 열처리를 진행하여 추가 열처리 유무에 따른 LED소자의 특성을 분석하였다. 추가적인 열처리 유무에 따른 LED소자의 레이저 여기에 의한 포토루미네선스 스펙트럼과 전계발광 스펙트럼을 조사한 바, 포토루미네선스 스펙트럼의 경우 추가적인 열처리를 진행하였을 경우, 이전보다 발광 세기가 감소함을 나타내었다. 이는 추가적인 열처리에 의해 InGaN/GaN 활성층이 손상되었기 때문이라고 추측된다. 그러나 전계발광 스펙트럼에서는 활성층이 손상되었음에도 불구하고 전계 발광세기가 3배 가량 증가한 것을 확인할 수 있었다. 또한, 20 mA 인가 시 4.2 V 에서 3.7 V로 전압이 감소하였다. 상기 결과로 미루어 볼 때 열처리에 의한 InGaN/GaN 활성층 손상에도 불구하고 광 세기가 크게 증가한 것은 금속유기화학증착장치의 in-situ 열처리에 의한 Mg가 충분히 활성화되지 못하였고, 추가적인 열처리에 의하여 p형 GaN에서 Mg-H 복합체의 분리로 인한 Mg 활성화가 더욱더 효과적으로 이루어졌기 때문이라고 추측된다.산화아연은 넓은 밴드갭과 큰 엑시톤 에너지를 갖고 있어 광전자반도체 물질로 산화인듐주석의 대체물질로 유망하다. 그러나, 산화아연 박막 및 나노막대는 대부분 c-축 방향으로의 성장이 보고되고 있다. 하지만, c-축으로 성장하는 극성 산화아연은 자발분극과 압전분극을 갖으며 이는 quantum confinement Stark effect (QCSE)를 발생시킨다. 그러므로, 반극성과 무극성 산화아연의 연구가 활발히 진행 되고 있다. 더욱이, 산화아연 나노구조체는 넓은 표면적, 높은 용해도, 광범위한 적용분야 등의 이점으로 많은 연구가 이뤄지고 있다. 본 연구에서는 m-면 사파이어 기판 위에 원자층 증착법을 이용하여 비극성 산화아연의 박막을 형성 후 전기화학증착법을 이용하여 반극성 산화아연 막대를 성장하고 이에 대한 성장 메커니즘을 분석하였다. 반극성 (10-11) 산화아연 나노구조체를 성장하기 위하여 두 단계 공정을 이용하였다. 먼저 원자층 증착법을 이용하여 m-면 사파이어 기판 위에 60 nm의 산화아연 씨앗층을$195^{\circ}C$ 에서 성장 하였다. X-선 회절분석을 통하여 m-면 사파이어 위에 성장한 산화아연 씨앗층이 무극성 (10-10)으로 성장한 것을 확인하였다. 무극성 산화아연 씨앗층 위에 나노구조체를 형성하기 위하여 전기화학 증착법을 이용하여 주 공정이 진행되었다. 전구체로는 질산아연헥사수화물 ($Zn(NO3)2{\cdot}6H2O$ )과 헥사메틸렌테트라민을 ((CH2)6N4)을 사용하였다. 무극성 산화아연 기판을 질산아연헥사수화물과 헥사메틸렌테트라민을 용해한 전해질에 담근 뒤$70^{\circ}C$ 에서 두시간 동안 -1.0V의 정전압을 인가하였다. SEM을 이용한 표면 분석에서 원자층 증착법을 이용해 성장한 무극성 산화아연 씨앗층 위에 산화아연 나노구조체를 성장 시, 한 방향으로 기울어진 반극성 산화아연 나노구조체가 성장하는 것이 관찰되었다. 산화아연 막대의 성장 시간에 따라 XRD를 측정한 결과, 성장 초기에는 매우 약한$31.5^{\circ}$ (100),$34.1^{\circ}$ (002),$36^{\circ}$ (101) 부근의 피크가 관찰되는 반면, 성장 시간이 증가함에 따라 강한$36^{\circ}$ 부근의 피크가 관찰되는 X-선 회절 분석 결과를 얻을 수 있었다. 이는, 성장 초기에는 여러 방향의 나노구조체가 성장하였지만 성장시간이 점차 증가함에 따라 (101) 방향으로 우선 성장되는 것을 확인하였다.Chalcopyrite계 화합물 반도체인$Cu(InGa)Se_2$ (CIGS)는 직접천이형 에너지 밴드갭과 전파장 영역에 대하여 높은 광흡수계수($1{\times}$ [10]^5/cm)를 가지므로 두께$1{\sim}2{\mu}m$ 인 박막형태으로 고효율의 태양전지 제조가 가능하다. 또한, 박막공정의 저가 가능성을 나타내면서 전세계적으로 많은 연구와 관심을 받고 있고, 현재 상용화되어 있는 결정질실리콘 태양전지를 대체할만한 재료로 주목 받고 있다. 일반적으로, CIGS박막형 태양전지 구성은는 유리를 기판으로 하여 5개의 단위 박막인 Mo 후면전극, p형 반도체 CIGS 광흡수층, n형 반도체 CdS 버퍼층, doped-ZnO 상부 투명전극,$MgF_2$ 반사방지막으로 이루어진다. 이들 중에서 태양전지의 에너지 변환효율에 결정적인 영향을 미치는 구성된다. CIGS 광흡수층의 제조는 크게 진공법과 비진공방법으로 나뉜다. 현재까지 보고된 문헌에 따르면 CIGS 박막형 태양전지의 경우에 동시증발법으로 20.3%의 에너지 변환효율을 보였지만,는데, 이는 진공장비 특성상 공정단가가 높고 대면적화가 어렵다는 단점을 가진다. 따라서, 비진공법을 이용하여 광흡수층 제작하는 것이 기술적으로 진보할 여지가 크다고 볼 수 있다. 반면 현재 상용화되어 있는 결정질실리콘 태양전지를 대체할만한 방법으로 주목 받고 있는 비진공을 이용한 저가공정은 최근 15.5%의 에너지 변환효율이 보고 되었다. 비진공법에는 전계를 이용한 증착법 및 스프레이법으로 나뉘며, 이들 광흡수층 재료의 화학적 합성은 III족 원소인 In, Ga의 함량비에 따라 광흡수층의 에너지 밴드갭(1.04~1.5 eV) 조절이 가능하다. 따라서, 본 연구에서는 비진공법에 사용되는 CIGS재료의 화학적 합성조건을 변화시켜 III족 원소의 조성비 조절을 시도하였다. CIGS 분말 시료의 입자 형태와 크기를 FE-SEM을 이용하여 관찰하였고, 화합물의 성분비를 EDX 및 XRD 분석을 통해 Ga 함량에 따른 구조적 차이를 비교해 보았다.실리콘은 광센서, 태양전지, 발광다이오드 등 광소자 응용 분야에서 널리 사용되고 있는 물질이다. 그러나 실리콘의 높은 굴절율(n~3.5)은 표면에서 약 30% 이상의 Fresnel 반사를 발생시켜 소자의 효율을 감소시키는 원인이 된다. 따라서, 반사손실을 줄이기 위해서는 실리콘 표면에 효율적인 무반사 코팅을 필요로 한다. 기존의 단일 혹은 다중 박막을 이용한 무반사 코팅 기술은 물질간 열팽창계수의 불일치, 접착력 문제, 박막 두께 조절 및 적합한 굴절율을 갖는 물질 선택 어려움 등의 단점을 지니고 있다. 최근, 이러한 무반사 코팅 기술의 대안으로 곤충 눈 구조를 모방한 나노크기의 서브파장 격자구조 (subwavelength gratings, SWGs)에 대한 연구가 활발히 이루어지고 있다. 이러한 SWGs 구조는 공기와 반도체 표면 사이에 점진적, 선형적으로 변화하는 유효굴절율을 갖기 때문에, 광대역 파장영역뿐만 아니라 다양한 각도에서 입사하는 빛에 대해서도 효과적으로 Fresnel 표면 반사를 낮출 수 있다. 본 연구에서는 실리콘 기판 표면 위에 효율적인 무반사 특성을 갖는 계층적 SWGs 나노구조를 제작하기 위해, 레이저간섭리소그라피 및 열적응집금속 입자를 이용한 식각 마스크 패터닝 방법과 유도결합플라즈마 식각 공정을 이용하였다. 제작된 무반사 실리콘 SWGs 나노구조의 표면 및 식각 프로파일은 전자주사현미경으로 관찰하였고, 표면 접촉각 측정 장비를 이용하여 샘플 표면의 젖음성을 확인하였다. 제작된 샘플의 광학적 특성을 조사하기 위해 UV-vis-NIR 스펙트로미터와 엘립소미터 측정 시스템들을 이용하였다.The properties of Ni/Au Ohmic contacts formed on nonpolar a-plane GaN grown on r-plane sapphire substrate with different tilt angles are investigated using current-voltage (I-V) measurements. To investigate the effects of pattern direction and size on Ohmic contact properties of a-plane GaN, transmission line method (TLM) patterns are formed either along c-axis and m-axis on nonpolar GaN surface with different size. I-V measurement results show that the size of TLM pattern and formation direction of electrode have an effect on the electrical properties of a-plane GaN. The large sized patterns show the relatively lower sheet resistance compared to the small sized patterns. In addition, the sheet resistance of a-plane GaN along m-axis shows lower values than that along the c-axis. Finally, the effects of miscut angle of r-sapphire substrate ($0.2^{\circ}$ , 0.4oand$0.6^{\circ}$ ) on electrical properties of a-plane GaN will be discussed.To improve the optical and electrical properties of commercialized GaN-based light-emitting diodes (LEDs), many methods are suggested. In recent years, great efforts have been made to improve the internal quantum efficiency and light extraction efficiency (LEE) and promising approaches are suggested using a patterned sapphire substrate (PSS), V-pit embedded LED structures, and silica nanostructures. In this study, we report on the enhancement of photoluminescence (PL) intensity in GaN-based LED structures by using the combination of SiO2 (silica) nanospheres and polystyrene/SiO2 core-shell nanospheres. The SiO2 nanospheres-coated LED structure shows the slightly increased PL intensity. Moreover the polystyrene/SiO2 core-shell nanospheres-coated structure shows the more increase of PL intensity comparing to that of only SiO2 spheres-coated structure and the conventional structure without coating of nanospheres. The Finite-difference time-domain (FDTD) simulation results show corresponding result with experimentally observed results. The mechanism of enhancement of PL intensity using the coating of polystyrene/SiO2 core-shell nanospheres on LED surface can be explained by the improvement in extraction efficiency by both increasing the probability of light escape by reducing Fresnel reflection and by multiple scattering within the core-shell nanospheres.The use of cellulose papers has recently attracted much attention in various device applications owing to their natural advantageous properties of earth's abundance, bio-friendly, large-scale production, and flexibility. Conventional metal oxides with novel structures of nanorods, nanospindles, nanowires and nanobelts are being developed for emerging electronic and chemical sensing applications. In this work, both ZnO (n-type) nanorod arrays (NRAs) and CuO (p-type) nanospindles (NSs) were synthesized on cellulose papers and the p-n junction property was investigated using the electrode of indium tin oxide coated polyethylene terephthalate film. To synthesize ZnO and CuO nanostructures on cellulose paper, a simple and facile hydrothermal method was utilized. First, the CuO NSs were synthesized on cellulose paper by a simple soaking process, yielding the well adhered CuO NSs on cellulose paper. After that, the ZnO NRAs were grown on CuO NSs/cellulose paper via a facile hydrothermal route. The as-grown ZnO/CuO NSs on cellulose paper exhibited good crystalline and optical properties. The fabricated p-n junction device showed the I-V characteristics with a rectifying behaviour.Pt-functionalized ZnS nanowires were synthesized on Au-deposited c-plane sapphire substrates by thermal evaporation of ZnS powders followed by wet Pt coating and annealing. The$NO_2$ gas sensing properties of multiple-networked Pt-functionalized ZnS nanowire sensors were examined. Scanning electron microscopy showed the nanowires with diameters of 20-80 nm. Transmission electron microscopy and X-ray diffraction showed that the nanowires were wurtzite-structured ZnS single crystals. The Pt-functionalized ZnS nanowire sensors showed enhanced sensing performance to$NO_2$ gas at$150^{\circ}C$ compared to pristine ZnS nanowire sensors. Pristine and Pt-functionalized ZnS nanowire sensors showed responses of 140-211% and 207-488%, respectively, to 1-5 ppm$NO_2$ , which are better than or comparable to those of many oxide semiconductor sensors. In addition, the underlying mechanism of the enhancement of the sensing properties of ZnS nanowires by Pt functionalization is discussed.Metal nano-crystals have been received much attentions owing to their excellent catalytic property and surface plasmon effect. In the last decade, many studies on synthesizing well-dispersive nanoparticles and on understanding their distinct physical properties have been performed. There were tremendous reports revealing the electrochemical activities and enhancement of surface plasmonic effect were dependent mainly on the size, shape, and composition. So far, most fabrication methods have been based on vacuum based deposition techniques, such as chemical vapor deposition and electron-beam evaporation, and then annealed them to transform into the nanoparticles. Recently, there were several reports regarding to the photoinduced nano-crystal synthesis as an effective way to produce the metal nanoparticles. In this study, we report synchrotron x-ray mediated synthesis of Au nanoparticles on ZnO nanowires. ZnO nanowires were fabricated by hydrothermal method, and then they were dip into a solution having Au clusters. Detailed structural evolution of Au nanoparticles was investigated using scanning electron microscopy and photoluminescence measurements. The results on formation of well-dispersive Au nanoparticles on ZnO nanowires will be presented.The high contact resistance is still one of the major issues to be resolved in CdS/CdTe thin film solar cells. CdTe/Metal Schottky contact induced a high contact resistance in CdS/CdTe solar cells. It has been reported that the work function of CdTe thin film is more than 5.7 eV. There has not been a suitable back contact metal, because CdTe thin film has a high work function. In a few decades, some buffer layer was reported to improve a back contact problem. Buffer layers which are Te,$Sb_2Te_3$ ,$Cu_2Te$ , ZnTe:Cu and so on was inserted between CdTe and metal electrode. A formed buffer layers made a tunnel junction. Hole carriers which was excited in CdTe film by light absorption was transported from CdTe to back metal electrode. In this report, we reported the variation of solar cell performance with different buffer layer at the back contact of CdTe thin film solar cell.Kim, Ho-Seong;Ryu, Geun-Hwan;Yang, Hyeon-Deok;Park, Min-Su;Kim, Sang-Hyeok;Song, Jin-Dong;Choe, Won-Jun;Park, Jeong-Ho 319.1
We present the results on the indium tin oxide (ITO) Schottky barrier solar cells (SBSCs) with InAs quantum dots (QDs). The dependence of external quantum efficiency on the external bias voltage has been studied to anlayze carrier extraction through tunneling at room temperature.휴대기기 발전과 사용 증가로 인해 배터리의 고용량화와 소형화가 요구되고 있으며, 특히 의료용 센서 기기 같은 health care device에서 소형화에 대한 관심이 증가하였다. 박막 이차 전지는 박막형태로 배터리의 구성요소를 한층씩 쌓아 올린 형태이므로 소형화가 가능하며, 내부에 액체전해질이 없어 누액으로 인한 폭발등의 염려가 없다. 또한 Si 반도체 소자에 integration 할 수 있어 다양한 분야에 적용할 수 있다. 하지만 Si 소자에 integration시 리튬이 기판으로 확산되어 배터리 용량이 감소하거나 Si 소자에 악영향을 미칠 수 있다. 따라서 본 연구에서는 리튬의 확산 여부를 민감하게 평가할 수 있는 방법 및 리튬 확산을 억제할 수 있는 확산방지막에 대한 연구를 진행하였다. 리튬의 확산을 평가하는 방법으로는 물리적 분석 방법 및 전기적 분석 방법을 평가하여 가장 민감한 방법을 선정하였다. 또한 확산방지막으로는 반도체 배선공정에서 Cu 확산 방지막으로 사용되고 있는 Ta, TaN 등과 함께 Na 확산 방지막으로 알려진$Al_2O_3$ [1]등을 평가하였다.Many research groups have studied tandem or multi-junction cells to overcome this low efficiency and degradation. In multi-junction cells, band-gap engineering of each absorb layer is needed to absorb the light at various wavelengths efficiently. Various absorption layers can be formed using multi-junctions, such as hydrogenated amorphous silicon carbide (a-SiC:H), amorphous silicon germanium (a-SiGe:H) and microcrystalline silicon (${\mu}c$ -Si:H), etc. Among them,${\mu}c$ -Si:H is the bottom absorber material because it has a low band-gap and does not exhibit light-induced degradation like amorphous silicon. Nevertheless,${\mu}c$ -Si:H requires a much thicker material (>2 mm) to absorb sufficient light due to its smaller light absorption coefficient, highlighting the need for a high growth rate for productivity.${\mu}c$ -SiGe:H has a much higher absorption coefficient than${\mu}c$ -Si:H at the low energy wavelength, meaning that the thickness of the absorption layer can be decreased to less than half that of${\mu}c$ -Si:H.${\mu}c$ -SiGe:H films were prepared using 40 MHz very high frequency PECVD method at 1 Torr. SiH4 and GeH4 were used as a reactive gas and H2 was used as a dilution gas. In this study, the${\mu}c$ -SiGe:H layer for triple solar cells applications was performed to optimize the film properties.Gang, Chang-Mo;Nam, Seung-Yong;Gong, Deuk-Jo;Choe, Sang-Bae;Seong, Won-Seok;Lee, Dong-Seon 322.2
Lighting emitting diodes of n-ZnO/MQW/p-GaN structure are fabricated and investigated. To realize this LED structure, n-ZnO/MQW/p-GaN are grown by MOCVD. At several bias voltages, blue-green light is emitted from the ZnO mesa edge. However, the emission is restricted near the mesa edge. It is seen that the hole current does not spread well. It is because conductivity of p-GaN is extremely small. The break down voltage of the device is small compared to conventional InGaN/GaN LEDs. It is seen that ZnO columnar grain boundaries act as leakage current paths and non-radiative recombination center.Nitrides-on-silicon structures are considered to be an excellent candidate for unique design architectures and creating devices for high-power applications. Therefore, a lot of effort has been concentrating on growing high-quality III-nitrides on Si substrates, mostly Si(111) and Si(001) substrates. However, there are several fundamental problems in the growth of nitride compound semiconductors on silicon. First, the large difference in lattice constants and thermal expansion coefficients will lead to misfit dislocation and stress in the epitaxial films. Second, the growth of polar compounds on a non-polar substrate can lead to antiphase domains or other defective structures. Even though the lattice mismatches are reached to 16.9 % to GaN and 19 % to AlN and a number of dislocations are originated, Si(111) has been selected as the substrate for the epitaxial growth of nitrides because it is always favored due to its three-fold symmetry at the surface, which gives a good rotational matching for the six-fold symmetry of the wurtzite structure of nitrides. Also, Si(001) has been used for the growth of nitrides due to a possible integration of nitride devices with silicon technology despite a four-fold symmetry and a surface reconstruction. Moreover, Si(110), one of surface orientations used in the silicon technology, begins to attract attention as a substrate for the epitaxial growth of nitrides due to an interesting interface structure. In this system, the close lattice match along the [-1100]AlN/[001]Si direction promotes the faster growth along a particular crystal orientation. However, there are insufficient until now on the studies for the growth of nitride compound semiconductors on Si(110) substrate from a microstructural point of view. In this work, the microstructural properties of nitride thin layers grown on Si(110) have been characterized using various TEM techniques. The main purpose of this study was to understand the atomic structure and the strain behavior of III-nitrides grown on Si(110) substrate by molecular beam epitaxy (MBE). Insight gained at the microscopic level regarding how thin layer grows at the interface is essential for the growth of high quality thin films for various applications.CIGS박막 태양전지의 온도에 의한 효율과 전기적 특성 변화를 알아보기 위해$25^{\circ}C$ ,$50^{\circ}C$ ,$100^{\circ}C$ ,$150^{\circ}C$ ,$200^{\circ}C$ 에서 각각 100시간을 노출시킨 후 전기적인 특성들을 측정하여 초기 값들과 비교하였다. 태양전지의 온도 스트레스에 의한 특성 및 파라미터들의 변화들을 확인하기 위해 Light I-V와 Minority Carrier의 Lifetime을 측정하여 비교 분석하였다. 실험에 사용한 소자의 초기 파라미터들은$25^{\circ}C$ 에서 측정하였고, 단락전류 11mA, 개방전압 0.64V, 곡선인자 60.49%, Lifetime 10.7s 효율 9.17%이다. 각 온도별 노출에 대해 CIGS박막 태양전지의 효율은$50^{\circ}C$ ,$100^{\circ}C$ 에서는 초기 값과 비슷하였고,$150^{\circ}C$ ,$200^{\circ}C$ 에서 초기 값 대비 54%, 84% 감소 특성을 보였다. 단락전류는$50^{\circ}C$ ,$100^{\circ}C$ ,$150^{\circ}C$ 에서는 크게 변화하는 모습이 나타나지 않았고$200^{\circ}C$ 에서 63% 감소하였다. 개방전압, 곡선인자, Lifetime은 효율과 마찬가지로$150^{\circ}C$ ,$200^{\circ}C$ 에서 감소하는 모습이 나타났다.$150^{\circ}C$ ,$200^{\circ}C$ 에서 개방전압이 9.3%, 18.7%, 곡선인자는 45.8%, 56.3%정도 감소하였다. Lifetime은 64.4%, 80.1%정도 감소하였다. 이 실험을 통해 개방전압과 곡선인자, Minority Carrier의 Lifetime이 일정 온도부터 온도의 영향을 받아 감소하고, 그 영향으로 효율이 감소하게 되는 것을 확인하였다.MOSFET degradation의 대부분은 hot-carrier injection에 의한 interface state (Dit)의 생성에서 비롯되며 따라서 본 연구에서는 신뢰성에 대한 한 가지 방법으로 Charge pumping method를 이용하여 MOSFET의 interface trap(Dit)의 변화를 측정하였다. 소스와 드레인을 ground로 묶고 게이트에 펄스를 인가한 후 Icp를 측정하여 Dit를 추출하였다. 온도를 293~343 K까지 5 K씩 가변했을 때 293K의 Icp(${\mu}A$ )는 0.12 nA 313 K는 0.112 nA 343 K는 0.926 nA이며 Dit (cm-1/eV-1)는$1.61{\times}10^{12}$ (Cm-2/eV-1)$1.49{\times}10^{12}$ (Cm-2/eV-1)$1.23{\times}10^{12}$ (Cm-2/eV-1)이다. 측정결과 Dit는 Icp가 높은 지점에서 추출되며 온도가 높아지게 되면 Icp전류가 낮아지고 Dit가 줄어드는 것을 볼 수 있다. 온도가 올라가게 되면 carrier들이 trap 준위에서 conduction band 위쪽에 이동하게 되어서 interface에 trap되는 양이 작아지게 된다. 그래서 이때 Icp를 이용해 추출한 Dit 는 실제로 trap의 양이 줄어든 것이 아니라 Thermal excess 현상으로 인해 측정되는 Icp의 양이 줄어든 것으로 분석할 수 있다.Semiconductor nanowires (NWs) have attracted research interests due to the distinct physical properties that can lead to variousoptical and electrical applications. In this paper, we have grown InAs NWs viagold (Au)-assisted vapor-liquid-solid (VLS) and catalyst-free vapor-solid (VS) mechanisms and investigated on the p-type doping profile of the NWs. Metal-organic chemical vapor deposition (MOCVD) is used for the growth of the NWs. Trimethylindium (TMIn) and arsine (AsH3) were used for the precursor and diethyl zinc (DEZn) was used for the p-type doping source of the NWs. The effectiveness of p-type doping was confirmed by electrical measurement, showing an increase of the electron density with the DEZn flow. The structural properties of the InAs NWs were examined using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). In addition, we characterize atomic distribution of InAs NWs using energy-dispersive X-ray spectroscopy (EDX) analysis.In this paper, we report electrical, optical and structural properties of Al-doped zinc oxide (AZO) thin films deposited at different substrate temperatures and pressures. The films were prepared by radio frequency (RF) magnetron sputtering on glass substrates in argon (Ar) ambient. The X-ray diffraction analysis showed that the AZO films deposited at room temperature (RT) and 20 Pa were mostly oriented along a-axis with preferred orientation along (100) direction. There was an improvement in resistivity ($3.7{\times}10^{-3}{\Omega}-cm$ ) transmittance (95%) at constant substrate temperature (RT) and working pressure (20 Pa) using the Hall-effect measurement system and UV-vis spectroscopy, respectively. Our results have promising applications in low-cost transparent electronics, such as the thin-film solar cells and thin-film transistors due to favourable deposition conditions. Furthermore our film deposition method offers a procedure for preparing highly oriented (100) AZO films.지난 수년간 태양전지의 광전변환효율을 높이기 위해 자가 조립된 InAs 또는 GaSb와 같은 양자점을 GaAs 단일 p-n 접합에 적용하는 연구를 개발해 왔다. 그러나 양자점의 흡수 단면적에 의한 광 흡수도는 양자점층을 수십 층을 쌓으면 증가하지만 활성층에 결함을 생성시킨다. 생성된 결함은 운반자트랩으로 작용하여 태양전지의 광전변환효율을 감소시킨다. 본 실험에서는 양자점이 적용된 태양전지와 적용되지 않은 태양전지의 광전변환 효율을 비교하고, 깊은준위 과도용량 분광법을 이용하여 결함상태를 측정 및 비교함으로써, 활성층 내부에 생성된 결함이 광전변환 효율에 미치는 영향을 분석하였다. 소자구조는 분자선 증착 방법을 이용하여, 먼저 n+-형 GaAs기판위에 n+-형 GaAs를 250 nm 증착한 후, 도핑이 되지 않은 GaAs활성층을$1{\mu}m$ 두께로 증착하였다. 마지막으로 n+ 와 p+-형 GaAs를 각각 50, 750 nm 증착함으로써 p-i-n구조를형성하였다. 여기서, n+-형 GaAs 과 p+-형 GaAs의 도핑농도는 동일하게$5{\times}1018cm-3$ 로 하였다. 또한 양자점을 태양전지 활성층에 20층을 형성하였다. 이때 p-i-n 태양전지 와 양자점 태양전지의 광전변환 효율은 각각 5.54, 4.22 % 를 나타내었다. p-i-n 태양전지의 개방 전압과 단락전류는 847 mV, 8,81 mA이며 양자점 태양전지는 847 mV, 6.62mA로 확인되었다. 태양전지의 전기적 특성을 측정하기 위해 소자구조 위에 Au(300nm)/Pt(30nm)/Ti(30nm)의 전극을 전자빔증착장치로 증착하였으며, 메사에칭으로 직경$300{\mu}m$ 의 태양전지 구조를 제작하였다. 정전용량-전압 특성 및 깊은준위 과도용량 분광법을 이용하여 태양전지의 결함분석 및 이에 따른 광전변환 특성인자와의 상관관계를 논의할 것이다.개인용 노트북, 태블릿 PC, 핸드폰 기술 발전에 의해 언제 어디서나 데이터를 작성하고 기록하는 일들이 가능해졌다. 특히 cloud 시스템을 이용하여 데이터를 휴대기기에 직접 저장하지 않고 server에 기록하는 일들이 가능해짐에 따라 server 기기의 성능, server-room power 및 space 에 대한 관심이 증가하였다. Storage class memory (SCM) 이란 memory device와 storage device의 장점을 결합한 memory를 일컫는 기술로 현재 소형 디바이스 부분부터 점차 그 영역을 넓히고 있다. 그중 phase change material을 이용한 phase change memory (PCM) 기술이 가장 각광받고 있다. PCM의 경우 scaling됨에 의해 cell간의 열 간섭으로 인한 data 손실의 우려가 있어 cell의 면적을 최소화 하여 소자를 제작하여야 한다. 기존의 sputtering등의 PVD 방법으로는 한계가 있어 ALD 공정을 이용한 PCM에 대한 연구가 활발히 진행중이다. 특히 tellurium 원료기체로 silyl 화합물 [1]을 사용하여 주로$Ge_2Sb_2Te_5$ 의 조성에 초점을 맞춰 진행되고 있으나, 세부 공정에 대한 기본적인 연구는 미비하다. 본 연구에서는 Ge-Sb-Te 3원계 박막을 형성하기 위한 Sb-Te 화합물의 증착 공정에 대한 연구를 수행하였다. 특히 원료기체로 Si이 없는 새로운 Te 원료기체를 이용하여 조성 조절을 하였고, 박막의 물성을 분석하였다. 또한 공정온도에 따른 박막의 물성 변화를 분석하였다.III-V족 화합물반도체는 트랜지스터와 광다이오드, 레이저 등의 광전소자 제작물질로 오랫동안 사용 되어 왔다. III-V 화합물 반도체로 제작된 광전소자의 특성을 향상시키기 위해선 다양한 형태의 표면구조가 필요하며, distributed feedback 레이저나 distributed Bragg reflector 레이저의 경우 높은 aspect-ratio를 가지는 구조를 필요로 한다. 현재까지 높은 aspect-ratio를 가지는 III-V족 화합물반도체 구조제작을 위해 reactive ion etching (RIE) 방식을 사용 하였는데, 이 방법은 ion collision에 의한 표면손상과 더불어 에칭 잔여물이 남아 반도체 표면을 오염시키는 문제점을 가지고 있다. 이를 개선하기 위하여 MaCE (Metal-Assited chemical etching)법이 최근 제안되었는데, 본 연구에서는 MaCE 방법을 통하여 다양한 형태의 GaAs 표면구조를 제작하였다. 본 실험을 통하여 에칭용액 조건에 따라 GaAs의 구조적 특성과 morphology가 달라지는 것을 확인하였다.Kim, Jeong-Ho;Im, Ju-Yeong;Im, Jeong-Un;Han, Su-Uk;Park, Jang-Ho;Sin, Seung-Hak;Kim, Jong-Seop;Kim, Yun-Hyeon;Im, Yeong-Eun;Park, Jong-Bok 332.1
정보통신 기술의 발전으로 지능형 자동차와 같은 미래형 고부가 가치 자동차 산업은 지속적인 성장이 기대된다. 그리고, 안전과 직결되는 차간 거리 계측은 다양한 종류의 센서에 의해 측정이 되고 있으며, 운전자 및 탑승자의 생명을 보호하고 있다. 다양한 차간 거리센서 중에서도 전방의 물체 인식 및 넓은 영역, 장거리에 대한 센싱은 레이저를 이용하여 구현할 수 있다. 본 논문은 자동차 뿐만 아니라, 지능형 자율 주행시 전방의 물체 인식 및 거리 계측 센서로 적용이 가능한 반도체 레이저의 설계 및 제작에 관해 소개한다. 반도체 레이저는 물질에 따라 각각 다른 파장대역의 광을 조사하고 있으며 이 레이저 빔은 물체에 맞고 부딪히면 반사되어 되돌아 오는 특성을 가지고 있다. 따라서, 펄스 구동에 의해 단위 펄스당 출사되는 레이저는 전방 물체에 부딪혀 되돌아 오는 시간을 구하게 되면 레이저 광원에서 물체까지의 거리를 구할 수가 있게 된다. 여기서 펄스 레이저의 출력은 물체 감지가 가능한 거리의 정보를 가지고 있으며, 펄스로 구동될 때 반복 주파수 및 펄스 폭은 각각 거리계측 시간과 분해능을 결정하는 주요 요소가 된다. 따라서, 장거리 물체의 계측과 물체 식별 능력을 높이기 위해서는 반도체 레이저의 출력을 높이고 펄스폭을 줄여서 분해능을 향상하는 것이 필요하다. 또한, 물체 인식 또는 계측 시간을 빠르게 하기 위해서는 고속 주파수로 동작하게 되면 가능해 질 것이다. 본 논문은 1,550 nm 대역의 반도체 레이저를 제작하여 펄스 구동으로 출력과 펄스폭을 측정하였다. 또한, 보다 높은 전류에서 칩 단면의 열화를 방지하기 위한 기술을 적용하여 설계 및 제작된 레이저의 특성을 측정하여 향후, 지능형 자동차의 레이저 레이다(LIDAR)와 같은 응용분야에 많이 활용될 수 있을 것으로 기대한다.OLED 소자가 소형화됨에 따라 Flexible display를 넘어 Foldable display를 연구 중이며 동시에 신뢰성 및 수명이 중요시 되고 있다. 따라서 본 연구에서는 신뢰성 및 수명 평가에 대한 한 가지 방법으로 Bending test를 이용하여 소자의 Resistivity 변화를 측정하여 소자의 신뢰성을 확인 하여 보았다. Flexible substrate위에 Ag와 Al을 Cross bridge structure로 각각 증착한 후 bending 시간에 따른 Sheet resistance (Rsh)와 Resistivity (비저항)을 분석 하였다. 100시간 동안의 bending test결과 Ag전극의 Rsh는$0.104{\Omega}$ 에서$0.098{\Omega}$ 으로 5.67% 감소하였고 비저항은 5.70% 감소하였다. Al전극의 Rsh는$0.091{\Omega}$ 에서$0.063{\Omega}$ 으로 30.4% 감소하였고 비저항은 30.3% 감소하였다. Foldable에서는 저항 변화가 크게 되면 접히는 부분의 흐르는 전류가 많아지게 되어 소자의 저하를 발생시킨다. 저항변화가 거의 없다는 것은 물질의 안정성이 좋다고 할 수 있다. 실험 결과 Ag의 저항 변화가 Al보다 작으므로 Ag가 Flexible 관련 물질로 더 유용하다는 것을 확인 할 수 있다.이번 연구는 비정질 인듐-갈륨-아연-산화막(IGZO)을 이용한 박막트랜지스터(TFT)의 열처리 분위기에 따른 전기적 특성을 비교하는 것이다. IGZO의 열처리 시 널리 용하는 Air 분위기 뿐만 아니라, 순수한 N2 및 O2 분위기에서 전기적 특성(Ion/Ioff, S.S 기울기 및 V등)이 어떻게 변하는지를 1차적으로 비교 분석하며, 추후 심화 단계로 gate bias stress가 TFT에 미치는 영향을 확인하였다. 우선 열처리 분위기에 따른 특성을 확인하였다. N2분위기의 경우 다른 분위기와 아주 조금의 차이는 있으나 열처리를 하지 않은 경우를 제외한 나머지는 전체적으로 유사하였다. 좀 더 자세히 보면 두번째의 경우 Forward와 Reverse의 경우 전체적으로 모두 유사해 보였고, 특히 N2분위기의 경우 가장 안정적임을 알 수 있었다. 또 Stress Time에 따른 V의 변화량을 측정하였는데 역시 열처리를 하지 않은 경우에는 시간이 지날수록 변화가 크게 나타나 안정성에 문제가 있었다. 하지만 Air, N2, O2분위기에서는 약간의 미세한 차이는 있으나 전체적으로 유사하였다. 마지막으로 IGZO의 특성상 저온열처리를 하는 경우가 많은데 이러한 경우에는 열처리 시간에 따라 Stress Time의 변화에 따른 V차이를 확인하였다. 실험 결과 열처리 시간이 길어질수록 Stress Time에 따른 V의 변화가 작게 나타났다. 이를 통해 저온의 경우 약 5~8시간의 열처리를 한 경우가 안정적이라는 결론을 얻을 수 있었다.RF 스퍼터링 방법을 이용하여 제작된 IGZO 박막 트랜지스터 및 단막을 제조하여 UV처리 유무에 따른 전기적 특성을 평가하였다. IGZO 박막 트랜지스터는 Bottom gate 구조로 제조되었으며 UV처리 이후 전계효과 이동도, 문턱전압 이하 기울기 값등 모든 전기적 특성이 개선된 것을 확인 하였다. 이후 UV처리에 따른 소자의 전기적 특성 개선에 대한 원인을 분석하기위해 물리적, 전기적, 광학적 분석을 실시하였다. XRD분석을 통해 UV처리 유무에 따른 IGZO박막의 물리적 구조 변화를 관찰했지만 IGZO박막은 UV처리 유무에 상관없이 물리적 구조를 갖지 않는 비정질 상태를 보였다. IGZO 박막 트랜지스터의 문턱전압 이하의 기울기 값과을 통하여 반도체 내부에 존재하는 결함의 양을 계산한 결과 UV를 조사하였을 때 결함의 양이 감소하는 결과를 얻었으며 이 결과는 SE를 통해 밴드갭 이하 결함부분을 측정하였을 때와 같은 결과였다. 또한 UV처리 전에는 shallow level defect, deep level defect등의 넓은 준위에서 결함이 발견된 반면 UV처리 이후에는 deep level defect준위는 없어지고 shallow level defect준위 역시 급격하게 감소한 것을 볼 수 있었다. 결과적으로 IGZO 박막의 경우 UV처리를 함에 따라 결함의 양이 감소하여 IGZO박막 트랜지스터의 전계 효과 이동도를 증가 시킬 뿐 아니라 문턱전압 이하 기울기 값을 감소시키는 원인으로 작용하게 된다는 결과를 도출하였다.태양전지, 터치센서와 같이 투명한 전극(TCO: Transparent conducting oxide)이 필요로 하는 곳에는 금속 산화물 형태의 ITO, ZnO, FTO와 같은 투명 전극이 사용된다. 그중에서 FTO는 저렴한 가격과 높은 투과율, 낮은 저항으로 주목을 받고 있다. 뿐만아니라 FTO 박막은 다른 산화물 전도체에 비해 구부림에 강한 저항성을 보여 주고 있다. FTO 박막의 캐리어 전하 생성 원리는 F 원자가 O 원자의 자리를 치환하게 되면서 잉여 전자의 발생으로 전기가 흐를 수 있다. 아직까지는 화학적 조성비에 유리한 CVD를 이용한 증착 방법이 많이 사용되고 있다. 스퍼터 장비 역시 공정 가스에 따라 화학적 조성비 변화가 가능하고 CVD와 비교하여 공정이 간단하며 연속 공정이 쉽고 대면적 적용이 가능하다. 본 실험은 본사에서 R&D용으로 제작한 Daon-1000 S 장비를 사용하였으며 DaON-1000 S는 3개의 2" sputter gun이 장착 되어 있어 co-sputtering이 가능한 장비이다.본 연구에서는 a-InGaZnO (IGZO) 활성층에 대기분위기에서 열처리 온도를 각각$150^{\circ}C$ ,$250^{\circ}C$ ,$350^{\circ}C$ 실시하여 전자구조와 광학적 특성분석 및 화학적 결합 상태의 변화를 알아보고, 이러한 물성 변화에 따른 소자의 특성을 알아 보았다. 박막 트랜지스터 소자의 전기적 특성은, IGZO 박막에 후 열처리 공정온도 후 제작한 박막 트랜지스터는$150^{\circ}C$ 에서 3.1 cm2/Vs의 전계 효과 이동도와 0.38 V/decade의 문턱전압 이하 기울기를 보였으나,$350^{\circ}C$ 에서는 8.8 cm2/Vs의 전계 효과 이동도와 0.20 V/decade의 문턱전압 이하 기울기로 더 향상된 박막 트랜지스터의 전기적 특성 결과를 관측하였다. 전기적 소자 특성의 변화와 활성층 IGZO 박막 특성 변화와의 상관관계를 조사하기 위하여 X-ray Absorption Spectroscopy (XAS)과 Spectroscopy Ellipsometry (SE)로 측정된 흡수 스펙트럼을 통하여 3 eV 이상의 광학적 밴드 갭은 기존에 보고 되었던 a-IGZO와 유사한 특성을 보이고 있음을 확인하였고, 이러한 측정, 분석법들을 통해 후 열처리 공정 온도에 따른 밴드 갭 부근의 결함준위의 양 변화와 가전자대의 전자구조의 변화에 따라 전기적 특성이 달라짐을 확인 할 수 있었다. 또한, X-ray Photoemission Spectroscopy (XPS)를 통해 측정한 O-1s를 통해 Oxygen deficient state와 밴드 갭 부근의 결함준위와의 상관관계를 도출해낼 수 있었다. 이는 a-IGZO 활성층에 후 열처리 공정 온도 변화에 따라서 전자구조의 혼성변화와 밴드 갭 부근의 결함준위의 양의 변화, 에너지 준위의 변화 및 이와 연관된 화학적 상태 변화가 박막 트랜지스터의 특성 변화를 예상할 수 있다는 결과를 도출하였다.Kim, Cheol-Hwan;Lee, Sang-Jin;Baek, Jong-Hyeon;Jo, Seong-Geun;Ham, Dong-Seok;Choe, U-Jin;Kim, Gwang-Je;Lee, Jae-Heung 336.1
대표적인 TCO 물질인 ITO는 디스플레이 패널이나 태양 전지 등과 같은 소자에 널리 사용되고 있다. 최근에는 대량생산 및 대면적화, 그리고 유연 디스플레이 응용을 위해 롤투롤 스퍼터링(roll to roll sputtering) 공정을 이용하여 플라스틱 기판에 ITO박막을 증착하여 ITO 필름을 제작하고 있다. 롤투롤 방식으로 ITO 필름의 제작 시 공정 변수에 따라 ITO 박막의 전기적 광학적 물성 변화가 매우 크기 때문에, 공정 변수에 따른 ITO 박막의 전기적, 광학적 특성 변화에 대한 연구의 필요성이 매우 높아지고 있다. 따라서 본 연구에서는 롤투롤 스퍼터링 방법으로 PET 기판 위에 다양한 조건으로 ITO 박막을 증착하여 공정변수에 따른 ITO 박막의 물성을 조사 하였다. 이를 위해 ITO (In/Sn=95/5 wt.%) 타겟을 사용하여 DC 파워와 산소 분압비, 열처리 온도 등을 변화시켜 낮은 면저항과 높은 광투과도를 가지는 최적의 ITO 증착 조건을 찾은 후 ITO 박막을 PET 기판 위에 두께 별로 증착 하였다. ITO 박막의 두께와 열처리 온도에 따른 전기적 특성은 면저항 측정기와 홀 측정 장치를 이용하여 분석하였고, 분광광도계와 탁도 측정기를 이용하여 광학적 특성을 관찰하였다. 또한, GIXD를 이용하여 이들 박막의 구조와 결정성에 대한 조사를 수행하였다. 이 결과들로부터 산소 분압비에 따른 ITO/PET 박막의 저항 특성 변화와 ITO 박막의 두께와 열처리 온도에 따른 구조적, 전기적, 광학적 특성을 조사하여, 롤투롤 스퍼터링법에서 공정 조건에 따른 ITO/PET 필름의 물성변화를 보고하고자 한다.Cheon, Eunyoung;Lee, Kyung-Ju;Song, Sang Woo;Kim, Hwan Sun;Cho, Dae Hee;Jang, Ji Hun;Moon, Byung Moo 336.2
Indium Tin Oxide (ITO) thin films are used as the Transparent Conducting Oxide (TCO), such as flat panel display, transparent electrodes, solar cell, touch screen, and various optical devices. ZnO has attracted attention as alternative materials to ITO film due to its resource availability, low cost, and good transmittance at the visible region. Recently, very thin film deposition is important. In order to minimize the damage caused by bending. However, ZnO thin film such as Ga-doped ZnO(GZO) has poor sheet resistance characteristics. To solve this problem, By adding the conductive metal on films can decrease the sheet resistance and increase the mobility of the films. In this study, We analyzed the electrical and optical characteristics of GZO/Ag/GZO (GAG) films by change in Ag and GZO thickness.비정질 산화물 반도체(Amorphous Oxide Smeiconcuctor)를 이용하여 투명 박막 트랜지스터의 채널층으로 많은 연구가 진행되고 있다. 투명 박막 트랜지스터의 채널층으로 사용되고 있는 IGZO박막은 비정질임에도 불구하고 높은 이동도와 넓은 밴드갭을 갖고 있는 것으로 알려져 있다. 본 연구는 RF magnetron sputtering법으로 유리기판 위에 IGZO박막을 증착시켰으며 소결된 타겟으로는 In:Ga:ZnO를 각각 1:1:2mol%의 조성비로 혼합하여 이용하였으며,$30{\times}30mm$ 의 XG Glass 유리기판에 sputtering 방식으로 증착하였다. 박막 증착 조건은 초기압력$3.0{\times}10^{-6}Torr$ , 증착 압력 20mTorr, 반응가스 Ar 25sccm, 공정 변수로는 RF Power 25W, 50W, 75W, 100W 각각 변화를 주어 실험을 진행 하였으며, 증착온도는 실온으로 고정 하였다. 분석 결과로 RF Power 25W 일 때 XRD 분석결과 Bragg's 법칙을 만족하는 피크가 나타나지 않는 비정질 구조임을 확인하였으며, AFM 분석결과 0.5 mm 이하의 Roughness를 가졌다. UV-Visible-NIR 측정 결과 가시광선 영역에서 87%이상의 투과도를 나타냈으며, Hall 측정 결과 Carrier concentration$3.31{\times}10^{19}$ , Mobility$10.9cm^2/V.s$ , Resistivity$1.8{\times}10^{-2}$ , 투명 박막 트랜지스터의 채널층으로 사용 가능함을 확인 할 수 있었다.투명반도체산화물은 우수한 광학적, 전기적 특성을 가지고 있기 때문에 차세대 박막트랜지스터의 채널층으로 각광을 받고 있다. 특히, 그 중에서도 a-IGZO를 이용한 TFT는 높은 가시광선 투과율(>80%)과 큰 전하이동도(>10 cm2/Vs) 를 갖는 등 좋은 광학적, 전기적 특성을 갖기 때문에 많은 연구가 이루어졌다. 여러 연구들에 의하면, a-IGZO TFT는 소스/드레인의 전극으로 어떤 물질을 사용하는지에 따라서 동작특성에 큰 영향을 미치는 것으로 알려져 있다. 일반적으로, a-IGZO 박막은 n형 반도체로써 일함수가 작은 금속과는 ohmic contact를 형성하고, 일함수가 큰 금속과는 Schottky barrier를 형성한다고 알려져 있다. 이와 관련된 대부분의 이전의 연구들에서는 각각의 전극물질에 따라 전기적인 특성변화에 초점을 맞춰서 연구하였다. 본 연구에서는 일함수가 작은 Ag와 일함수가 큰 Au를 a-IGZO의 박막 위에 얇게 증착하면서 이에 따른 고분해능 광전자분광(high-resolution x-ray photoelectron spectroscopy) 정보의 변화를 분석함으로써, 금속의 증착에 따른 금속층과 a-IGZO 표면 및 계면에서의 화학적 상태의 변화를 연구하였다. Au 4f, Ag 3d는 metallic property를 나타내기 이전까지는 lower binding energy(BE) 쪽으로 shift하였으며, In 3d 또한 lower BE 성분이 크게 증가하였다. O 1s, Ga 3d, Zn 3d들은 상대적으로 적은 변화를 나타내었는데, 이는 Ag, Au가 In과 상대적으로 더 많이 상호작용한다는 것을 의미한다. 본 발표에서는 이들 core level의 정보들과, 가전자대의 분광정보, 그리고 band bending의 정보가 제시될 것이며, 이 정보들은 metal 증착에 따른 contact 특성을 이해하는데 기여할 것으로 기대한다.In this paper we studied the application of inter-poly dielectric as silicon dioxide-like film was deposited by the higher energy assisting deposition (HEAD) process the modified CCP process, which enables low temperature (LT) process and improving film density. In these experiments the relative hydrogen concentration of$SiO_2$ -like films deposited on silicon substrate were analyzed by the secondary ion mass spectroscopy (SIMS) and it was shown that our lower hydrogenated oxide (LHO) film prepared by HEAD process with the precursor contained the siloxane species had lower hydrogen concentration,$8{\times}10{\cdot}^{22}cm{\cdot}^3$ than that of the commercial undoped silicon glass (USG) film ($1{\times}10{\cdot}^{21}cm{\cdot}^3$ ) prepared by the high density plasma-chemical vapor deposition (HDP-CVD). We consider that the LHO film deposited by HEAD process used as high performance material into Flash memory devices.터치패널은 저항막 방식, 정전용량 방식, 적외선방식, Camera방식 등을 사용하고 있으며 현재 널리 상용화 되어 있는 방식은 정전용량 방식이다. 최근 터치스크린의 면적이 점점 커지게 되면서 점차 저저항, 고투과율을 가지는 IM ITO (Index matching ITO)를 요구하고 있다. 본 연구에서는 중대형 사이즈(15inch 이상)의 Cover glass 일체형 터치센서 구현을 위한 저저항(60ohm/sq이하), 고투과(88% 이상)의 IMITO Glass를 제작하여 전기적,광학적 특성을 분석하여 IMITO 성막조건을 최적화시키는 연구를 하였다. 또한 TSP의 Pattern 시인성을 향상시키기 위해 Index matching층을 고굴절재료와 저굴절 재료를 사용한 다층박막을 형성하여 반사율(0.5% 이하)을 최소화시켜 구현하였다.본 실험에서는 Ag두께 변화에 따른 투과율과 Energy bandgap의 변화를 알아보기 위해 RF Sputter장비와 Evaporator장비를 사용하여 IGZO, ZnO, AZO OMO 구조로 Low-e 코팅된 Glass를 제작하였다.$3cm{\times}3cm$ 의 Corning1737 유리기판에 RF Sputtering 방식으로 Oxide layer를 증착 하였고 Evaporator장비로는 Metal layer인 Ag막을 증착하였다. Oxide layer 증착 시 RF Sputter장비의 조건은$3.0{\times}10^{-6}Torr$ 이하로 하였으며, 증착압력은$6.0{\times}10^{-3}Torr$ , 증착온도는 실온으로 고정하였다. Metal layer 증착 시 Evaporator장비의 조건은$5.0{\times}10^{-6}Torr$ 이하, 전압은 0.3 V, Rotate 2 rpm으로 고정하였다. 실험 변수로는 Ag 두께를 5,7,9,11,13 nm로 변화를 주어 실험을 진행하였다. 투과도 측정 장비를 사용하여 각 샘플을 측정한 결과 IGZO의 경우 가시광영역의 평균 투과율이 80% 이상이며 Ag두께가 5nm일 때부터 자외선 영역의 빛을 차단하여 low-e 특성을 나타내었다. 이는 산화물인 IGZO가 결정질인 AZO, ZnO 보다 낮은 표면거칠기를 가지기 때문이다. Ag 두께에 따른 각 물질의 Optical energy bandgap 분석결과 Ag 두께가 증가할수록 IGZO는 4.65~4.5 eV, AZO는 4.6~4.4 eV, ZnO는 4.55~4.45 eV로 Energy bandgap은 감소하였다. AFM장비를 이용하여 각 샘플의 표면 Roughness 측정 결과 Ag 두께가 증가할수록 표면거칠기도 증가하는 경향을 나타내었다.최근 비정질 산화물 반도체는 가시광 영역에서의 투명도와 낮은 공정 온도, 그리고 높은 Field-effect mobility로 인해 Thin film transistors의 Active channel layer의 재료로 각광 받고 있다. ZnO, IZO, IGO, ITGO등의 많은 산화물 반도체들이 TFT의 채널층으로의 적용을 위해 활발히 연구되고 있으며, 특히 비정질 IGZO는 비정질임에도 불구하고 Mobility가$10cm^2/Vs$ 정도로 기존의 a-Si:H 보다 높은 Mobility 특성을 나타내고 있어 대화면 디스플레이와 고속 구동을 위한 LCD에 적용 할 수 있으며 또한 낮은 공정 온도로 인해 플렉서블 디스플레이에 응용될 수 있다는 장점이 있다. 우리는 RF magnetron sputtering법으로 증착한 비정질 IGZO TFT(Thin Film Transistors)의 전기적 특성과 IGZO 박막의 특성에 미치는 RF power의 영향을 연구하였다. 제작한 TFTs의 Active channel layer는 산소분압 1%, Room temperature에서 RF power별(50~150 W)로 Si wafer 기판 위에 30nm로 증착 하였고 100 nm의$SiO_2$ 가 절연체로 사용되었다. 또한 박막 특성을 분석하기 위해 같은 Chamber 분위기에서 100 nm로 IGZO 박막을 증착하였다. 비정질 IGZO 박막의 X-ray reflectivity(XRR)을 분석한 결과 RF Power가 50 W에서 150 W로 증가 할수록 박막의 Roughness는 22.7 (${\AA}$ )에서 6.5 (${\AA}$ )로 감소하고 Density는 5.9 ($g/cm^3$ )에서 6.1 ($g/cm^3$ )까지 증가하는 경향을 보였다. 또한 제작한 IGZO TFTs는 증착 RF Power가 증가함에 따라 Threshold voltage (VTH)가 0.3~4(V)로 증가하는 경향을 나타내고 Filed-effect mobility도 6.2~19 ($cm^2/Vs$ )까지 증가하는 경향을 보인다. 또한 on/off ratio는 모두 >$10^6$ 의 값을 나타내며 subthreshold slope (SS)는 0.3~0.8 (V/decade)의 값을 나타낸다.In the advanced material for the next generation display device, transparent amorphous oxide semiconductors (TAOS) are promising materials as a channel layer in thin film transistor (TFT). The TAOS have many advantages for large-area application compared with hydrogenated amorphous silicon TFT (a-Si:H) and organic semiconductor TFT. For the reasonable characteristics of TAOS, The a-IGZO has the excellent performances such as low temperature fabrication (R.T~), high mobility, visible region transparent, and reasonable on-off ratio. In this study, we investigated how the electric characteristics and physical properties are changed as various oxygen ratio when magnetron sputtering. we analysis a-IGZO film by AFM, EDS and I-V measurement. decreasing the oxygen ratio, the threshold voltage is shifted negatively and mobility is increasing. Through this correlation, we confirm the effect of oxygen ratio. We fabricated the bottom-gate a-IGZO TFTs. The gate insulator, SiO2 film was grown on heavily doped silicon wafer by thermal oxidation method. a-IGZO channel layer was deposited by RF magnetron sputtering. and the annealing condition is$350^{\circ}C$ . Electrode were patterned Al deposition through a shadow mask(160/1000 um).산화물 반도체는 가시광선 영역인 380~780 nm 부근에서의 투과율이 80% 이상이고, 3.2 eV 이상의 band gap과 높은 mobility를 가지는 물질로서 투명한 스마트 창호필름이나 디스플레이에 유망한 물질로 연구되고 있다. 본 연구에서는 스마트 윈도우에 적용되는 높은 가시광 투과율과 적외선 차단을 위한 필름개발을 목적으로 산화물 반도체인$TiO_2$ 물질을 RF Sputter를 이용하여 상온에서 박막성장을 하였다. Glass와 PET 위에 동시에 성장시켜 각각의 기판에 성장된$TiO_2$ 박막의 물리적인 성질 등을 조사하였다. 측정은 Ellipsometry를 이용하여 광학적인 두께와 굴절률을 조사하였고 UV visible spectrometer를 통해 광학적인 투과도를 확인하였다. 100Watt 부터 RF power를 높여가며 Working Pressure 변화변 주었을 때 낮은 RF power와 Working Pressure에서 높은 가시광 투과율을 확인 할 수 있었다.The electrical, optical and structural properties of Ti doped$In_2O_3$ (TIO) ohmic contacts to p-type GaN were investigated using linear facing target sputtering (LFTS) system. Sheet resistance and resistivity of TIO films are decreased with increasing rapid thermal annealing (RTA) temperature. Although the$400^{\circ}C$ and$500^{\circ}C$ annealed samples showed rectifying behavior, the$600^{\circ}C$ and$700^{\circ}C$ annealed samples showed linear I-V characteristics indicative of the formation of an ohmic contact between TIO and p-GaN. The annealing of the contact at$700^{\circ}C$ resulted in the lowest specific contact resistivity of$9.5{\times}10^{-4}{\Omega}cm^2$ . Based on XPS depth profiling and synchrotron X-ray scattering analysis, we suggested a possible mechanism to explain the annealing dependence of the properties of TIO layer on rapid thermal annealing temperature.IZO, ITO, ITO 등의 투명전극들 중 Indium Tin Oxide (ITO) 다른 전극에 비해 높은 광투과도와 낮은 저항으로 인하여 다양한 부분에서 널리 이용되고 있다. 본 연구에서는 우수한 투과도의 멀티 layer 단열 창호를 위한 film 개발을 위해 RF magnetron system을 이용하여 Sodalime Glass와 polyethylene terephthalate (PET) substrate에 ITO를 증착함으로써 전기적 광학적 특성을 조사하였다. 실험은 power 변화와 Ar, O2의 가스 분압비, Working Pressure의 변화를 변수로 두어 진행하였다. 측정은 Ellipsometry를 이용하여 광학적인 두께와 굴절률을 조사하였고 UV visible spectrometer를 통해 광학적인 투과도를 확인하였다. Power는 100 Watt 늘려가며 진행하였고 O2 유량의 변화에 따라 투과도와 면저항, 굴절률 특성이 달라짐을 확인할 수 있었다. O2의 유량에 따라 면저항이 줄어들다가 어느 정도 이상이 되면 급격히 증가함을 확인할 수 있었다. Working Pressure 변화에 따른 전기적 광학적 특성 또한 확인 하였다.Porous nano crystalline$TiO_2$ is currently used as a working electrode in a dye-sensitized solar cell (DSSC). The conventional working electrode is comprised of absorption layer (particle size:~20 nm) and scattering layer (particle size:~300 nm). We inserted window layer with 10 nm particle size in order to increase transmittance and specific surface area of$TiO_2$ . The electrochemical impedance spectroscope analysis was conducted to analysis characterization of the electronic behavior. The Bode phase plot and Nyquist plot were interpreted to confirm the internal resistance caused by the insertion of window layer and carrier lifetime. The photocurrent that occurred in working electrode, which is caused by rise in specific surface area, increased. Accordingly, it was found that insertion of window layer in the working electrode lead to not only effectively transmitting the light, but also increasing of specific surface area. Therefore, it was concluded that insertion of window layer contributes to high conversion efficiency of DSSCs.To use the GaN based light-emitting diodes (LEDs) as solid state lighting sources, the improvement of light extraction and internal quantum efficiency is essential factors for high brightness LEDs. In this study, we suggested the new materials system of a zinc tin oxide (ZTO) layer formed on blue LED epi-structures to improve the light extraction. ZTO is a representative n-type oxide material consisted of ZnO and SnO system. Moreover, ZTO is one of the promising oxide semiconductor material. Even though ZTO has higher chemical stability than IGZO owing to its SnO2 content this has high mobility and high reliability. After formation of ZTO layer on p-GaN layer by using the spin coating method, structural and optical properties are investigated. The x-ray diffraction (XRD) measurement results show the successful formation of ZTO. The photoluminescence (PL) and absorption spectrum shows that it has 3.6-4.1eV band gap. Finally, the light extraction properties of ZTO/LED chip using electroluminescence (EL) measurement were investigated. The experimental and theoretical analyses were simultaneously conducted.최근, 박막 트랜지스터는 빠른 동작 속도, 낮은 공정비용 그리고 저온공정 등의 특성을 필요로 하고 있다. 그 중 indium-zinc oxide (InZnO)는 높은 전기적 특성, 높은 광 투과도 그리고 우수한 안정성 때문에 기존의 반도체를 대체할 수 있을 것으로 기대된다. InZnO의 경우 indium과 zinc의 조성비에 따라 특성 변화의 차이가 크기 때문에 다양한 조성비에 대한 연구가 보고되고 있는데, 기존의 InZnO 박막을 증착하는 방법의 경우에 조성비의 변화 과정에 많은 공정상의 어려움이 있다. 이 같은 문제점 때문에 조성비의 변화를 용이하게 할 수 있는 용액공정을 이용한 연구가 진행되고 있다. 또한 용액공정은 높은 균일성, 공정 시간 및 비용 감소 그리고 대면적화가 가능한 장점을 가지고 있다. 한편, 용액공정을 기반으로 한 InZnO의 경우에 용액 상태에서 고체 상태의 순수한 금속 산화물 상태로 바꾸기 위해 post-treatment 가 필요하다. 일반적으로 furnace 열처리 방법을 사용하는데, 이 경우 낮은 열효율 및 고비용 등의 문제점을 가지고 있다. 특히 glass 또는 flexible 기판의 경우 열처리 온도에 대한 제약이 있다. 이러한 문제를 해결하기 위한 방법으로 최근에 microwave irradiation를 이용한 저온 post-treatment 방법이 보고되고 있다. Microwave irradiation는 짧은 공정시간 및 열 균일성 등의 장점이 있다. 따라서 본 연구에서는 다양한 indium과 zinc의 조성비를 갖는 용액을 제작한 후 spin coating을 이용하여 증착한 InZnO 기반의 박막 트랜지스터를 제작하였다. Furnace와 microwave 방식으로 post-treatment 하여 비교 평가한 결과 microwave irradiation 한 경우 furnace 열처리 한 경우 보다 더 안정된 동작 전압을 가지는 것으로 나타났다. 따라서 저온공정이 가능한 microwave irradiation 방법으로 post-treatment 한다면 차세대 산화물 반도체로서의 적용이 가능할 것으로 기대된다.최근, 비정질 산화물 반도체 thin film transistor (TFT)는 수소화된 비정질 실리콘 TFT와 비교하여 높은 이동도와 큰 on/off 전류비, 낮은 구동 전압을 가짐으로써 빠른 속도가 요구되는 차세대 투명 디스플레이의 TFT로 많은 연구가 진행되고 있다. 한편, 기존의 Thin-Film-Transistor 제작 시 우수한 박막을 얻기 위해서는$500^{\circ}C$ 이상의 높은 열처리 온도가 필수적이며 이는 유리 기판과 플라스틱 기판에 적용하는 것이 적합하지 않고 높은 온도에서 수 시간 동안 열처리를 수행해야 하므로 공정 시간 및 비용이 증가하게 된다는 단점이 있다. 이러한 점을 극복하기 위해 본 연구에서는 간단하고, 낮은 제조비용과 대면적의 박막 증착이 가능한 용액공정을 통하여 박막 트랜지스터를 제작하였으며 thermal 열처리와 microwave 열처리 방식에 따른 전기적 특성을 비교 및 분석하고 각 열처리 방식의 열처리 온도 및 조건을 최적화하였다. P-type bulk silicon 위에 산화막이 100 nm 형성된 기판에 spin coater을 이용하여 Al-Zn-Sn-O 박막을 형성하였다. 그리고, baking 과정으로$180^{\circ}C$ 의 온도에서 10분 동안의 열처리를 실시하였다. 연속해서 Photolithography 공정과 BOE (30:1) 습식 식각 과정을 이용해 활성화 영역을 형성하여 소자를 제작하였다. 제작 된 소자는 Junctionless TFT 구조이며, 프로브 탐침을 증착 된 채널층 표면에 직접 접촉시켜 소스와 드레인 역할을 대체하여 동작시킬 수 있어 전기적 특성을 간단하고 간략화 된 공정과정으로 분석할 수 있는 장점이 있다. 열처리 조건으로는 thermal 열처리의 경우, furnace를 이용하여$500^{\circ}C$ 에서 30분 동안 N2 가스 분위기에서 열처리를 실시하였고, microwave 열처리는 microwave 장비를 이용하여 각각 400 W, 600 W, 800 W, 1000 W로 15분 동안 실시하였다. 그 결과, furnace를 이용하여 열처리한 소자와 비교하여 microwave를 통해 열처리한 소자에서 subthreshold swing (SS), threshold voltage (Vth), mobility 등이 비슷한 특성을 내는 것을 확인하였다. 따라서, microwave 열처리 공정은 향후 저온 공정을 요구하는 MOSFET 제작 시의 훌륭한 대안으로 사용 될 것으로 기대된다.분포 브래그 반사기(distributed Bragg reflector; DBR)는 광센서, 도파로, 태양전지, 반도체 레이저 다이오드, 광검출기와 같은 고성능 광 및 광전소자 응용분야에 널리 사용되고 있다. 일반적으로, DBR은 박막의 두께를 4분의 1 파장(${\lambda}/4$ )으로 가지는 서로 다른 저굴절율 물질과 고굴절율 물질을 교대로 적층 (pair)한 다중 pair로 제작되어지며, DBR의 반사 특성과 반사대역폭은 두 물질의 굴절율 차이와 pair의 수에 영향을 받는다. 그러나, 서로 다른 굴절율을 갖는 두 물질을 이용하는 DBR의 경우, 두 물질간 열팽창계수의 불일치, 접착력 문제, 높은 굴절율 차이를 갖는 물질 선택의 어려움 등 많은 문제점을 지니고 있다. 최근, 경사입사각증착법을 이용한 동일 재료(예, 인듐 주석 산화물, 게르마늄, 실리콘)기반의 DBR 제작 및 특성에 대한 연구가 보고되고 있다. 높은 입사각을 갖고 박막이 증착될 경우, 저율을 갖는 다공박막 제작이 가능하여 경사입사각증착법으로 homogeneous 물질 기반의 고반사 특성을 갖는 다중 pair의 DBR을 제작할 수 있다. 본 실험은, 갈륨비소 기판 위에 경사입사각증착법 및 전자빔증착법을 이용하여 중심파장 960 nm가 되는 이산화 티타늄 기반의 DBR을 제작하였고, 제작된 샘플의 증착된 박막의 표면 및 단면의 프로파일은 주사전자현미경을 사용하여 관찰하였으며, UV-Vis-NIR 스펙트로미터를 이용하여 반사율 특성을 조사하였다.We investigated the structural and optical evolution of Ga2O3 thin films on glass substrates deposited using radio frequency magnetron sputtering. Initially, amorphous Ga2O3 thin film is grown, and then, surface humps and nanowire (NW) bundles are gradually formed as the film thickness increases. The surface humps are Ga-rich and provide nucleation sites for NWs through a self-catalytic vapor-liquid-solid mechanism with self-assembled Ga droplets. Both the surface humps and the NWs induce variation of the optical properties such as the optical bandgap and refractive index by absorbing light in the ultraviolet region.In this study, we prepared the solution-based In-Ga-Zn oxide thin film transistors (IGZO TFTs) of multistacked active layer and characterized the gate bias instability by measuring the change in threshold voltage caused by stacking. The solutions for IGZO active layer were prepared by In:Zn=1:1 mole ratio and the ratio of Ga was changed from 20% to 30%. The TFTs with multistacked active layer was fabricated by stacking single, double and triple layers from the prepared solutions. As the number of active layer increases, the saturation mobility shows the value of 1.2, 0.8 and 0.6 (). The electrical properties have the tendency such as decreasing. However when gate bias VG=10 V is forced to gate electrode for 3000 s, the threshold voltage shift was decreased from 4.74 V to 1.27 V. Because the interface is formed between the each layers and this affected the current path to reduce the electrical performances. But the uniformity of active layer was improved by stacking active layer with filling the hole formed during pre-baking so the stability of device was improved. These results suggest that the deposition of multistacked active layer improve the stability of the device.Indium tin oxide (ITO) has a lot of variations of its properties because it is basically in an amorphous state. Therefore, the differences in composition ratio of ITO can result in alteration of electrical properties. Normally, ITO is considered as transparent conductive oxide (TCO), possessing excellent properties for the optical and electrical devices. Quantitatively, TCO has transparency over 80 percent within the range of 380nm to 780nm, which is visible light although its specific resistance is less than$10-3{\Omega}/cm$ . Thus, the solar cell is the best example for which ITO has perfectly matching profile. In addition, when ITO is used as transparent conductive electrode, this material essentially has to have a proper work function with contact materials. For instance, heterojunction with intrinsic thin layer (HIT) solar cell could have both front ITO and backside ITO. Because each side of ITO films has different type of contact materials, p-type amorphous silicon and n-type amorphous silicon, work function of ITO has to be modified to transport carrier with low built-in potential and Schottky barrier, and approximately requires variation from 3 eV to 5 eV. In this study, we examine the change of work function for different sputtering conditions using ultraviolet photoelectron spectroscopy (UPS). Structure of ITO films was investigated by spectroscopic ellipsometry (SE) and scanning electron microscopy (SEM). Optical transmittance of the films was evaluated by using an ultraviolet-visible (UV-Vis) spectrophotometerIndium Tin Oxide (ITO) films are the most extensively studied and commonly used as ones of TCO films. The ITO films having a high electric conductivity and high transparency are easily fabricated on glass substrate at a substrate temperature over$250^{\circ}C$ . However, glass substrates are somewhat heavy and brittle, whereas plastic substrates are lightweight, unbreakable, and so on. For these reasons, it has been recently suggested to use plastic substrates for flexible display application instead of glass. Many reaearchers have tried to produce high quality thin films at rood temperatures by using several methods. Therefore, amorphous ITO films excluding thermal process exhibit a decrease in electrical conductivity and optical transparency with time and a very poor chemical stability. However the amorphous Indium Gallium Zinc Oxide (IGZO) offers several advantages. For typical instance, unlike either crystalline or amorphous ITO, same and higher than a-IGZO resistivity is found when no reactive oxygen is added to the sputter chamber, this greatly simplifies the deposition. We reported on the characteristics of a-IGZO thin films were fabricated by RF-magnetron sputtering method on the PEN substrate at room temperature using 3inch sputtering targets different rate of Zn. The homogeneous and stable targets were prepared by calcine and sintering process. Furthermore, two types of IGZO TFT design, a- IGZO source/drain material in TFT and the other a- ITO source/drain material, have been fabricated for comparison with each other. The experimental results reveal that the a- IGZO source/drain electrode in IGZO TFT is shown to be superior TFT performances, compared with a- ITO source/drain electrode in IGZO TFT.In this present work, we report a Cu-Mn alloy as a materials for the self-forming barrier process. And we investigated diffusion barrier properties of self-formed layer on low-k dielectrics with or without UV curing treatment. Cu alloy films were directly deposited onto low-k dielectrics by co-sputtering, followed by annealing at various temperatures. X-ray diffraction revealed Cu (111), Cu (200) and Cu (220) peaks for both of Cu alloys. The self-formed layers were investigated by transmission electron microscopy. In order to compare barrier properties between Mn-based interlayer interlayer, thermal stability was measured with various low-k dielectrics. X-ray photoelectron spectroscopy analysis showed that chemical compositions of self-formed layer. The compositions of the Mn based self-formed barriers after annealing were determined by the C concentration in the dielectric layers.Hafnium nitride (HfN) 박막은 고온에서의 안정성과 낮은 비저항 그리고 산소확산에 대한 억제력을 가지고 있기 때문에 확산방지막으로 많은 연구가 진행 되고 있다. 현재까지 진행된 대부분의 연구는 HfN 박막의 전기적인 특성과 구조적인 특성에 대한 것이었고 다양한 연구 결과가 보고되었다. 하지만 기존의 연구들은 박막의 nano-electrotribology 특성에 대한 연구가 부족하여 박막 적층 공정시 요구되는 물성에 대한 연구가 절실하다. 따라서 본 연구에서는 HfN 박막의 증착조건 및 열처리조건에 따른 nano-electrotribology 특성 변화를 확인하고자 하였다. HfN박막은 rf magnetron sputter를 이용하여 Si 기판위에 Hf target으로 질소 유량을 변화시키며 증착하였고 가열로에서$600^{\circ}C$ 와$800^{\circ}C$ 로 20분간 열처리를 실시하였다. 열처리한 박막과 as-deposited 상태의 박막을 nano-indenter를 통하여 나노기계 전기적인 특성을 분석하였다. nano-indenter는 박막에 인가된 stress와 탄성계수(elastic modulus), 표면경도(surface hardness)와 같은 특성을 직접적인 tip 접촉을 통하여 in-situ로 분석할 수 있는 장비이다. 실험결과 HfN박막을$600^{\circ}C$ 로 열처리 한 경우 표면경도가 16.20에서 18.59 GPa로 증가하였다. 표면경도의 증가는 열처리 시 박막내에 compressive stress가 생성되었기 때문이라고 생각된다. 그러나$800^{\circ}C$ 로 열처리 한 경우 표면경도가 16.93 GPa로 감소하였는데 이는 표면균열 발생으로 인한 stress relaxation 때문인 것으로 생각된다. 증착 시 주입되는 질소의 유량과 열처리 온도는 HfN박막의 기계적 안정성에 영향을 미치는 중요한 요소임을 본 실험을 통해 확인하였다.최근 반도체 산업의 발전에 따라 반도체 소자 내 배선재료로 사용되던 Aluminium (Al)의 대체물로 Copper (Cu)가 사용되고 있다. Cu는 Al보다 우수한 전도성과 비용이 저렴하다는 장점이 있으나 반도체 기판과의 확산으로 이를 해결해야만 하는 문제점이 있다. 이는 Si와 Cu사이에 확산방지막을 사용하여 해결할 수 있는데 Hafnium Nitride (HfN) 박막은 다른 물질과 비교해 고온에서의 안정성과 낮은 비저항을 가지고 있어 주목을 받고 있다. 본 연구에서는 rf magnetron sputter 방법으로 박막 증착 시에 인가하는 rf power가 박막의 표면 특성에 어떠한 영향을 미치는지 nano-indenter를 사용해 surface hardness와 elastic modulus의 변화를 중심으로 알아보았다. 시료는 rf magnetron sputter로 증착 시 인가하는 plasma power를 60W와 80W로 달리하여 증착하였다. 증착가스는 Ar과$N_2$ 를 조절하여 사용하였고 총 유량을 40 sccm 으로 고정하였으며, 이 때 압력은 3mTorr로 유지하였다. 실험결과 plasma power를 80W로 인가하여 증착한 시료의 surface hardness (18.48 GPa)가 60W로 증착한 시료의 surface hardness (12.03 GPa)보다 큰 값을 나타내었다. 이와 마찬가지로 80W로 증착한 시료의 elastic modulus(187.16 GPa)도 60W로 증착한 시료의 탄성계수 (141.15 GPa)보다 큰 값을 나타내었다. 이는 증착 시 인가하는 plasma power의 크기가 증가하면 박막표면에 compressive stress가 생성되어 박막의 surface hardness와 elastic modulus가 상대적으로 높게 측정되는 것으로 생각된다.Zirconium nitride (ZrN)는 높은 녹는점과 기계적 강도 그리고 내식성을 가져 부품 산업계에서 hard coating에 이용된다. 또한 금속과 같은 전기 전도성을 가지므로 초고집적소자의 확산방지막에 이르기까지 많은 응용이 되고 있다. 본 실험에서는 Si 기판 위에rf magnetron sputter를 사용하여 Zr박막을 증착 하였으며 질소 유량을 변화시키며 Zirconium nitride 박막을 증착하였다. 증착된 박막의 질소 유량변화에 따른 nano-electrotribology 특성변화를 관찰하기 위해 nano-indenter를 사용하였다. 또한 Weibull statistics을 사용하여 박막의 균일성을 검증하였다. 질소 유량이 각각 0, 0.5, 5 sccm으로 증가하는 동안 surface hardness는 12.37, 10.49, 12.14 GPa로 변화하였다. 이때, 박막의 elastic modulus는 175.27, 163.94, 172.18 GPa로 각각 변화하였다. 이러한 결과는 질소 유량에 따라zirconium nitride가 여러 상으로 생성되는 것으로 해석할 수 있다.Zirconium nitride (ZrN)는 높은 열적, 화학적 특성과 우수한 기계적 강도, 낮은 전기 저항성 때문에 절삭공구, 의료용품 등으로 널리 사용된다. 특히 물리증착법 (PVD)으로 증착 할 경우 실제 hardness보다 높은 특성을 가지고 내마모성과 고온에서 hardness가 우수한 것으로 알려져 있다. 본 실험에서는 물리증착법 중 하나인 rf magnetron sputter를 사용하여 질소 유량에 따른 zirconium nitride 박막을 증착하였다. 그 후,$600^{\circ}C$ , N2 분위기에서 후열처리를 진행하였고, 후열처리에 따른 박막의 nano-electrotribology 특성 변화를 관찰하기 위해 nano-indenter를 사용하였다. 측정결과, 질소 유량이 0, 0.5, 5 sccm으로 변함에 따라 증착된 박막의 hardness는 18.62, 15.64, 13.58 GPa로 각각 감소되었으며, elastic moduls도 210.43, 185.15, 171.52 GPa로 감소하였다. 이는 증착 과정에서 과포화된 N2 가 후열처리 과정에서 빠져 나오는 것으로 알 수 있다.The Isolation of few-layered transition metal dichalcogenides has mainly been performed by mechanical and chemical exfoliation with very low yields. in particular, the two-dimensional layer of molybdenum disulfide (MoS2) has recently attracted much interest due to its direct-gap property and potential application in optoelectronics and energy harvesting. However, the synthetic approach to obtain high-quality and large-area MoS2 atomic thin layers is still rare. In this account, a controlled thermal reduction-sulfurization method is used to synthesize large-MoOx thin films are first deposited on Si/SiO2 substrates, which are then sulfurized (under vacuum) at high temperatures. Samples with different thicknesses have been analyzed by Raman spectroscopy and TEM, and their photoluminescence properties have been evaluated. We demonstrated the presence of mono-, bi-, and few-layered MoS2 on as-grown samples. It is well known that the electronic structure of these materials is very sensitive to the number of layer, ranging from indirect band gap semiconductor in the bulk phase to direct band gap semiconductor in monolayers. This synthetic approach is simple, scalable, and applicable to other transition metal dichalcogenides. Meanwhile, the obtained MoS2 films are transferable to arbitrary substrates, providing great opportunities to make layered composites by stacking various atomically thin layers.Spin Transfer Torque (STT) is of great interest in data writing scheme for the Magneto-resistive Random Access Memory (MRAM) using Magnetic Tunnel Junction (MTJ). Scalability for high density memory requires ferromagnetic electrodes having the perpendicular magnetic easy axis. We investigated CoZr as the ferromagnetic electrode. It is observed that interfacial magnetic anisotropy is preferred perpendicular to the plane with thickness dependence on the interfaces with Pt layer. The anisotropy energy (Ku) with thickness dependence shows a change of magnetic-easy-axis direction from perpendicular to in-plane around 1.2 nm of CoZr. The interfacial anisotropy (Ki) as the directly related parameters to switching and thermal stability, are estimated as$1.64erg/cm^2$ from CoZr/Pt multilayered system.Superhydrophobic WOx nanowire (NW) arrays were fabricated using a thermal evaporation and surface chemistry modification methods by self-assembled monolayer (SAM). As-prepared non-wetting WOx NWs surface shows water contact angle of$163.2^{\circ}$ and has reliable stability in underwater conditions. Hence the superhydrophobic WOx NWs surface exhibits silvery surface by total reflection of water layer and air interlayer. The stability analysus of underwater superhydrophobicity of WOx NWs arrays was conducted by changing hydrostatic pressure and surface energy of WOx NWs arrays. The stability of superhydrophobicity in underwater conditions decreased exponentially as hydrostatic pressure applied to the substrates increased3. In addition, as surface energy decreased, the underwater stability of superhydrophobic surface increased sharply. Specifically, sueprhydrophobic stability increased exponentially as surface energy of WOx NWs arrays was decreased. Based on these results, the models for explaining tendencies of superhydrophobic stability underwater resulting from hydrostatic pressure and surface energy were designed. The combination of fugacity and Laplace pressure explained this exponential decay of stability according to hydrostatic pressure and surface energy. This study on fabrication and modeling of underwater stability of superhydrophobic W18O49 NW arrays will help in designing highly stable superhydrophobic surfaces and broadening fields of superhydrophobic applications even submerged underwater.Jeong, Hyo-Jun;Jeong, Eun-Ae;Han, Jeong-Hwan;Park, Bo-Geun;Lee, Seon-Suk;Hwang, Jin-Ha;Kim, Chang-Gyun;An, Gi-Seok;Jeong, Taek-Mo 357.2
Ruthenium (Ru) 박막은 우수한 화학적 열적 안정성 및 높은 일함수(4.7eV) 특성으로 인해 20 nm급 이하의 차세대 DRAM capacitor의 전극 물질 및 Cu metalization을 위한 seed layer로 각광을 받고 있다. Ru박막의 나노스케일 정보전자소자로의 적용을 위해서는 두께제어가 용이하고 3D 구조에서 우수한 단차 피복 특성을 갖는 atomic layer deposition (ALD)을 이용한 박막 형성이 필수적이다. 이에 본 연구에서는 ALD 방법을 이용하여 0가의(cymene) (1,5-hexadiene) Ru (0) (C16H24Ru) 전구체를 합성, ALD 방법을 이용하여 우수한 초기성장거동을 갖는 Ru 박막을 증착 하였다. 형성된 Ru 박막의 표면 형상, 두께, 밀도를 주사전자현미경(Scanning electron microscopy)과 X-선 반사율 측정(X-ray reflectometer)으로 조사하였다. 또한 전기적 특성을 4침법(four-point-probe)으로 측정하였고, 박막의 화학적 조성과 결정성의 정보를 X-선 광전자분광법(X-ray photoelectron spectroscopy)과 X-선 회절(X-ray diffraction)을 이용하여 확인하였다.Jo, Dae-Hui;Lee, Gyeong-Ju;Song, Sang-U;Kim, Hwan-Seon;Cheon, Eun-Yeong;Jang, Ji-Hun;Mun, Byeong-Mu 360.1
DSSC (Dye-Sensitized Solar Cell)의 TCO (Transparent Conductive Oxide)와 전해질 사이의 전자 재결합(Back reaction)은 DSSC의 효율을 떨어뜨리는 요소 중 하나이다. 이와 같은 문제점을 해결하기 위하여 Blocking layer로서$TiO_2$ 가 많이 사용되어지고 있다. 본 실험에서는$HfO_2$ 를 Blocking layer로 사용하여 전자 재결합으로 인한 효율 저하를 막기 위한 연구를 진행하였다. 기존$TiO_2$ 대비$HfO_2$ 는 큰 에너지 밴드갭을 가지고 있어, TCO와 전해질 사이에 전자 재결합을 줄여주는 역할을 하기 때문에 DSSC의 효율 향상을 확인할 수 있다. 효율 측정은 1sun (100 mW/cm, AM1.5)조건에서 solar simulator를 이용하여 측정 했으며, 전자 재결합 감소는 Dark Current, EIS (Electrochemical Impedance spectroscopy)의 측정을 통하여 확인하였다.$HfO_2$ 를 이용한 blocking layer를 염료 감응 태양전지에 적용하면, 전자 재결합에 의한 손실을 줄여 성능적 측면에서 개선 가능할 것으로 생각된다.박막 태양전지의 변환효율을 높이기 위해 전면에는 반사방지막을, 후면에는 고반사막을 적용한다. 태양전지 구조에서 고반사막을 대신하여 선택적 투과막을 적용할 경우 가시광선은 투과하고 적외선은 광 흡수층으로 재반사되기 때문에 변환효율을 향상 시킬 수 있고 동시에 투명 태양전지를 얻을 수 있다. 선택적 투과 특성을 보이는 지르코니아 박막의 광학적 특성이 이미 보고된 바 있으며, 본 연구에서는 반응성 스퍼터링 방식을 이용하여 지르코니아 박막을 증착하고 산소 유량에 따른 광학적 특성을 관찰한 후 이를 통해 선택적 투과막 성장에 적합한 산소 유량 조건을 얻고자 하였다. 산소 유량에 따라 지르코니아 박막의 투과율은 400 nm 이상의 파장에서 약 10%에서 약 85%의 범위에서 변화하였고 반사율은 적외선 영역에서 최소 약 30%에서 최대 약 60%의 수치를 나타내었다. 이러한 결과로부터 반응성 스퍼터링 시 산소 유량이 지르코니아 박막의 광학적 특성 변화에 큰 영향을 미치는 인자임을 확인하였다.Oh, Seol Hee;Jin, Hye-Jin;Shin, Hye-Young;Shin, Ran Hee;Yoon, Seokhyun;Jo, William;Seo, Yu-Seong;Ahn, Jai-Seok 361.1
PbVO3 (PVO), a polar magnetic material considered as a candidate of multiferroic, has ferroelectricity along the c-axis and 2-dimensional antiferromagnetism lying in the in-plane through epitaxial growth [1,2]. PVO thin films were grown on LaAlO3 (001) substrates under reduction atmosphere from a stable Pb2V2O7 sintered target using pulsed laser deposition method. Epitaxial growth of the PVO films is possible only under Ar atmospheren with no oxygen partial pressure. X-ray diffraction was used to investigate the phase formation and texture of the films. We confirmed epitaxial growth of the PVO films with crystalline relationship of PbVO3[001]//LaAlO3[001] and PbVO3[100]//LaAlO3[100]. In addition, surface morphology of the films displays drastic changes in accordance with the growth conditions. Elongated PVO grains are related to the Pb2V2O7 pyrochlore structure. The relation between structural deformation and ferroelectricity in the PVO films was examined by local measurement of piezoresponse force microscopy.Transition metal dichalcogenides (MoS2, WS2, WSe2, MoSe2, NbS2, NbSe2, etc.) are layered materials that can exhibit semiconducting, metallic and even superconducting behavior. In the bulk form, the semiconducting phases (MoS2, WS2, WSe2, MoSe2) have an indirect band gap. Recently, these layered systems have attracted a great deal of attention mainly due to their complementary electronic properties when compared to other two-dimensional materials, such as graphene (a semimetal) and boron nitride (an insulator). However, these bulk properties could be significantly modified when the system becomes mono-layered; the indirect band gap becomes direct. Such changes in the band structure when reducing the thickness of a WS2 film have important implications for the development of novel applications, such as valleytronics. In this work, we report for the controlled synthesis of large-area (~cm2) single-, bi-, and few-layer WS2 using a two-step process. WOx thin films were deposited onto a Si/SiO2 substrate, and these films were then sulfurized under vacuum in a second step occurring at high temperatures ($750^{\circ}C$ ). Furthermore, we have developed an efficient route to transfer these WS2 films onto different substrates, using concentrated HF. WS2 films of different thicknesses have been analyzed by optical microscopy, Raman spectroscopy, and high-resolution transmission electron microscopy.고효율 태양전지로 가기 위해서는 태양전지의 후면 패시베이션은 중요한 역할을 한다. 후면 패시베이션 막으로 사용되는$Al_2O_3$ 막은$Al_2O_3/Si$ 계면에서 높은 화학적 패시베이션과 Negative Fixed Charge를 가지고 있어 적합한 Barrier막으로 여겨진다. 하지만 이후에 전면 Metal paste의 소성 공정에 의해$800^{\circ}C$ 이상 온도를 올려주게 됨에 따라$Al_2O_3$ 막 내부에 결합되어 있던 수소들이 방출되어 blister가 생성되고 막 질은 떨어지게 된다. 우리는 blister가 생성되는 것을 방지하기 위한 방법으로 PECVD 장비로 SiNx를 증착하는 공정 중에$N_2O$ 가스를 첨가하여 SiON 막을 증착하였다. SiON막은$N_2O$ 가스량을 조절하여 막의 특성을 변화시키고 변화에 따라 소성시 막에 미치는 영향에 대하여 조사하였다. 공정을 위해$156{\times}156mm2$ ,$200{\mu}m$ ,$0.5-3.0{\Omega}{\cdot}cm$ and p-type 단결정 실리콘 웨이퍼를 사용하였고,$Al_2O_3$ 막을 올리기 전에 RCA Cleaning 실행하였다. ALD 장비를 통해$Al_2O_3$ 막을 10nm 증착하였고 RF-PECVD 장비로 SiNx막과 SiON막을 80nm 증착하였다. 소성로에서$850^{\circ}C$ ($680^{\circ}C$ ) 5초동안 소성하고 QSSPC를 통해 유효 반송자 수명을 알아보았다.Preparation of Novel Magnesium Precursors and MgO Thin Films Growth by Atomic Layer Deposition (ALD)Magnesium oxide (MgO) thin films have attracted great scientific and technological interest in recent decades. Because of its distinguished properties such as a wide band gap (7.2 eV), a low dielectric constant (9.8), a low refractive index, an excellent chemical, and thermal stability (melting point=$2900^{\circ}C$ ), it is widely used as inorganic material in diverse areas such as fire resistant construction materials, optical materials, protective layers in plasma display panels, buffer layers of multilayer electronic/photonic devices, and perovskite ferroelectric thin films. Precursor used in the ALD requires volatility, stability, and low deposition temperature. Precursors using a heteroleptic ligands with different reactivity have advantage of selective reaction of the heteroleptic ligands on substrate during ALD process. In this study, we have synethesized new heteroleptic magnesium precursors${\beta}$ -diketonate and aminoalkoxide which have been widely used for the development of precursor because of the excellent volatility, chelating effects by increasing the coordination number of the metal, and advantages to synthesize a single precursor. A newly-synthesized Mg(II) precursor was adopted for growing MgO thin films using ALD.Cobalt oxide has excellent various properties such as high catalytic activity, antiferromagnetism, and electrochromism. So cobalt oxides offer a great potential for their applications in the various areas such as optical gas sensor, catalysts for oxidation reaction, electrochromic devices, high temperature solar selective absorbers, magnetic materials, pigment for glasses and ceramics, and negative electrodes for lithium-ion batteries. We have synthesized novel cobalt complexes by simple reaction of cobalt bistrimethylsilylamide as a starting material with a lot of conventional ligands as potential cobalt oxide precursors. The studies include the facile preparation, structural characterization, and spectroscopic analysis of the new precursors. We are making efforts to grow cobalt oxide thin films using cobalt complexes newly synthesized in this study using deposition techniques.Nickel sulfide (NiS) has been utilized in optoelectronic applications, such as transformation-toughening agent for materials used in semiconductor applications, catalysts, and cathodic materials in rechargeable lithium batteries. Recently, high quality nickel sulfide thin films have been explored using ALD/CVD technique. Suitable precursors are needed to deposit thin films of inorganic materials. However, nickel sulfide precursors available for ALD/CVD process are very limited to nickel complexes with dithiocarbamate and alkanethiolate ligands. Therefore, it is essential to prepare novel nickel sulfide suitable for ALD/CVD precesses. Herein we report on the synthesis and characterization of new nickel sulfide complex with designed aminothiolate ligand. Furthermore thin films of NiS have been prepared on silicon oxide substrates by spin coating nickel precursor 10 wt% in THF. The novel complex has been characterized by means of 1H-NMR, elemental analysis, thermogravimetric analysis (TGA), X-ray Diffraction (XRD) and scanning electron microscope (SEM).The rare-earth oxides M2O3 (M=La, Pr, Gd) are good insulators due to their large band gap (3.9eV for Pr2O3, 5.6eV for Gd2O3), they have high dielectric constants (Gd2O3 K=16, La2O3 K=27, Pr2O3 K=26-30) and, compared to ZrO2 and HfO2, they have higher thermodynamic stability on silicon making them very attractive materials for high-K dielectric applications. Another attractive feature of some rare-earth oxides is their relatively close lattice match to that of silicon, offering the possibility of epitaxial growth and eliminating problems related to grain boundaries in polycrystalline films. Metal-organic chemical vapor deposition (MOCVD) has been preferred to PVD methods because of the possibility of large area deposition, good composition control and excellent conformal step coverage. Herein we report on the synthesis of rare-earth oxide complexes with designed alkoxide and aminoalkoxide ligand. These novel complexes have been characterized by means of FT-IR, elemental analysis, and thermogravimetric analysis (TGA).Park, Chan-Rok;Kwon, Kyeong-Woo;Do, Woo-ri;Park, Da-Hee;Baek, Senug-Hyub;Kim, Jin Sang;Hwang, in-Ha 366.2
Impedance spectroscopy allows for simultaneous characterization of interface-controlled materials and/or devices in terms of electrical and dielectric aspects. Recently, there have tremendous interests in 2-dimensional electron gas layers (2DEGs) involving$LaAlO_3$ and$SrTiO_3$ whose features incorporates extremely high mobility and carrier concentrations along with metallic responses unlike the constituents,$LaAlO_3$ and$SrTiO_3$ . Impedance spectroscopy offers the following unique features, such as simultaneous determination of conductivity and dielectric constants, identification of electrical origins among bulk-, grain boundary-, and electrode-based responses. Impedance spectroscopy was applied to the 2DEG$LaAlO_3/SrTiO_3$ system, in order to extract the electrical and dielectric information operating in the 2DEG system. The unique responses of the 2DEG system are investigated in terms of temperature and device structures. The underlying mechanism of the 2DEG system is proposed with the aim to optimizing the high-mobility 2DEG responses and to expedite the associated devices towards the high-density integrated chips.We proposed amorphous GeSe-based ReRAM device of metal-insulator-metal (M-I-M) structure. The operation characteristics of memory device occured unipolar switching characteristics. By introducing the concepts of valance-alternation-pairs (VAPs) and chalcogen vacancies, the unipolar resistive switching operation had been explained. In addition, the current transport behavior were analyzed with space charge effect of VAPs, Schottky emission in metal/GeSe interface and P-F emission by GeSe bulk trap in mind. The GeSe ReRAM device of M-I-M structure indicated the stable memory switching characteristics. Furthermore, excellent stability, endurance and retention characteristics were also verified.$SnO_2$ 을 이용한 반도체는 기체 센서, 트랜지스터, 태양전지와 같은 여러 분야에 적용 가능하기 때문에 많은 각광을 받고 있다.$SnO_2$ 을 이용한 반도체 소자는 높은 화학적 안정성과 독특한 물리 화학적 특성을 지니고 있을 뿐만 아니라 부피에 대한 높은 표면적 비율을 가지고 있다. 우수한$SnO_2$ 나노구조를 얻기 위해서 전자관 박막증착, 졸겔법, 물리적 증기증착, 열증착과 같은 다양한 방법들이 사용되었다. 다양한 합성 방법들 중에서 전기화학 증착법은 높은 성장율, 대면적 공정, 낮은 가격과 같은 장점을 가지고 있어 많은 연구가 진행되었지만,$SnO_2$ 구조의 성장조건에 따른 체계적인 연구는 진행되지 않았다. 본 연구는 indium-tin-oxide (ITO)로 코팅된 유리 기판 위에 전기화학 증착법을 사용하여 다양한 성장 조건에 따라 성장된$SnO_2$ 나노구조들의 물리적 특성들을 관찰하였다. ITO 유리 기판 위에 성장된$SnO_2$ 나노구조는 음극의 전구체와 전류의 상호작용에 의해 생성되는 산소 분자의 환원에 의해 형성된다.$SnO_2$ 나노구조의 모양은 전기화학 증착의 성장 환경에 따라 달라진다.$SnO_2$ 나노구조를 관찰하기 위해 시간에 따른 전압-전류, X-ray광전자분광법, 주사형전자현미경, X-ray회절분석법을 사용하여 측정하였다. ITO 유리 기판 위에 성장한$SnO_2$ 소자에 서로 다른 인가 전압을 가해 주었을 때에 따른 전류밀도를 측정하였다. 일정한 인가전압에서$SnO_2$ 나노구조의 X-ray광전자분광법 측정 을 통해 화학적 결합과 X-ray회절분석법 통한$SnO_2$ 성장 방향을 관찰하였다. 주사형전자현미경 측정을 통하여$SnO_2$ 의 표면을 관찰하였다Au-functionalized$WO_3$ nanotubes were synthesized using ZnO nanowire templates. Transmission electron microscopy revealed the Au nanoparticles on the outer surface of a typical$WO_3$ nanotube ranged from 5 to 25 nm. The multiple networked Au-functionalized$WO_3$ nanotube sensors showed responses of 820-3, 924% in the$NO_2$ concentration range of 1-5 ppm at$300^{\circ}C$ . These responses were approximately 5-12 fold higher than those observed for pristine$WO_3$ nanotube sensors over the same$NO_2$ concentration range. A model describing the gas sensing mechanism of Au-functionalized$WO_3$ nanotubes is discussed.Diamond-like Carbon(DLC) films doping Si were deposited by linear ion source(LIS)-physical vapor deposition method on Si wafer. We have studied the effects of Si content on friction and wear properties of DLC films and the characteristics of the films were investigated using Nano-indentation, Micro raman spectroscopy, Field Emission-Scanning Electron Microscope (FM-SEM) and X-ray Photoelectron Spectroscopy (XPS). The films has been various low-friction and low-stress by varying the flow rates of silane gas. Under the about 2% of Si doping is very suitable for improving the adhesion of films and reducing internal stress while maintaining the surfaces hardness of DLC films. Linear ion source (LIS)를 사용하여 Si wafer위에 Si 이온이 첨가된 DLC 박막을 증착하였다. 참가된Si 이온의 양에 따라 DLC 박막에 미치는 영향을 분석하기 위하여 마찰 계수 및 경도를 비교하였고, Micro raman spectroscopy, Field Emission-Scanning Electron Microscope (FM-SEM) and X-ray Photoelectron Spectroscopy (XPS)를 통하여 표면 상태를 분석하였다. 천체 주입된 가스량의 약 2%까지 Si 이온 주입이 늘어날수록 DLC 박막의 마찰계수는 낮아졌고, 경도는 Si 이온이 주입되지 않았을 경우와 비슷한 값(약 20~23 GPa)을 가졌다. 2% 이상의 주입량에서는 마찰계수는 주입량이 늘어날수록 높아졌으며 경도는 떨어지는 경향을 보였다. 이는 Si이온이 2%이하로 첨가되었을 경우, DLC 박막의 생성시 탄소 이온들의 결합 Stress를 줄여 마찰계수가 줄어든다고 볼 수 있으며, 그 양이 2%이상이 되면 오히려 불순물로 작용하여 DLC 박막의 Stress는 급격히 증가하고 마찰계수도 높아짐을 알 수 있다.Giant magnetoresistance (GMR), tunneling magnetoresistance (TMR), and magnetic random-access memory (MRAM) are currently active areas of research. Magnetite, Fe3O4, is predicted to possess as half-metallic nature, ~100% spin polarization (P), and has a high Curie temperature (TC~850 K). On the other hand, Spinel ferrite CoFe2O4 has been widely studies for various applications such as magnetorestrictive sensors, microwave devices, biomolecular drug delivery, and electronic devices, due to its large magnetocrystalline anisotropy, chemical stability, and unique nonlinear spin-wave properties. Here we have investigated the magneto-transport properties of epitaxial CoxFe3-xO4 thin films. The epitaxial CoxFe3-xO4 (x=0; 0.4; 0.6; 1) thin films were successfully grown on MgO (100) substrate by molecular beam epitaxy (MBE). The quality of the films during growth was monitored by reflection high electron energy diffraction (RHEED). From temperature dependent resistivity measurement, we observed that the Werwey transition (1st order metal-insulator transition) temperature increased with increasing x and the resistivity of film also increased with the increasing x up to$1.6{\Omega}-cm$ for x=1. The magnetoresistance (MR) was measured with magnetic field applied perpendicular to film. A negative transverse MR was disappeared with x=0.6 and 1. Anomalous Hall data will be discussed.본 연구에서는 Bending 시간에 따른 Ti/Au의 전기적 특성 변화에 대한 실험을 진행하였다. 전기적 특성을 평가하기 위해 PET 기판 위에 Ti/Au을 Greek Bridge와 Line Bridge를 합친 Cross Bridge 형태로 증착하였고, Cross Bridge의 Line을 bending하여 시간 경과에 따른 정적인(static) bending 영향을 확인하였다. Bending은 0~100시간까지 진행하였고, Line의 width를 200, 400, 800,$1000{\mu}m$ 로 가변하여 시간에 따른 비저항의 변화를 측정하였다. 실험결과 Bending시간이 길어짐에 따라 비저항이 감소하였고, 일정시간에서 크게 감소하며, 그 이후에는 포화되는 경향을 보였다. 또한 Width가 증가함에 따라 비저항의 변화가 컸다. 800 um,$1000{\mu}m$ 에서는 bending 직후 비저항이 초기대비 약 90%까지 떨어졌으며 100시간 후에는 80%까지 감소하였다. 100시간 뒤 Width에 따라 초기대비 비저항이 78%~91%까지 감소하는 것을 확인하였다.반도체 트랜지스터의 크기가 점점 미세화 함에 따라 이에 수반되는 절연막에 대한 요구 조건도 까다로워지고 있다. 특히 게이트 산화 막의 두께는 10 nm 이하에서 고밀도를 갖는 높은 유전율 막에 대한 요구가 증가되고 있으며 또한 증착 온도 역시 낮아져야 한다. 이러한 요구사항을 충족하는 기술중의 하나는 매우 낮은 압력 및 200도 이하 저온에서 절연막을 증착하는 것이다. 본 연구에서는 플라즈마 화학 기상 증착(PE-CVD) 시스템을 이용하여$180^{\circ}C$ 의 온도 및 10 mTorr의 압력에서 SiN 및 SiCN 박막을 제조하였다. 박막의 특성은 원자층 증착 공정 결과와 유사하면서 증착 속도의 향상을 위해 개조된 사이클릭 화학 기상 증착 공정을 이용하였다. Si 전구체와 산화제는 기판에 공급되기 전에 혼합되어 1차 리간드 분해를 하였으며, 리간드가 일부 제거된 가스가 기판에 흡착되는 구조이다. 기판흡착 후 플라즈마 처리 공정을 이용하여 2차 리간드 분해 공정을 수행하였으며, 반응에 참여하지 않은 가스 제거를 위해 불활성 가스를 이용하여 퍼지 하였다. 공정 변수인 플라즈마 전력, 반응가스유량, 플라즈마 처리 시간은 최적화 되었다. 또한 효율적인 리간드 분해를 위해 ICP와 CCP를 포함하고 있는 이중 플라즈마 시스템에 의해 2회에 걸쳐 분해되어지고, 그 결과로 불순물이 들어있지 않는 순수한 SiN과 SiCN 박막을 증착하였다. XRD 측정 결과 증착된 박막들은 모두 비정질 상이며, 550 nm 파장에서 측정한 SiN 및 SiCN 박막의 굴절률은 각 각 1.801 및 1.795이다. 또한 증착된 박막의 밀도는 2.188 ($g/cm^3$ )로서 유전체 박막으로 사용하기에 충분한 값임을 확인하였다. 추가적으로 300 mm 규모의 Si 웨이퍼에서 측정된 비 균일도는 2% 이었다. 저온에서 증착한 SiN 및 SiCN 박막 특성은 고온 공정의 그것과 유사함을 확인하였고, 이는 저온에서의 유전체 박막 증착 공정이 반도체 제조 공정에서 사용 가능하다는 것을 보여준다.가스 센서분야에서 특정 가스종에 대한 선택성과 감응도 향상을 위해 금속 촉매 등을 센서 물질 표면이나 내부 등에 형성시키는 방안이 많이 연구되고 있다. 1차원 구조 반도성 물질인 나노섬유 내에 금속 촉매를 형성시켜 특정 가스에 대한 선택성과 감응도를 향상시키는 연구가 보고된 바 있다. 선행연구에 의하면 Au와 Pt입자가 형성된 나노섬유의 경우, 각각 CO와 toluene가스에 대하여 선택적인 감응을 나타내는 것으로 확인되었다. 본 연구에서는 전기방사법과 광환원법을 동시에 이용하여 Au와 Pt 입자가 포함된$SnO_2$ 나노섬유를 합성하고, 이들 나노섬유의 가스감응 특성을 연구하였다.그래핀은 차세대 2차원 물질로서 지금까지 활발히 연구되어 왔으나 밴드갭이 없기 때문에 전자소자로서의 응용이 매우 제한적이다. 최근에 그래핀을 대체할 수 있는 물질로서 Transition Metal Dichalcogenides (TMDs)가 주목을 받고 있다. 특히, TMDs 중에서$MoS_2$ 는 bulk일 때 indirect한 1.2 eV인 밴드 갭을 갖고 있으나, layer가 줄어들면서 direct한 1.8 eV인 밴드갭을 가진다. 국내외 여러 연구 그룹에서$MoS_2$ 를 이용하여 제작한 Field Effect Transistor (FET)는 high-$\small{K}$ gate가 산입되지 않은 경우에 on-off ratio와 mobility가 각각$10^6$ 와 약$3cm^2/Vs$ 로 나타나고 있다. 이와 같이 아주 우수한 전기적, 광학적 특성을 갖는 소자 응용성을 가지고 있다. 최근까지의 연구결과들은 대부분 mechanical exfoliation method (MEM) 로 제작된$MoS_2$ monolayer를 이용하였으나, 이 방법은 large scale 및 layer controllable에는 적합하지 않다. 본 연구에서는 대면적의 집적회로 응용에 적합한 chemical vapor deposition법을 이용하여$MoS_2$ 를 성장하였다. 높은 결정성을 위해 sulphur (powder purity 99.99%)와 molybdenum trioxide(powder purity 99.9%)를 이용하고, Ar 가스 분위기에서 sulphur powder 및 molybdenum trioxide powder를 각각$130^{\circ}C$ 및$1000^{\circ}C$ 로 유지하며$MoS_2$ 박막을 성장하였다. 성장된$MoS_2$ 박막은 Atomic force Microscopy (AFM)을 통해 박막의 단차와 roughness을 확인하였다. 또한, X-ray Diffraction (XRD) pattern 분석으로 박막의 결정성을 확인하였으며, Raman Spectroscopy, X-ray Photoelectron Spectroscopy (XPS), Photoluminescence (PL) 측정으로 광학적 특성을 분석하였다.Han, Myeong-Su;Kim, Dae-Hyeon;Choe, In-Gyu;Go, Hang-Ju;Eom, Ju-Beom;Park, Jae-Seok;Sin, In-Hui;Lee, Byeong-Il;Kim, Du-Geun 376.2
마이크로볼로미터 적외선 센서는 인체감지, 전자부품의 품질검사, 에너지 절감, 산업시설감시 및 군사용으로 다양하게 적용되고 있다. 기존에 이러한 적외선 센서의 감지재료로 VOx 또는 비정질 Si이 가장 많이 사용되고 있으며, VOx는 감도가 높고, 세계적으로 가장 많이 사용되고 있는 물질이다. 본 연구에서는 기존의 VOx 박막 증착법을 개선하여 Zn 산화물 박막을 혼용한 적외선 감지재료를 이용한 마이크로볼로미터 제작 및 특성에 대해 보고한다. RF sputtering 방법으로 약 140 nm의 VOx/ZnO/VOx 샌드위치 박막을 증착하고, 산소분위기에서 열처리함으로써 온도저항계수(TCR)가 약 -3.0 %/K의 값을 갖는 특성을 구현하였다. 갓 증착된 V-Zn 박막에서는 XRD 스펙트럼에서는 V2O5 관련 피크가 주로 관측되었으며, 산소열처리에 의해 VO2 피크가 새롭게 관측되었다. 볼로미터 감지소자는 유효면적$50{\times}50{\mu}m^2$ 으로 bulk micromaching 공정을 통해 제작하였다. Si 기판위에 SiNx 박막을 PECVD 장치를 이용하여 증착하였으며, 적외선 감지층으로 V-Zn 산화물을 RF sputtering 방법으로 증착하여 열처리 후 SiNx passivation 박막으로 보호하였다. 열적고립을 위해 패터닝 후 Si 기판을 KOH 용액을 이용하여 약$20{\mu}m$ 식각하여 소자를 구현하였다. 제작된 소자의 특성을 평가한 결과 반응도는 1.57e+4 V/W, 탐지도는$8.79e+7cmHz^{1/2}/W$ 를 얻을 수 있었다. 소자의 동작 특성을 평가하기 위해 진공 압력을 1e-3 torr 이하에서 thermoelectric cooler를 장착한 metal package를 제작하여 동작온도에 따른 특성을 평가하였다. 동작온도를$10^{\circ}C{\sim}40^{\circ}C$ 로 하여 측정한 결과 동작온도가 증가할수록 신호전압은 감소함을 알 수 있었다.In this study, we investigated that the resistance switching characteristics of Nb-doped HfO2 films with increasing Nb doping concentration. The Nb-doped HfO2 based ReRAM devices with a TiN/Nb-doped HfO2/Pt/Ti/SiO2 were fabricated on Si substrates. The Nb-doped HfO2 films were deposited by reactive dc magnetron co-sputtering at$300^{\circ}C$ and oxygen partial ratio of 60% (Ar: 16sccm, O2: 24sccm). Microstructure of Nb-doped HfO2 films and atomic concentration were investigated by XRD, TEM, and XPS, respectively. The Nb-doped HfO2 films showed set/reset resistance switching behavior at various Nb doping concentrations. The process voltage of forming/set is decreased and whereas the initial current level is increased in doped HfO2 films. However, the switching properties of Nb-doped HfO2 were changed above the specific doping concentration of Nb. The change of resistance switching behavior depending on doping concentration was discussed in terms of concentration of non-lattice oxygen and micro-structure of Nb-doped HfO2.We have investigated optical characteristics of$p-Ge_{0.99}Sn_{0.01}$ and Ge films grown on Si substrates using photoreflectance (PR) spectroscopy. The$Ge_{0.99}Sn_{0.01}$ and Ge films were grown by using an ultra-high vacuum chemical vapor deposition and molecular beam epitaxy methods, respectively. PR spectra were measured at 25 K and an extended InGaAs detector was used. By comparing$Ge_{0.99}Sn_{0.01}/Si$ and Ge/Si spectra, we observed the signals related to direct transition and split-off band of$Ge_{0.99}Sn_{0.01}$ . The transition energies of$Ge_{0.99}Sn_{0.01}$ and Ge films were approximately 0.74 and 0.84 eV, respectively. Considering the shift of split-off band transition of$Ge_{0.99}Sn_{0.01}$ , we suppose that the transition at 0.74 eV is attributed to direct transition between${\Gamma}$ band and valence band. The temperature- and excitation power-dependent PR spectra were also measured.Cha, Myeong-Jun;Song, U-Seok;Kim, Yu-Seok;Jeong, Dae-Seong;Jeong, Min-Uk;Lee, Su-Il;;An, Gi-Seok;Park, Jong-Yun 379.1
그래핀은 뛰어난 기계적, 화학적, 광학적, 전기적 특성을 가지고 있는 2차원 물질로써, 화학기상증착법을 이용한 대면적 합성법과 전사 공정을 통해 다양한 기판에서 사용이 가능해지면서 차세대 전자소재로 활용하기 위한 활발한 연구가 이루어지고 있다. 상온 대기에서 간편하게 적용 가능한 고분자용액공정을 도입하여, 그래핀과 폴리에틸렌이민(polyethyleneimine)의 다양한 적층구조를 제작하였다. 폴리에틸렌이민의 높은 밀도의 극성 기능기와 그래핀의 가스배리어 특성을 이용한 상호 보완적인 구조를 형성하여 외부 환경에 장시간 안정적이고 효과적인 n형 도핑 효과를 유지하였다. 그래핀에 결함 형성없이 도핑 농도 조절이 가능하며, 그래핀 고유의 선형적인 상태밀도를 이용한 일함수 조절효과를 확인하였다. 그래핀 p-n 접합 소자를 제작을 통해 베젤라고 렌즈 효과, 반정수 양자 홀 효과를 이용한 기초 연구에 접근이 가능할 것으로 보이며, 응용 분야에서는 태양광전지, 유기 전자 소자 분야 등 그래핀을 이용한 전기적 접촉 개선에 활용될 수 있을 것으로 보인다.열 화학기상증착법은 여러 가지 그래핀의 제작방법 중 대면적으로 양질의 그래핀을 효과적으로 합성할 수 있는 방법으로 널리 이용되고 있다. 이 방법으로 그래핀을 합성할 경우, 주요 변수로 성장 온도와 촉매 금속이 있으며 이를 적절히 조절함으로써 합성되는 그래핀의 결정성과 층수를 조절할 수 있다[1-3]. 본 연구에서는 탄소 용해도가 작은 두꺼운 촉매 금속 기판 위에 선택적인 위치에 탄소 용해도가 큰 얇은 촉매 금속을 증착하여 그래핀의 층수를 적절하게 제어하고자 한다. 그래핀을 합성하기 위해 온도를 증가시키는 과정에서 두 층의 촉매 금속은 표면 에너지를 낮추기 위해 합금을 형성하게 되며, 이 때 탄소 용해도가 변화할 것으로 예상된다. 이 변화하는 탄소 용해도에 맞추어 탄소 공급원인 메탄 가스를 주입하는 시기를 적절히 조절하게 되면, 합성되는 그래핀의 층수 조절이 가능할 것이라 예상한다. 탄소 용해도가 큰 금속으로 니켈을, 탄소 용해도가 작은 금속으로 구리를 선택하였다. 우선 니켈의 확산 거리를 계산하여 메탄 가스를 주입하는 적절한 온도를 결정하였으며, 이 온도를 기준으로 표면에서의 니켈의 함량을 분석하였다. 니켈의 함량과 표면에서의 탄소의 구성비의 관계를 조사한 결과, 본 실험에서 이용한 방법으로 그래핀의 층수를 조절하는 것이 가능하다는 것을 확인하였다.Go, Yong-Hun;Jeong, Dae-Seong;Adhikari, Prashanta Dhoj;Cha, Myeong-Jun;Jeon, Seung-Han;Park, Jong-Yun 380.1
열 화학기상증착법은 여러 가지 그래핀의 제작방법 중 대면적으로 양질의 그래핀을 효과적으로 합성할 수 있는 방법으로 널리 이용되고 있다. 이 방법으로 그래핀을 합성할 경우, 주요 변수로 성장 온도와 촉매 금속이 있으며 이를 적절히 조절함으로써 합성되는 그래핀의 결정성과 층수를 조절할 수 있다[1-3]. 본 연구에서는 탄소 용해도가 작은 두꺼운 촉매 금속 기판 위에 선택적인 위치에 탄소 용해도가 큰 얇은 촉매 금속을 증착하여 그래핀의 층수를 적절하게 제어하고자 한다. 그래핀을 합성하기 위해 온도를 증가시키는 과정에서 두 층의 촉매 금속은 표면 에너지를 낮추기 위해 합금을 형성하게 되며, 이 때 탄소 용해도가 변화할 것으로 예상된다. 이 변화하는 탄소 용해도에 맞추어 탄소 공급원인 메탄 가스를 주입하는 시기를 적절히 조절하게 되면, 합성되는 그래핀의 층수 조절이 가능할 것이라 예상한다. 탄소 용해도가 큰 금속으로 니켈을, 탄소 용해도가 작은 금속으로 구리를 선택하였다. 우선 니켈의 확산 거리를 계산하여 메탄 가스를 주입하는 적절한 온도를 결정하였으며, 이 온도를 기준으로 표면에서의 니켈의 함량을 분석하였다. 니켈의 함량과 표면에서의 탄소의 구성비의 관계를 조사한 결과, 본 실험에서 이용한 방법으로 그래핀의 층수를 조절하는 것이 가능하다는 것을 확인하였다.Kim, Yooseok;Lee, Su-il;Jung, Dae Sung;Cha, Myoung-Jun;Kim, Ji Sun;Park, Seung-Ho;Park, Chong-Yun 380.2
Direct synthesis of graphene using a chemical vapor deposition (CVD) has been considered a facile way to produce large-area and uniform graphene film, which is an accessible method from an application standpoint. Hence, their fundamental understanding is highly required. Unfortunately, the CVD growth mechanism of graphene on Cu remains elusive and controversial. Here, we present the effect of graphene growth parameters on the number of graphene layers were systematically studied and growth mechanism on copper substrate was proposed. Parameters that could affect the thickness of graphene growth include the pressure in the system, gas flow rate, growth pressure, growth temperature, and cooling rate. We hypothesis that the partial pressure of both the carbon sources and hydrogen gas in the growth process, which is set by the total pressure and the mole fraction of the feedstock, could be the factor that controls the thickness of the graphene. The graphene on Cu was grown by the diffusion and precipitation mode not by the surface adsorption mode, because similar results were observed in graphene/Ni system. The carbon-diffused Cu layer was also observed after graphene growth under high CH4 pressure. Our findings may facilitate both the large-area synthesis of well-controlled graphene features and wide range of applications of graphene.In this study, we investigated the deposition behavior and the etch resistivity of plasma polymerized carbon hardmask (ppCHM) film with the variation of process temperature. The etch resistivity of deposited ppCHM film was analyzed by thickness measurement before and after direct contact reactive ion etching process. The physical and chemical properties of films were characterized on the Fourier transform infrared (FT-IR) spectroscope, Raman spectroscope, stress gauge, and ellipsometry. The deposition behavior of ppCHM process with the variation of temperature was correlated refractive index (n), extinction coefficient (k), intrinsic stress (MPa), and deposition rate (A/s) with the hydrocarbon concentration, graphite (G) and disordered (D) peak by analyzing the Raman and FT-IR spectrum. From this experiment we knew an optimal deposition condition for structure of carbon hardmask with the higher etch selectivity to oxide. It was shown the density of ppCHM film had 1.6~1.9 g/cm3 and its refractive index was 1.8~1.9 at process temperature,$300{\sim}600^{\circ}C$ . The etch selectivity of ppCHM film was shown about 1:4~1:8 to undoped siliconoxide (USG) film (etch rate, 1300 A/min).Kim, Seok Hwan;Yeo, Sanghak;Yang, Jaeyoung;Park, Keunoh;Hur, Gieung;Lee, Jaeho;Lee, Jaichan 381.2
In this study the amorphous carbon films were deposited by PECVD at the substrate temperature range of 250 to$600^{\circ}C$ , and the process conditions of higher and lower precursor flow rate, respectively. The temperature was a main parameter to control the density and mirco-structures of carbon films, and their's properties depended with the process temperatrue are changed by controlling precursor flow rate. The precursor feeding rate affect on the plasma ion density and a deposition reactivity. This change of film properties was obtained the instrinsic stress, FT-IR & Raman analysis, refractive index (RI) and ext. coef. (k) measured by ellipsometer. In the process conditions of lower and higher flow rate of precursor it had a different intrinsic stress as a function of the substrate temperature.Graphene field-effect transistors (GFET) is one of candidates for future high speed electronic devices since graphene has unique electronic properties such as high Fermi velocity (vf=10^6 m/s) and carrier mobility ($15,000cm^2/V{\cdot}s$ ) [1]. Although the contact property between graphene and metals is a crucial element to design high performance electronic devices, it has not been clearly identified. Therefore, we need to understand characteristics of graphene/metal contact in the GFET. Recently, it is theoretically known that graphene on metal can be doped by presence of interface dipole layer induced by charge transfer [2]. It notes that doping type of graphene under metal is determined by difference of work function between graphene and metal. In this study, we present the GFET fabricated by contact metals having high work function (Pt, Ni) for p-doping and low work function (Ta, Cr) for n-doping. The results show that asymmetric conductance depends on work function of metal because the interfacial dipole is locally formed between metal electrodes and graphene. It induces p-n-p or n-p-n junction in the channel of the GFET when gate bias is applied. In addition, we confirm that charge transfer regions are differently affected by gate electric field along gate length.Kim, Jin-Un;Kim, Gyeong-Min;Kim, Yong-Ho;Kim, Su-Yong;Jo, Su-Ji;Lee, Eung-Sang;Seok, Jung-Hyeon 382.2
We explain a method to fabricate multi-layered transparent conductive films (TCF) using graphene oxide (GO), copper powder and polyurethane (PU) solution. The flexible graphene nanosheets (GNSs) serve as nanoscale connection between conductive copper nanoparticles (CuNps) and PU nanofibers, resulting in a highly flexible TCF. To fabricate conductive films with high transmittance, polyurethane (PU) nanofibers were used for a conductive network consisting of CuNps and GNSs (CuNps-GNSs). In this experiment, copper powder and graphene oxides were mixed in deionized water with the ultrasonication for 2 h. NaBH4 solution is used as a reduction agents of CuNps and GNSs (CuNps-GNSs) under a nitrogen atmosphere in the oil bath at 100% for 24 h to mixed. The purified and dispersed CuNp-GNS were obtained in deionized water, and diluted to a 10wt.% based on the contents of GNSs. Polyurethane (PU) nanofibers on a PET substrate were formed by electrospinning method. PET slides coated with the PU nanofibers were immersed into CuNp-GNS solution for several second, rinsed briefly in deionized water, and dried to obtain self-assembled CuNp-GNS/PU films. The morphology of the multi-layered films were characterized with a field emission scanning electron microscope (FE-SEM, Hitachi S-4700) and atomic force microscope (AFM, PSIA XE-100). The electrical property was analysed by the I-V measurement system and the optical property was measured by the UV/VIS spectroscopy.대면적 그래핀을 합성하는 방법으로 주로 화학기상증작법, SiC 기판을 고온 열처리하는 방법 그리고 최근에는 고체소스를 활용하여 그래핀을 합성하는 방법 등이 보고되고 있다. 이에, 본 연구에서는 폴리머 용액들을 원하는 기판에 스핀코팅하여 건조시킨 후, 후 열처리 공정을 통해 그래핀을 합성하고 물성을 평가해보았다. 그래핀 합성을 위해서 사용된 폴리머 탄소원은 Vinyl계 폴리머 용액으로, polystyrene (PS), polyacrylonitrile (PAN), 그리고 polymethylmetacrylate (PMMA) 등으로 2wt%의 폴리머 용액을$SiO_2$ 기판에 스핀 코팅을 하고, 그 위에 Nickel이나 Copper와 같은 catalytic metal을 capping layer로 증착하고, 고진공에서 후열처리 공정에 의해 그래핀을 성장하였다. 이때, 탄소원으로 쓰인 PS, PMMA 폴리머는 pristine graphene 합성을 위해, PAN 폴리머는 질소가 도핑된(n-type) 그래핀 합성을 위해 사용되었다. 그래핀의 물성은 폴리머 종류, 코팅된 두께, 촉매 금속층 종류와 두께, 그리고 후열처리 공정 온도와 시간에 따라서 조절이 가능하였다. 우리는 Raman spectroscopy, AFM, SEM 등을 활용하여 그래핀의 층수, 결함, 표면양상 등을 평가하였고, 또한 전사된 그래핀을 기반으로 제작된 FET의 게이트 전압에 따른 I-V 곡선을 측정하여 캐리어 종류 및 전하 이동도 등을 평가하였다. 더욱 상세한 내용은 프레젠테이션에서 논하겠다.We investigated the electrical and structural properties of chemical vapor deposition (CVD)-grown graphene and post treated by O2 plasma. For the patterning of graphene, the plasma technology is generally used and essential for etching of graphene. But, the cautious O2 plasma treatments are required to avoid the damage in graphene edge which can be the harmful effects on the device performance. To analyze the effects of plasma treatment on structural properties of graphene, the change of surface morphology of graphene are measured by scanning electron microscope and atomic force microscope before and after plasma treatment. In addition, the binding energy of carbon and oxygen are measured through to X-ray photoelectron spectroscopy. After plasma treatment, the severe changes of surface morphology and binding energy of carbon and oxygen were observed which effects on the change of sheet resistance. Finally, to analyze of graphene characteristics, we measured the Raman spectroscopy. The measured results showed that the plasma treatment makes the upward of D-peak and downward of G'-peak by elevated power of plasma.$Bi_2Te_3$ 는 전기적, 열적 특성 등이 아주 흥미로운 소재로, 열전소자 응용 및 위상절연체(Topologycal insulator: TI)로써의 연구가 활발히 진행되고 있는 소재이다. 한편, 전기적, 광학적, 기계적, 열적 특성들이 매우 뛰어나 신소재로 각광받고 있는 그래핀은 나노소재의 합성 분야에서도 기판으로 활용되어, 최근에는 그래핀을 기판으로 한 고품질 나노소재의 합성에 관한 연구보고가 증가하고 있다. 이에, 본 연구에서는 그래핀을$SiO_2$ 에 전사한 기판 및$SiO_2$ 기판 위에$Bi_2Te_3$ 나노 구조를 합성하고 다양한 분석을 하였다. 라만 스펙트럼 및 XRD를 통해$Bi_2Te_3$ 임을 확인하였고, 비정질$SiO_2$ 기판과 결정질 그래핀/$SiO_2$ 기판 그리고 구리호일과 그래핀/구리 호일 위에서 합성된$Bi_2Te_3$ 나노구조를 SEM 및 TEM을 이용하여 비교 분석 하였다. 또한 기초적인 전기물성을 평가하였다.그래핀은 전기적, 광학적, 역학적, 열적 특성 등이 아주 좋은 소재이다. Thermal Chemical Vapor Deposition (T-CVD) 장비를 이용 저 진공, 고온에서 CH4과 H2를 가스를 사용하여 그래핀을 합성을 하였다. 그래핀은 탄소만으로 이루어진 2차원 층상구조를 가지고 있다. 촉매 금속 위에서 합성이 이루어지기에 합성된 그래핀을 바로 다른 응용하기에는 어려움이 따른다. 따라서 촉매 금속에서 그래핀을 분리하여 원하는 곳으로 옮기는 과정이 필요한데, 이를 전사공정이라 한다. 최근 전기분해를 이용하여 발생되는 수소 버블을 사용하여 그래핀을 촉매로 사용되는 금속으로부터 분리해내는 Electrochemical Delamination(ED) 전사방식이 소개가 되었다. 이러한 전사 방식의 장점은 촉매기판을 제거하지 않음으로써, 다시 재활용이 가능하고, 공정에 필요한 시간이 짧다. 또한 표면에서 직접적으로 분리하는 방식이기에 촉매 금속의 양면을 사용이 가능하다. 이러한 ED방식의 장점이 있기에 공정의 최적 조건을 잡기 위하여 변수들을 바꾸어가면서 실험을 하였다. 전사된 그래핀은 표면을 광학현미경으로 확인하였고, 라만 분광기를 사용하여 라만 스펙트럼과 기본적인 전기특성을 확인하여 특성을 평가하면서, 기존의 전사방식을 사용한 그래핀 샘플과 비교분석 하였다.The ionic polymer-metal composite (IPMC), a type of electro-active polymer material, has received enormous interest in various fields such as robotics, medical sensors, artificial muscles because it has many advantages of flexibility, light weight, high displacement, and low voltage activation, compare to traditional mechanical actuators. Mostly noble metal materials such as gold or platinum were used to form the electrode of an IPMC by using electroless plating process. Furthermore, carbon-based materials, which are carbon nanotube (CNT) and reduced graphene-CNT composite, were used to alter the electrode of IPMC. To form the electrode of IPMC, we employ the synthesized graphene on copper foil by chemical vapor deposition method and use the transfer process by using a support of PET/silicone film. The properties of graphene were evaluated by Raman spectroscopy, UV/Vis spectroscopy, and 4-point probe. The structure and surface of IPMC were analyzed via field emission scanning electron microscope. The fabricated IPMC performance such as displacement and operating frequency was measured in underwater.본 연구에서는 바이오 센서 응용을 위해 그래핀을 전극으로 제작하여 그래핀 표면 결함준위에 따른 센서의 민감도를 전기화학 실험을 통해 관찰하였다. 그래핀은 니켈/구리촉매를 이용한 저 진공 화학 기상 증착 장비(Low-Pressure Chemical Vapor Deposition; LP-CVD)와 Photo-lithography로 제작한 것과 탄소 산화물을 환원시켜 만든 환원-그래핀, 두 가지를 사용하였다. 전기화학 실험에서 그래핀 전극 및 Silver/Silver chloride (Ag/AgCl), Fluorine doped Tin Oxide (FTO)은 작업 전극 및 기준 전극, 상대 전극으로 각각 사용하였고, 반응용액은 potassium hexacyanoferrate (III)를 농도를 다르게 하여 사용하였다. 그래핀의 표면 상태, 층수, 결함 정도 등 구조적인 특성은 원자력현미경(Atomic Force Microscopy; AFM), 주사 전자 현미경(Secondary Electron Microscopy; SEM)과 Raman spectroscopy를 각각 이용하여 확인하였고, 그래핀의 결함준위에 따른 반응면적 및 센서 감도 의존성을 전류모드-원자력현미경(Current-Atomic Force Microscopy; I-AFM)과 전기화학 임피던스 분광법(Electrochemical Impedance Spectroscopy; EIS)를 통해 그래핀 전극의 성능을 분석하고, 그래핀 결함 준위에 따른 센서 감도 의존성은 순환전위 분광법 (Cyclic Voltammetry; CV)를 이용하여 관찰하였다. 또한 농도가 다른 반응용액은 센서의 민감도를 관찰하는데 사용하였다. 결과적으로 LP-CVD로 성장한 그래핀과 환원-그래핀의 결함준위에 따른 센서의 성능을 비교 분석한 결과와 반응용액 농도에 따른 센서의 민감도 결과는 그래핀 바이오센서에 대한 응용 및 상용화를 앞당기는데 기여할 것으로 예상한다.A new class of temperature-sensing materials is demonstrated along with their integration into transparent and flexible field-effect transistor (FET) temperature sensors with high thermal responsivity, stability, and reproducibility. The novelty of this particular type of temperature sensor is the incorporation of an R-GO/P(VDF-TrFE) nanocomposite channel as a sensing layer that is highly responsive to temperature, and is optically transparent and mechanically flexible. Furthermore, the nanocomposite sensing layer is easily coated onto flexible substrates for the fabrication of transparent and flexible FETs using a simple spin-coating method. The transparent and flexible nanocomposite FETs are capable of detecting an extremely small temperature change as small as$0.1^{\circ}C$ and are highly responsive to human body temperature. Temperature responsivity and optical transmittance of transparent nanocomposite FETs were adjustable and tuneable by changing the thickness and R-GO concentration of the nanocomposite.Kim, Na-Yeong;Baek, Seung-Deok;Lee, Yeon-Seung;Kim, Hyeong-Cheol;Na, Sa-Gyun;Choe, Seong-Chang 387.2
최근 이동통신 LED 에너지 자동차 산업분야에서 제품의 고기능화 고성능화를 위한 신소재 개발 및 친환경적인 신공정 개발에 있어, PI 또는 PET와 같은 유연성 소재 위에 선택적 패턴 도금 기술, 고기능성 나노/복합 도금 등이 주목 받고 있다. 또한 전 세계적으로 유해물질의 수 출입 규제 움직임이 강력하게 제기되고 있다. 본 연구에서는 유연성 소재인 PET 위에 친환경적 방법으로 구리를 선택적으로 도금하기 위한 실험을 진행하였다. 준비된 PET 필름 위에 Ag paste를 Screen Printing법을 이용하여 Ag 전극을 패턴하고, 그 위에 무전해 도금방식을 이용하여 Ni과 Cu가 도금 되도록 하였다. Ni 무전해 도금은 pH6.5, 65도에서 시행되었으며, Cu 무전해 도금은 환경규제물질인 포름알데히드 대신에 차아인산나트륨을 사용하여 70도에서, 중성근처의 pH 농도(pH7과 pH8)에서 시행되었다. 이들 다층 박막에 대해 X-ray diffraction (XRD), SEM (Scanning Electron Microscope), XPS (X-ray Photoelectron Spectroscopy) 등을 이용하여 물리-화학적/전기적 특성들을 이용하여 조사 분석하였다.The performance of PVA(polyvinyl alchol) is better than another organic dielectric material. Therefore, PVA has been researched for organic and in-organic dielectric material. But research of changing PVA's dielectric constant and leakage current by increasing temperature and concentration was insigificant. We try to find pure PVA and cross-linked PVA's characteristic of dielectric by changing temperature and concentration. 5/10/15wt% PVA concentration (5% interval) was in progress, PVA at$100/150/200/250^{\circ}C$ ($50^{\circ}C$ interval) of experiments was conducted in relation to temperature. The higher the concentration, leakage currents decrease, and dielectric constant is increased. With regard to temperature, we could not see a big change of leakage current and dielectric constant of pure PVA until$200^{\circ}C$ . However, we could see a tendency to increase significantly at$250^{\circ}C$ . Also, leakage current and dielectric constant of cross-linked PVA gradually increased from at$100^{\circ}C$ to$200^{\circ}C$ and then sharply increased from at$250^{\circ}C$ . We tried to find that PVA's inner bonds(hydroxyl group (OH-) lead to the results.Jeon, Seung-Han;Song, U-Seok;Jeong, Dae-Seong;Cha, Myeong-Jun;Kim, Seong-Hwan;Kim, Yu-Seok;Lee, Su-Il;Park, Jong-Yun 388.2
최근 그래핀 연구와 더불어 2차원 구조의 나노소재에 대한 관심이 급증하면서 육각형의 질화붕소(hexagonal boron nitride; h-BN) 박막(nanosheet) [1]이나 붕소 탄화질화물(boron caronitride; BCN) 박막 [2,3]와 같은 2차원 구조체에 대한 연구가 활발히 진행되고 있다. 그 중 BCN은 반금속(semimetal)인 흑연(graphite)과 절연체인 h-BN이 결합된 박막으로 원소의 구성 비율에 따라 전기적 특성을 제어할 수 있다는 장점이 있다. 따라서 다양한 나노소자로의 응용을 위한 연구가 활발히 진행되고 있다. 본 연구에서는 폴리스틸렌(polystyrene, PS)과 보레인 암모니아(borane ammonia)를 고체 소스로 이용하여 열화학기상증착법을 이용하여 BCN 박막를 SiO2 기판 위에 직접 합성하였다. SEM과 AFM 관측을 통해 합성된 BCN 박막을 확인하였으며, RMS roughness가 0.5~2.6 nm로 매우 낮은 것을 확인하였다. 합성과정에서 PS의 양을 조절하여 BCN 박막의 탄소의 밀도를 성공적으로 제어하였으며, 이에 따라 전기적인 특성이 제어되는 양상을 확인하였다. 또한 합성온도 변화에 따른 BCN 박막의 전기적인 특성이 제어되는 양상을 확인하였다. 추가적으로 같은 방법을 이용하여 BCN 박막을 Ni 위에서 합성하여 SiO2 기판위에 전사 하였다. 합성된 BCN 박막의 구조적 특징과 화학적 조성 및 결합 상태를 투과전자현미경(transmission electron microscopy), X-선 광전자 분광법(X-ray photoelectron spectroscopy)을 통해 조사하였다.최근의 환경 및 에너지에 대한 관심으로 수요가 증가하고 있는 하이브리드 및 전기 자동차나 태양광발전, 풍력발전용의 인버터기기에는 고에너지밀도 커패시터가 필수적이 되었다. 높은 에너지 밀도를 요구하는 전력전자, 펄스파워 등의 응용분야에 사용되는 고에너지밀도 커패시터는 PET (Polyethylene terephtalate)와 PP (Polypropylene)와 같은 폴리머 유전체를 사용하는 범용 필름 커패시터가 사용되었으나 사용 요구 조건의 한계에 도달하여, 새로운 유전체를 적용하는 커패시터가 절실히 필요한 상황이다. PET와 PP와 같은 유전체는 유전상수가 2~3의 낮은 값을 가지고 있어 고에너지밀도를 구현하기가 어렵다. 본 연구에서는 새롭게 요구되고 있는 고에너지 밀도 커패시터의의 성능을 만족시키기 위하여$20{\sim}50{\mu}m$ 두께의 PET 필름상에 세라믹 유전체인$ZrO_2$ 박막을 스퍼터(Sputter) 증착법에 의해 코팅하여 종래의 필름 커패시터와 세라믹 커패시터의 장점을 갖는 커패시터를 제조하기 위한 박막 유전재료의 개발을 목표로 하였다. 수백 nm~수${\mu}m$ 두께의$ZrO_2$ 박막을 스퍼터링 공정조건에 따라 증착한 후 박막의 결정성, 기판과의 부착성, 증착속도, 유전상수, 절연파괴강도, 온도안정성 등을 XRD, SEM, AFM, EDS, XPS, Impedance analyzer 등에 의해 평가하였다.$ZrO_2$ 유전체막은 상온에서 증착하였음에도 정방정(tetragonal)구조의 결정질로 성장하였고 증착압력이 증가함에 따라 주피크의 세기가 감소하였다. 증착 중 산소가스를 주입하였을 경우에도 결정질막으로 성장하였다. 증착막들은 산소가스의 양이 증가함에 따라 짙은 흰색으로 변하였으며 PET 기판과의 접착력도 약해졌다. 또한 거칠기는 Ar가스만으로 증착한 경우보다 증가하였으며 24~66 nm의 평균 거칠기값을 보였다. PET위에 Ar가스만으로 증착한$ZrO_2$ 의 비유전율은 1kHz에서 116~87의 비유전율을 보여 PET에 비해 매우 우수한 특성을 보였다.$ZrO_2$ 막들은 300kV/cm의 전계에서 대략 10-8A 이하의 누설전류를 보였다. 증착가스비를 달리하여 제조된 시편에서도 유사한 누설전류값을 나타내었다. 300 kV/cm 전후의 전계까지 측정한$ZrO_2$ 막의 P-E (polarization-electric field) 특성을 확인하였는데, 5 mTorr의 압력에서 증착한 막은 253 kV/cm에서$5.5{\mu}C/cm^2$ 의 분극값을 보였다. P-E커브의 기울기와 분극량에 따라 에너지밀도가 달라지므로 공정조건에 따라 에너지밀도가 변화됨을 예측할 수 있었다. PET위에 스퍼터 증착한$ZrO_2$ 유전체막은 5mTorr의 Ar가스분위기에서 제조할 때 가장 안정적인 구조를 보였으며, 고에너지밀도 커패시터에의 적용가능성을 보였다.Jung, Soon-Won;Choi, Jeong Seon;Park, Chan Woo;Na, Bock Soon;Lim, Sang Chul;Lee, Sang Seok;Cho, Kyoung Ik;Chu, Hye Yong;Koo, Jae Bon 389.2
Polydimethylsiloxane (PDMS) based electronic devices are widely used for various applications in large area electronics, biomedical wearable interfaces and implantable circuitry where flexibility and/or stretchability are required. A few fabrication methods of electronic devices directly on PDMS substrate have been reported. However, it is well known that micro-cracks appear in the metal layer and in the lithography pattern on a PDMS substrate. To solve the above problems, a few studies for fabrication of stiff platform on PDMS substrate have been reported. Thin-film islands of a stiff region are fabricated on an elastomeric substrate, and electronic devices are fabricated on these stiff islands. When the substrate is stretched, the deformation is mainly accommodated by the substrate, and the stiff islands and electronic devices experience relatively small strains. Here, we report a new method to achieve stiff islands structures on an elastomeric substrate at a various thickness, as the platform for stretchable electronic devices. The stiff islands were defined by conventional photolithography on a stress-free elastomeric substrate. This technique can provide a practical strategy for realizing large-area stretchable electronic circuits, for various applications such as stretchable display or wearable electronic systems.Yun, Dang-Mo;Kim, Il-Gu;Jo, Song-Jin;Kim, Mi-Yeong;Lee, Seung-Hyeon;Lee, Beom-Ju;Lee, Su-Hyeong;Lee, Chung-Hun;Sin, Jin-Guk 391.1
광물질로 인광물질을 사용한 유기발광다이오드(Organic Light Emitting Diode, OLED)는 재결합에 의해 형성된 여기자를 발광에 모두 이용할 수 있기 때문에 내부 양자효율이 100%로 알려져 있다. 하지만 유기층에서 발생된 빛이 소비자에게 전달되기까지의 경로에서 발생되는 wave guiding effect로 인해, 발생된 빛의 20%만이 전달된다. 특히 bottom emission type의 OLED에서 glass와 air사이의 굴절률이 달라 발생되는 전반사에 의해 손실되는 빛의 양은 35%에 달한다. 따라서 본 연구에서는 glass와 air사이의 전반사를 줄이고 광추출을 위해 습식 방법으로 hemisphere type의 ZnS를 제작하였다. 제작된 ZnS는 직경 200nm까지 성장하였으며, 이렇게 제작된 ZnS nano lens가 적용된 OLED device에서 휘도가 20% 이상 향상되는 것을 확인하였다.Solution-processible hybrid bipolar field effect transistors (HBFETs) with balanced hole and electron mobilities were fabricated using a combination of the organic p-type poly (3-hexylthiophene) (P3HT) layer and inorganic n-type ZnO material. The hole and electron mobilities were first optimized in single layer devices by using acetonitrile as a solvent additive to process the P3HT and annealing to process the ZnO layer. The highest hole mobility of the P3HT-only-devices with 5% acetonitrile was 0.15 cm2V-1s-1, while the largest electron mobility was observed in the ZnO-only-devices annealed at$200^{\circ}C$ and found to be$7.2{\times}10-2cm2V-1s-1$ . The inorganic-organic HBFETs consisting of P3HT with 5% acetonitrile and ZnO layer annealed at$200^{\circ}C$ exhibited balanced hole and electron mobilities of$4.0{\times}10-2$ and$3.9{\times}10-2cm2V-1s-1$ , respectively. The effect on surface morphology and crystallinity by adding acetonitrile and thermal annealing were investigated through X-ray diffraction and atomic force microscopy (AFM). Our findings indicate that techniques demonstrated herein are of great utility in improving the performance of inorganic-organic hybrid devicesIsoindigo based small molecules have attracted much attention in the field of optoelectronic devices due to their broad absorbance and high charge carrier mobilitiies. Herein, we investigate the field effect transistor characteristics of a series of isoindigo based donor-acceptor-donor (D-A-D) small molecules containing a variable number of thiophene moieties (named IDT, ID2T, and ID3T) which form pi-bridges between the D and A moieites and a different donor moiety (IDED). In order to improve the carrier mobility, 1-chloronaphthalene (CN) and 1,8-diiodooctane (DIO) as solvent additives were used. The film morphology, crystallinity and optical properties of the materials processed with various concentrations of solvent additives were investigated through atomic force microscopy (AFM), X-ray diffraction (XRD) and UV-vis absorption spectroscopy.Poly (vinylidene fluoride) thin films were deposited by a spray-coating technology. Two organic solvents with different boiling point were used to prepare the mixture solution for spray coating process: N-Methylpyrrolodone ($B.P.=202^{\circ}C$ ); Tetrahydrofuran ($B.P.=66^{\circ}C$ ). Post-deposition annealing temperature was varied for the spray-coated Poly(vinylidene fluoride) thin films. Structural characteristics of the thin films were comparatively investigated by FT-IR and XRD in relation with the organic solvent and post-deposition annealing temperature.유기물/무기물 나노복합체를 이용하여 제작한 유기비휘발성 메모리 소자는 간단한 공정과 플렉서블기기 응용 가능성 때문에 많은 연구가 진행되고 있다. 유기물/무기물 나노복합체를 사용하여 제작한 비휘발성 메모리 소자의 전기적 성질에 대한 연구는 많이 진행되었으나, Poly (N-vinylcarbazole) (PVK) 박막 내부에 분산된 친환경 CuInS2 (CIS) 나노입자를 이용하여 제작한 유기 쌍안정 소자의 메모리 특성에 대한 연구는 미미한 실정이다. 본 연구에서는 PVK박막층안에 분산된 CIS나노입자를 사용한 메모리 소자를 제작하여 전기적 특성에 대하여 연구하였다. 화학적으로 합성 된 CIS 나노입자를 톨루엔에 용해되어있는 PVK에 넣고 초음파 교반기를 사용하여 두 물질을 고르게 섞었다. 전극이 되는 indium-tin-oxide 가 성장된 유리 기판 위에CIS 나노입자와 PVK가 섞인 용액을 스핀코팅 한 후, 열을 가해 용매를 제거하여 CIS 나노입자가 PVK에 분산되어 있는 나노복합체 박막을 형성하였다. 형성된 나노복합체 박막 위에 상부 전극으로 Al을 열증착하여 비휘발성 메모리 소자를 제작하였다. 제작된 소자의 전류-전압 측정 결과는 메모리 특성을 나타내었으며, CIS 나노입자를 포함하지 않은 소자와의 비교를 통해 CIS나노입자가 비휘발성 메모리 소자에서 메모리 특성을 나타내게 하는 역할을 확인하였다. 전류-시간 측정 결과 소자의 ON/OFF 전류 비율이 시간에 따라 큰 변화 없이 1,000 회 이상 지속적으로 유지함을 관찰함으로써 소자의 전기적 기억 상태 안정성을 확인하였다.유기물/무기물 나노복합체를 이용하여 제작한 비휘발성 메모리 소자는 유기 박막 소자의 응용 때문에 많은 연구가 진행되고 있다. 유기물 박막 안에 분산된 금속 나노입자를 사용하여 제작한 메모리 소자의 전기적 특성 향상에 대한 연구가 많은 주목을 받고 있다. 본 연구에서는 Ag 금속 나노입자가 유기물 박막 안에 분산된 유기 쌍안정성 메모리 소자에서 메모리 특성 및 나노입자의 분산 농도에 따른 전기적 특성에 미치는 영향을 연구하였다. 화학적 방법을 이용하여 합성한 Ag 금속 나노입자를 클로로벤젠에 용해되어 있는 polymethylmethacrylate (PMMA) 용액을 제작하였다. Ag 금속 나노입자 포함된 용액을 p-형 Si 기판 위에 스핀 코팅한 후, 열을 가해 남아있는 용매를 제거하여 Ag 금속 나노입자가 PMMA 유기물에 분산되어 있는 나노복합체 박막을 형성하였다. 형성된 Ag 금속 나노입자가 포함된 나노복합체 박막 위에 상부 전극으로 Al을 열증착하여 비휘발성 메모리 소자를 제작하였다. 제작된 소자의 전하 저장 능력을 측정하여 Ag 금속 나노입자를 포함하지 않은 소자의 전하 저장 능력과 비교하여 Ag 금속 나노입자가 메모리 소자에서의 전하 저장 매체의 중요한 역할인 것을 확인하였다. Ag 금속 나노입자의 농도에 따른 전하 저장 능력 및 전기적 특성에 대해서도 측정 및 확인 하였다.고효율 및 낮은 구동 전압을 가지는 유기 발광소자를 제작하기 위한 많은 연구가 진행되고 있다. 고효율 및 낮은 구동전압을 가지는 p-i-n 유기발광소자는 정공수송층에 p형 무기 도펀트를 도핑하고, 전자수송층에 n형 무기 도펀트를 사용하여 제작하지만, 무기 도펀트는 높은 온도에서 증착하기 때문에 챔버 내의 다른 유기 물질들이 함께 증착되거나 유기 박막에 손상을 가져올 수 있는 단점을 가지고 있기 때문에 유기물 n형 도펀트의 경우는 연구가 필요하다. 본 연구에서는 유기 p형 도펀트인 1,4,5,8,9,11-hexaazatriphenylene hexacarbonitrile과 유기 n형 도펀트인 bis (ethylenedithio)-tetrahiafulene (BEDT-TTF)를 사용하여 p-i-n 구조의 유기 발광소자를 제작하였다. 유기 n형 도펀트인 BEDT-TTF는 전자수송층 사이에서 산화-환원 반응을 통해 많은 전자를 생성하게 되고, 증가한 전자들로 인해서 Al 음극전극과 전자수송층 사이의 에너지장벽이 낮추는 역할을 하게 된다. BEDT-TTF를 도핑하지 않은 유기 발광소자보다 BEDT-TTF를 도핑하였을 때, 100 cd/m2 일때 약 2.4 V 작동 전압의 감소를 관측할 수 있었다. 이 결과는 음극전극으로부터 발광층으로 전자의 주입이 원활하게 되고, 그 결과 낮은 구동전압 및 고효율을 가지는 p-i-n 유기 발광소자를 제작할 수 있다는 것을 보여준다.We fabricated highly transparent and flexible Ti doped In2O3 (TIO)/Ag nanowire(NW)/TIO (TAT) multilayer electrodes by linear facing target sputtering (LFTS) and brush-painting for used as flexible for anode organic solar cells(FOSCs). The characteristics of TAT transparent anode as a function of number of brush-painting cycles was also investigated. At optimized conditions we achieved highly flexible TAT multilayer electrodes with a low sheet resistance of$9.01{\Omega}/square$ and a high diffusive transmittance more than 80% in visible region as well as superior mechanical stability. The effective embedment of the Ag NW network between top and bottom TIO films led to a metallic conductivity, high transparency. Based on FE-SEM HRTEM, and XRD analysis, we can find that the Ag NW network was effectively embedded between top and bottom TIO layers due to good flexibility of Ag NW, the TAT multilayer showed superior flexibility than single TIO layer. Successful operation of FOSCs with high power conversion efficiency of 3.01% indicates that TAT hybrid electrode is a promising alternative to conventional ITO electrode for high performance FOSCs.차세대 디스플레이 소자 기술로 많은 주목을 받고 있는 유기발광소자는 현재 전류효율 향상과 낮은 구동전압과 관련하여 연구가 활발하게 진행되고 있다. 음극과 양극 전극에서 유기물 층으로 전자와 정공의 주입이 많아져도 유기발광 층에서 재결합하는 전자와 정공의 균형이 맞지 않으면 전류 효율과 휘도가 낮아지는 문제점이 있다. 유기발광소자에서 홀 주입 층으로 사용하는 자기조립박막층은 일반적인 유기발광소자에서 정공의 이동도가 낮은 단점을 보완하여 발광층에서 전자와 정공의 균형을 향상하여 전류효율을 향상과 낮은 구동전압 특성을 나타낸다. 본 연구에서는 홀 주입 층으로 사용되는 각각의 자가조립박막을 형성할 물질이 용해되어 있는 에탄올 용액에 ITO를 담가 자가조립박막을 ITO 위에 형성 시킨다. 각각의 홀 주입 층으로 사용된 자가조립박막층의 chain group의 길이와 ITO와 결합하는 head group에 따라 달라지는 쌍극자 모멘트에 의한 홀 주입의 변화를 통해 각 소자의 전류효율과 구동전압 관찰할 수 있었다. 자가조립박막층의 chain group의 길이가 길어질수록 전극으로부터 유기물 층으로의 홀 주입을 방해하여 발광 층에서의 전자와 정공의 재결합 균형이 무너짐으로써 전류효율과 휘도가 낮아지는 경향을 볼 수 있었다. 이 연구 결과는 자가조립박막층을 홀 주입 층으로 대체하는 구조로 유기발광소자의 효율 향상에 대한 기초자료로 활용할 수 있다.백색유기발광소자는 낮은 구동전압, 낮은 소비전력, 높은 명암비, 넓은 시야각과 높은 박막 특성으로 친환경 에너지와 관련해 주목을 받고 있어 연구가 활발하게 진행되고 있다. 백색 유기발광소자는 주로 R-G-B 영역의 발광층을 적층하여 제작한다. 하지만 전압의 변화에 따라 재결합 영역이 변화되면서, 색 안정성이 불안정한 문제점을 가지고 있다. 본 연구에서는 높은 색 안정성을 나타내는 백색 유기발광소자를 제작하기 위해 저분자와 고분자 혼합 발광층 구조를 사용하였다. 두 가지 이상의 고분자 혼합물을 스핀코팅하여 박막을 형성한 후, 열처리에 의한 상분리 현상을 이용하여 선택적으로 한가지 고분자 물질을 제거하여 적색 다공성 고분자 발광층을 형성하였다. 적색 다공성 고분자 발광층 위에 저분자 발광물질을 적층하여 홀주입을 향상하여 청색 발광층을 형성한다. 적색 다공성 고분자 발광층 물질과 혼합되는 고분자 물질의 혼합 비율과 혼합 층 두께에 따른 적색 고분자 다공성 박막의 변화를 원자힘 현미경을 통하여 관찰하였다. 혼합된 두 고분자 물질의 분자량의 차이에 의한 응집도의 차이로 인하여 혼합물 박막의 두께가 얇아지면서 미세구조의 경사도가 높아지고, 적색 다공성 고분자 발광층의 미세구조의 형태는 두 가지 고분자 혼합물의 혼합 비율의 변화에 따라 미세구조의 밀도가 높아진다. 본 연구 결과는 저분자와 고분자 혼합 발광층 구조를 사용하는 백색 유기발광소자의 색 안정성과 효율 향상에 대한 기초자료로 활용할 수 있다.백색 유기발광소자는 빠른 응답속도, 높은 색재현율 및 높은 색안정성의 특성으로 차세대 친환경 백색 광원으로 많은 주목을 받고 있다. 유기발광소자와 양자점을 혼합하여 사용한 백색 유기발광소자는 양자점의 높은 색순도와 고효율의 장점을 가지고 있기 때문에 연구가 활발하게 진행되고 있다. 녹색 및 적색 양자점을 색변환층으로 이용한 백색 유기발광소자는 두 양자점의 혼합 비율에 따라 연색성 및 색안정성이 변화하기 때문에 이에 관련 된 연구가 필요하다. 본 연구에서는 높은 색안정성을 가지는 백색 유기발광소자를 제작하기 위해 청색 유기발광소자 위에 용액 공정으로 녹색 및 적색 빛을 방출하는 CdSe/ZnS 양자점을 포함하는 색변환층을 도포했다. 녹색 및 적색 양자점은 250 nm부터 500 nm의 넓은 광 흡수대역을 가지고 있기 때문에 465 nm의 청색 발광소자의 빛을 흡수하여 각각 적색과 녹색 발광을 할 수 있다. 녹색 및 적색 양자점의 혼합 비율에 따른 광발광 스펙트럼 측정 결과를 통해 녹색 및 적색 양자점의 최적 혼합 비율이 7:3임을 확인하였다. 최적의 혼합 비율을 사용하여 제작 된 백색 유기발광소자의 전기적 및 광학적 특성을 전류-전압 측정과 전계발광 측정으로 비교 분석하였다. 9 V에서 14 V로 전압이 변화하는 동안 백색 유기발광소자의 색좌표의 변화는 (0.35, 0.33)에서 (0.35, 0.32)로 높은 색안정성을 나타냈다. 본 연구 결과는 유기발광소자와 양자점을 혼합하여 사용한 백색 유기발광소자의 높은 색안정성에 대한 기초자료로 활용할 수 있다.백색 유기발광소자는 전색 디스플레이, 조명으로서의 잠재적인 특성으로 차세대 디스플레이 소자 기술로 많은 주목을 받고 있다. 백색 유기발광소자는 주로 R-G-B 영역의 다양한 발광층을 적층하여 제작한다. 하지만 여러 발광층을 적층해야하기 때문에 제작할 때 공정 과정이 복잡해지고, 높은 생산단가를 가지게 된다. 이런 문제를 해결하기 위해 형광체를 이용한 백색 유기발광소자의 연구가 진행되고 있지만, 아직 색순도와 색좌표에 대한 많은 연구가 미흡한 상태이다. 본 연구에서는 무기물 형광체를 활용하여 백색 유기발광소자의 전기적 특성과 광학적 특성을 관찰하였고, 광원으로 사용된 청색 유기발광소자에 녹색과 적색의 무기물 형광체를 결합하는 방법으로 백색 유기발광소자를 제작하였다. 광원으로 사용한 청색 유기발광 소자는 투명전극으로 ITO를 사용하였고, 정공 수송층으로 N,N'-bis-(1-naphthyl)-N,N'-diphenylbenzidine, 발광층으로 4,4-bis(2,2-diphenylethen-1-yl)biphenyl, 정공 저지 층과 전자 수송 층은 각각 bathocuproine 과 4,7-diphenyl-1,10-phenanthroline 을 사용 하였다. 전자 주입 층으로는 lithium quinolate를 사용하였으며 음극으로는 Al을 사용하였다. 색 변환 층으로 사용된 유기물 형광체는 sol-gel 방법으로 제작된 녹색 형광체 Y3Al5O12:Ce, 적색 형광체 Ca2AiO19:Mn 을 사용하였다. Sol-gel 방법으로 제작된 형광체는 X선 회절 분석기를 통해 JCPDS cards를 확인하였고, 형광체의 녹색과 적색의 혼합비율에 따른 색좌표를 확인하여 백색 유기발광소자를 제작 하였다.Kim, Gyo-Tae;Hong, Ju-Yeon;Kim, Jin-Seon;Heo, Ye-Ji;Sin, Jeong-Uk;Heo, Seung-Uk;Park, Ji-Gun;Nam, Sang-Hui 397.1
최근 방사선 진단 영역에 이용되고 있는 증감지는 입사된 방사선의 감도를 증가시키기 위해 형광체를 사용하고 있으며, 외부의 에너지를 흡수하여 빛으로 방출하는 역할을 한다. 이는 방사선 검출기, 디스플레이, 의료기기 등 다양한 분야에 활용되고 있다. 필름에 X선을 노출 할 경우 형광체의 사용 유무에 따라 방사선 흡수 효율에 영향을 미치며, 이는 발광 효율 및 감도에 주요한 인자로 작용한다. 현재 상용화되어 있는 형광체는 낮은 발광 효율로 인한 한계를 가지므로, 발광 효율 향상을 위하여 제작 구조에 대한 연구가 진행되고 있다. 이 중 반사막을 활용하는 연구가 활발히 진행되고 있다. 일반적으로 형광체의 제조를 위하여 보편적으로 이용하고 있는 스크린프린팅 방법에서 건조 공정을 수행 시 균일도가 감소하는 현상이 발생한다. 이러한 현상은 반사막의 증착을 불균일하게 만드는 원인으로 작용하고 빛의 산란을 초래하는 현상을 초래한다. 이에 본 연구에서는 증착 시 투명도 저하에 따라 반사율이 증가되는 반사막 성질을 가지며, 방수성 및 절연성과 같은 보호층 특성을 지닌 유기성 투명 박막 페를린에 대하여 연구하고자 한다. 본 연구에서는 화학적 증기 증착법(Chemical Vapor Deposition, CVD)을 이용하여 투명 필름의 상단에 페를린을 코팅한 시편과 코팅하지 않은 시편으로 구분하여 제작하였고, 상단에 스크핀프린팅 방법을 활용하여 형광체를 도포 하였다. 시편 제작 후 실험은 시편을 필름 상단에 위치시키고, 일반진단에너지 대역(Model-SF 80)의 X선을 조사하였다. 이 후 현상기(model-pro14)를 통해 현상된 필름에 나타난 광학적 농도(Optical Density, O.D)를 농도계(Fluke Biomedical Nuclear Associates Densitometer)로 측정하였는데, 불확실성을 줄이기 위하여 총 5회를 측정하여 그 중 2번째로 높은 값을 도출하였다. 측정 결과, 페를린을 코팅한 형광체에서는 1.71의 O.D 값이 측정되었고, 페를린을 코팅하지 않은 형광체에서는 1.43의 O.D 값이 측정되었다. 이를 이용하여 투명도를 산출한 결과 상대적으로 약 1.76% 차이가 나타났다. 이러한 결과는 페를린 활용 시 환자의 피폭 선량 저감화 및 해상력 개선을 도모할 수 있을 것으로 사료된다.유기 발광 다이오드 (OLED)의 상용화를 위해 해결해야할 기술적 문제 중하나는 장수명이다. OLED에 적용된 유기물 층은 수분과 산소에 취약하여 소자 수명을 단축하는 요소로 작용하는데, 이를 해결하기 위해 유기물을 보호하며, 유기물 내로 침투되는 수분과 산소를 제어하기 위한 보호 층의 증착이 필수적이다. 필수적이다. 본 연구에서는, 사이클 화학 기상 증착법(C-CVD)을 이용하여 SiN/SiCN/SiN 구조의 무기 박막을 증착하여 유기물 보호층으로서의 적용 가능성을 제시하고자 한다. 이 때 각층의 두께는 각 각 10 nm이다. 증착된 다층 무기 박막은 비정질 상으로 수분 침투 보호막으로서 적당하다. 다층 무기 박막의 수분에 대한 저항성은 칼슘을 이용한 투과도 변화를 이용하여 측정하였다. 칼슘을 이용한 투과도 측정을 위해 고분자 PEN 필름위에 칼슘을 60nm 두께로 증착 시키고, 이어서 무기물인 SiN/SiCN/SiN의 다층 박막을 확산 방지층으로 증착 하였다. 제작된 소자는 온도$85^{\circ}C$ , 상대습도 85%의 가혹 조건에서 시간에 따른 표면 변화 및 투과도의 변화를 측정하였다. SiN/SiCN/SiN 구조를 갖는 무기 박막 층의 투습도는 3000시간까지는$3.2{\times}10-5g/m/d ay$ 를 유지하였다. 이는 OLED 소자의 상용화를 위한 요구 조건에 근접한 값이다. 그러나 투습도는 측정 시간이 6000시간이 지난 후에 급격 증가하는데 이것은 30nm 두께의 SiN/SiCN/SiN의 확산 방지층에 임계 수명이 존재 한다는 것을 의미 한다고 할 수 있다. C-CVD 기술에 의해 제조된 다층 무기 박막 보호 층의 경계면에서 각 층간의 intermixing 현상이 관측되었으며, 이는 무기물 층의 결함과 핀 홀을 통해 내부로 확산 되는 수분의 침투 경로를 효과적으로 제어할 수 있는 방법이다. 본 연구 결과는 유연 기판 상에 제작된 OLED 소자에 적용 가능한 기술로서 소자 수명의 연장 뿐만 아니라 경량화에도 기여할 수 있는 기술이다.The Study on Cu2ZnSnSe4 Thin Films without Annealed Grown by Pulsed Laser Deposition for Solar CellsBae, Jong-Seong;Byeon, Mi-Rang;Hong, Tae-Eun;Kim, Jong-Pil;Jeong, Ui-Deok;Kim, Yang-Do;O, Won-Tae 398.1
The$Cu_2ZnSnSe_4$ (CZTSe) thin films solar cell is one of the next generation candidates for photovoltaic materials as the absorber of thin film solar cells because it has optimal bandgap (Eg=1.0eV) and high absorption coefficient of$10^4cm^{-1}$ in the visible length region. More importantly, CZTSe consists of abundant and non-toxic elements, so researches on CZTSe thin film solar cells have been increasing significantly in recent years. CZTSe thin film has very similar structure and properties with the CIGS thin film by substituting In with Zn and Ga with Sn. In this study, As-deposited CZTSe thin films have been deposited onto soda lime glass (SLG) substrates at different deposition condition using Pulsed Laser Deposition (PLD) technique without post-annealing process. The effects of deposition conditions (deposition time, deposition temperature) onto the structural, compositional and optical properties of CZTSe thin films have been investigated, without experiencing selenization process. The XRD pattern shows that quaternary CZTSe films with a stannite single phase. The existence of (112), (204), (312), (008), (316) peaks indicates all films grew and crystallized as a stannite-type structure, which is in a good agreement with the diffraction pattern of CZTSe single crystal. All the films were observed to be polycrystalline in nature with a high (112) predominant orientation at$2{\theta}{\sim}26.8^{\circ}$ . The carrier concentration, mobility, resistivity and optical band gap of CZTSe thin films depending on the deposition conditions. Average energy band gap of the CZTSe thin films is about 1.3 eV.유기태양전지는 낮은 공정 단가, 저온공정 및 기계적 유연성과 같은 다양한 장점을 지니고 있어서 실리콘 기반의 태양전지를 대체하기 위해서 많은 연구가 진행되고 있다. 유기태양전지는 실리콘 기반의 태양전지에 비해서 낮은 광전변환효율을가지고 있기 때문에 효율을 높이기 위한 다양한 연구가 진행되고 있다. 그 중에서 엑시톤 분리 효율을 높이기 위한 방안으로 나노 구조가 많이 연구되고 있다. 하지만 나노 구조를 제작하기 위해서는 식각 과정을 거치거나, 금속 템플레이트를 사용하여 공정상 복잡하고 어려움을 갖는다. 본 연구에서는 간단한 용액 공정을 이용하여 초음파 처리시간 변화에 따른 나노 구조를 가지는 광활성층을 제작하였다. 전자주게 물질인 P3HT를 혼합 용매에 녹여서 초음파 처리를 통해서 나노 구조를 제작하였고, 초음파 처리 시간에 따른 구조의 변화를 광류미네에센스 측정과 원자간 힘 현미경으로 관찰하였다. 나노 구조를 가지는 태양 전지는 엑시톤을 분리할 수 있는 전자주게와 전자받게의 계면이 증가함으로 엑시톤 분리 효율이 향상되는 장점을 가진다. 초음파 처리 사긴 변화에 따른 나노 구조 P3HT 층을 가진 태양전지의 전류밀도-전압 측정을 통해 효율의 변화를 비교하였다. 15분 동안 초음파 처리를 하였을 때, 가장 높은 효율을 가지는 것을 확인할 수 있었고, 나노 구조를 가지지 않는 유기태양전지에 비해서 20% 정도 효율이 향상되는 결과를 볼 수 있었다.Photonic force microscopy (PFM) is an optical tweezers-based scanning probe microscopy, which measures the forces in the range of fN to pN. The low stiffness leads proper to measure single molecular interaction. We introduce a novel photonic force microscopy to stably map various chemical properties as well as topographic information, utilizing weak molecular bond between probe and object's surface. First, we installed stable optical tweezers instrument, where an IR laser with 1064 nm wavelength was used as trapping source to reduce damage to biological sample. To manipulate trapped material, electric driven two-axis mirrors were used for x, y directional probe scanning and a piezo stage for z directional probe scanning. For resolution test, probe scans with vertical direction repeatedly at the same lateral position, where the vertical resolution is ~25 nm. To obtain the topography of surface which is etched glass, trapped bead scans 3-dimensionally and measures the contact position in each cycle. To acquire the chemical mapping, we design the DNA oligonucleotide pairs combining as a zipping structure, where one is attached at the surface of bead and other is arranged on surface. We measured the rupture force of molecular bonding to investigate chemical properties on the surface with various loading rate. We expect this system can realize a high-resolution multi-functional imaging technique able to acquire topographic map of objects and to distinguish difference of chemical properties between these objects simultaneously.Park, In-Yong;Jo, Bok-Rae;Han, Cheol-Su;An, Jong-Rok;;Kim, Ju-Hwang;Sin, Seung-Min;An, Sang-Jeong 402.2
과학과 기술이 발전할수록 나노크기를 넘어서 나노 크기미만의 관찰 분해능과 가공능력이 필수로 요구되어 측정장비와 가공장비의 연구 및 개발이 매우 중요하다. 현재는 주사전자현미경과 투과전자현미경의 발달로 나노크기 이하의 이미징 분해능에는 도달하였지만, 전자 입자의 가벼운 무게 때문에 가공측면에서는 한계를 가지고 있다. 또한 지난 수십 년간 정밀가공에 사용된 갈륨이온 LMIS(Liquid Metal Ion Source)기반의 집속이온빔 시스템은 수십 nm의 가공정밀도를 가지지만 10 nm 미만의 가공정밀도까지 구현하기에는 현재 기술적인 한계로 힘들다. 나노크기 이하의 이미징 분해능과 수 nm의 가공정밀도를 갖는 이온현미경이 최근에 상용화되어 판매되고 있는데, 이 이온 현미경에 사용되는 것이 가스장 이온원(GFIS:Gas Field Ionization Source)이다. 가스장 이온원은 작은 발산각, 작은 가상 이온원 크기 그리고 좁은 에너지 퍼짐의 특징을 가지며 이에 따라 구면수차 및 색수차에도 둔감한 특징을 가지고 있다. 또한 LMIS 는 갈륨이온이 시편속에 파고들어 시편의 물질 특성이 변화되는 문제가 있지만, GFIS에서는 주로 He, Ne 와 같은 불활성 기체를 주로 사용하므로 시편과 반응을 최소화 할 수 있는 장점도 있다. 위와 같은 특징을 갖는 이온빔을 GFIS 로 생성하고 이온현미경에 사용하기 위해서는 이온빔이 팁의 단원자 내지 수 개 정도의 원자에서 생성되도록 해야 한다. 본 연구에서는 GFIS 의 원리를 소개하고 장(전계)이온현미경(Field Ion Microscope)실험을 통하여 GFIS기반으로 생성된 이온빔의 형상을 보여준다. 또한 높은 각전류밀도 구현을 위하여 질소가스 에칭으로 텅스텐 팁 끝 단원자에서만 이온빔을 생성하고, 각전류 밀도 계산과 안정도 실험결과로 본 연구에서 개발한 이온원이 이온총으로서의 이온현미경 적용 가능성에 대해 보여준다.In conventional far-field microscopy, two objects separated closer than approximately half of an emission wavelength cannot be resolved, because of the fundamental limitation known as Abbe's diffraction limit. During the last decade, several super-resolution methods have been developed to overcome the diffraction limit in optical imaging. Among them, super-resolution optical fluctuation imaging (SOFI) developed by Dertinger et al [1], employs the statistical analysis of temporal fluorescence fluctuations induced by blinking phenomena in fluorophores. SOFI is a simple and versatile method for super-resolution imaging. However, due to the uncontrollable blinking of fluorophores, there are some limitations to using SOFI for several applications, including the limitations of available blinking fluorophores for SOFI, a requirement of using a high-speed camera, and a low signal-to-noise ratio. To solve these limitations, we present a new approach combining SOFI with speckle pattern illumination to create illumination-induced optical fluctuation instead of blinking fluctuation of fluorophore.. This technique effectively overcome the limitations of the conventional SOFI since illumination-induced optical fluctuation is possible to control unlike blinking phenomena of fluorophore. And we present the sub-diffraction resolution image using SOFI with speckle illumination.Park, Dae-Geun;Sin, Jong-Hwan;Kim, Dae-Hui;Yun, Geum-Hui;Park, Jong-Mo;Lee, Cho-Yeon;Yun, Wan-Su 403.2
본 연구에서는 갭을 갖는 전극을 제작하고, 전극사이의 간격이 좁아짐에 따른 분석물질의 전기화학적 신호증폭현상을 확인하였다. 광 리소그래피와 전자빔 리소그래피를 이용하여 기본 전극을 구성하고 이를 바탕으로 전극의 표면에 금속의 환원을 유도함으로써 환원시간에 따라 전극이 점점 좁아지게 하는 방법을 이용하여 다양한 간격의 갭 전극을 제작하였다. 이와같은 방법으로 제작된 전극을 전기화학 신호분석장치에 연결하고,$2{\mu}m$ 의 간격부터 약 50 nm 까지의 다양한 전극 간격을 가지는 갭 전극 각각에 대한 전기화학적 신호를 분석하였다. 전극에 Ferricyanide 를 노출시켜 전극의 간격이 좁을수록 FeCN63-의 산화 환원에 따른 패러데이 전류가 증폭하는 것을 확인하였으며, 분석물질의 검출 한계 농도 또한 낮아짐을 확인하였다. 이러한 실험결과는 일정전위기의 순환전압전류법, 주사전자현미경, 원자힘현미경을 이용하여 분석되었다.A soft-lithographic top-down approach is combined with an epitaxial layer transfer process to fabricate high quality III-V compound semiconductor nanowires (NWs) and integrate them on Si/SiO2 substrates, using MBE-grown ultrathin InAs as a source wafer. The channel width of the InAs nanowires is controlled by using solvent-assisted nanoscale embossing (SANE), descumming, and etching processes. By optimizing these processes, the NW width is scaled to less than 50 nm, and the InAs NWFETs has${\sim}1,600cm^2/Vs$ peak electron mobility, which indicates no mobility degradation due to the size.유기 태양전지는 높은 활용성에 비해 태양광 발전 효율이 저조해서 현재까지는 널리 상용화 되고 있지 못하다. 이를 극복하기 위해 유기 태양전지의 ITO 기판 위에 플라즈모닉 효과를 주는 금속을 배열해 태양광발전 효율을 향상시키는 연구가 최근까지 계속 되어 왔다. 나노 사이즈의 작은 금속에서 발생하는 플라즈모닉 효과는 액티브 층(active layer)에 영향을 끼쳐 발전 효율을 증가시킬 수 있다. 나노 크기의 금속의 배열은 양극산화 알루미늄 마스크를 이용해서 증착이 가능하고, 나노 금속 배열의 구조는 양극산화 알루미늄 마스크를 제작할 때 공정조건을 바꾸어 조절할 수 있다. 본 연구에서는 양극산화 알루미늄 마스크의 공정조건을 바꿈으로써 마스크 형태를 조절할 수 있는 점을 이용하여, 유기 태양전지의 효율을 향상시킬 수 있는 금속의 나노 배열의 최적화 구조를 시뮬레이션을 이용해 찾는 연구를 진행하였다.Park, So Young;Lee, Hyun Uk;Kim, Gun Hwa;Park, Edmond Changkyun;Han, Seung Hyun;Lee, Jeong Gyu;Kim, Dong Lak;Lee, Jouhahn 411.1
This study evaluates the utility of an antibacterial microneedle composed of green tea extract (GT) and hyaluronic acid (HA), for the efficient delivery of GT. These microneedles have the potential to be a patient-friendly method for the conventional sustained release of drugs. In this study, a fabrication method using a mold-based technique to produce GT/HA microneedles with a maximum area of${\sim}60mm^2$ with antibacterial properties was used to manufacture transdermal drug delivery systems. Fourier transform infrared (FTIR) spectrometry was carried out to observe the potential modifications in the microneedles, when incorporated with GT. The degradation rate of GT in GT/HA microneedles was controlled simply by adjusting the HA composition. The effects of different ratios of GT in the HA microneedles were determined by measuring the release properties. In HA microneedles loaded with 70% GT (GT70), a continuous higher release rate were sustained for 72 h. The in vitro cytotoxicity assays demonstrated that GT/HA microneedles are not generally cytotoxic to chinese hamster ovary cells (CHO-K1), human embryonic kidney cells (293T), and mouse muscle cells (C2C12), which were treated for 12 and 24 h. Antimicrobial activity of the GT/HA microneedles was demonstrated by ~95% growth reduction of gram negative [Escherichia coli (E. coli), Pseudomonas putida (P. putida) and Salmonella typhimurium (S. typhimurium)] and gram positive bacteria [Staphylococcus aureus (S. Aureus) and Bacillus subtilis (B. subtilis)], with GT70. Furthermore, GT/HA microneedles reduced bacterial growth in the infected skin wound sites and improved skin wound healing process in rat model.The design of DNA nanostructures is of fundamental importance, the intrinsic value of DNA as a building-block material lies in its ability to organize other bio-molecules with nanometer-scale spacing. Here, we report the fabrication of DNA scaffolds with nano-pores (<10 nm size) that formed easily without the use of additives (i.e., avidin, biotin, polyamine, or inorganic materials) into large-scale structures by assembling DNA molecules at near room temperature ($30^{\circ}C$ ) and low pH (~5.5). Protein immobilization results also confirmed that a fibronectin (FN) proteins/large scale DNA scaffolds/aminopropylytriethoxysilane (APS)/SiO2/Si substrate with high sensitivity formed in a well-defined manner. The DNA scaffolds can be applied for use with DNA-based biochips, biophysics, and cell biology.Carrying out first-principles calculations, we study N-doped capped carbon nanotube (CNT) electrodes applied to DNA sequencing. While we obtain for the face-on nucleobase junction configurations a conventional conductance ordering where the largest signal results from guanine according to its high highest occupied molecular orbital (HOMO) level, we extract for the edge-on counterparts a distinct conductance ordering where the low-HOMO thymine provides the largest signal. The edge-on mode is shown to operate based on a novel molecular sensing mechanism that reflects the chemical connectivity between N-doped CNT caps that can act both as electron donors and electron acceptors and DNA functional groups that include the hyperconjugated thymine methyl group[1].Cost-effective, robust devices for solid-state lighting industry that converts electricity to light revolutionize the current lighting industry. Phosphor materials used in these devices should be synthesized in a low-cost and effective method for use in WLEDs. In this presentation, the synthesis of Eu3+ ions doped BaMoO4 phosphor samples by a facile synthesis process for red component of WLEDs will be shown. The tetragonal phase of the host lattice was substantiated by the X-ray diffraction patterns. The morphological studies were carried out by using a field-emission scanning electron microscope and transmission electron microscope. These confirmed the formation of a shuttle like particles with perpendicular protrusions in the middle of the particle. The photoluminescence (PL) properties exhibited good emission with a high asymmetry ratio when excited with ultraviolet B wavelengths (~ 280-315 nm). The cathodoluminescence (CL) spectra showed similar results to the PL spectra, indicating the rich red emission. The results suggest that this phosphor is a good material as red region component in the development of tri-band UV excitation based WLEDs.본 연구에서는 갭 사이즈가 조절된 나노갭 소자[1]에 유기영동법을 이용하여 수용액 환경 내에 있는 금속 나노입자(금 나노입자)를 검출하였다. 수백 나노미터 사이즈로 제작된 나노갭 전극에 도금법으로 금을 성장시켜 갭 사이즈를 조절하고, 이로부터 전기장의 기울기를 극대화 할 수 있는 나노갭 소자를 제작함으로써 저농도 금 나노입자 검출의 효율성을 높였다. 제작된 나노갭 소자에 교류 신호를 이용한 유기영동법을 도입하여 수용액 환경 내 입자의 움직임을 제어하였다. 본 연구의 목표인 저농도 금 나노입자의 검출을 위해서는 100 kHz의 주파수를 이용하는 것이 가장 적절함을 실험을 통해 확인하였으며, 갭 사이즈가 조절된 나노갭 소자를 이용하여 전기장의 기울기를 극대화하고 입자의 움직임을 제어함으로써 50 aM의 저농도 금 나노입자를 검출할 수 있었다. 나노갭 소자를 이용한 금속 나노입자 검출에 관연 연구는 환경오염물질 검지용 입자센서 및 바이오센서 분야에 응용이 가능할 것으로 예상된다.금 나노입자의 특성은 그 크기와 모양 그리고 균일한 정도에 의해 결정되므로, 균일한 크기의 금 나노입자를 사용하는 것이 매우 중요하다. Citrate 환원법으로 금 나노입자 합성 시 입자의 크기 분포에 가장 큰 영향을 주는 요인은 pH 이고, 반응용액의 pH를 높이면 크기가 균일한 금 나노입자의 합성이 가능함을 선행연구를 통해 확인한 바 있다[1]. 본 연구는 금 나노입자 형성 반응이 진행됨에 따라 나타나는 pH 변화를 실시간으로 추적하여 pH 변화가 금 나노입자의 균일도에 미치는 영향을 관찰한 것이다. 반응용액의 pH는 반응이 진행됨에 따라 지속적으로 변하는데, 반응초기에 pH가 감소하다가 (Stage I) 전환점 이후 pH가 증가하는 (Stage I) 양상을 보인다. 이러한 현상은 Au 이온의 리간드가 Cl-에서 OH-로 변화하기 때문으로 생각되고, 이로 인해 Stage I의 핵 형성반응과 Stage II의 성장반응에 영향을 주게 되어 결과적으로 입자의 크기 균일성에 영향을 주는 것으로 판단된다.Top-down processes based on photolithography technology have been developed by using light sources with short wavelength, however, the processes are expected to meet their limits in higher integration of semiconductor integrated circuits. To overcome the limits, researches on bottom-up processes have been proceeded. One of those, fabrication of nanodevices by using nanoparticles has been on research. But it is difficult to align nanoparticles at appropriate positions. To resolve this, studies has been proceeded to form nanowires by bonding DNA molecules which have self-assembly property and positive-charged functionalized gold nanoparticles. There are negative-charged phosphates in backbones of DNA molecules. By using the attractive force between the negative charge of the phosphates and the positive charge of gold nanoparticles, the Au-DNA nanowires are made. However, bonding Au nanoparticles only on DNA molecules, not other nanoparticles, is to be solved. So we studied to resolve this problem. In the formation of Au nanoparticles, we changed the charge of Au nanoparticles by adding HCl to control pH of the functionalized nanoparticles, measured zeta potential. Then we bonded the nanoparticles and DNA molecules and made observation by using FE-SEM and AFM.We report on the growth of ZnO nanorods (NRs) grown on graphite and silicon substrates via an all-solution process and characteristics of their heterojunctions. Structural investigations indicated that morphological and crystalline properties were not significantly different for the ZnO NRs on both substrates. However, optical properties from photoluminescence spectra showed that the ZnO NRs on graphite substrate contained more point defects than that on Si substrate. The ZnO NRs on both substrates showed typical rectification properties exhibiting successful diode formation. The heterojunction between the ZnO NRs and the graphite substrate showed a Schottky diode characteristic and photoresponse under ultraviolet illumination at a small reverse bias of -0.1 V. The results showed that the graphite substrate could be a good candidate for a Schottky contact electrode as well as a conducting substrate for electronic and optoelectronic applications of ZnO NRs.E-textile과 같은 웨어러블 전자소자는 휴대용 전자소자, 의료센서 및 디스플레이 등을 포함하는 다기능 직물등의 적용가능 응용분야에서의 잠재력으로 인하여 많은 관심을 가지고 있다. 따라서 본 논문에서는 이같은 응용분야에 적용하기 위하여 전기방사를 이용한 나노크기의 나일론 섬유를 제작하고 reduced graphene oxide를 섬유에 코팅하여 전도성을 가지는 나노섬유를 제작하였다. 나일론 알갱이를 포름산에 녹인 용액을 이용하여 전기방사를 통해 약 100 nm 두께를 가지는 나노섬유를 제작하였다. 제작된 나일론 섬유와 그래핀 옥사이드 사이의 결합력을 향상시키기 위하여 BSA(bovine serum albumin)으로 표면 처리를 하였다. 마지막으로 나일론 섬유에 코팅된 그래핀 옥사이드를 hydrazine을 이용하여 환원하여 전도성을 가지는 섬유를 제작하였다. 제작된 전도성을 가지는 섬유는 약 10 kohm 정도의 저항을 가지는 것을 확인하였으며, 물리적인 외부 변형에서도 안정적으로 전도성을 가지는 것을 확인하였다. 이러한 전도성을 가지는 나노섬유는 웨어러블 전자소자를 제작하는데 응용 가능할 뿐만 아니라, 전기방사를 통한 나노구조물 제작 기술을 가스센서, 바이오센서, 태양전지, 나노소자등 다양한 분야에 적용 가능한 우수한 기술이라고 생각한다.가스장 이온원(GFIS: Gas Field Ionization Source)은 전자현미경보다 분해능이 향상된 이온현미경의 광원으로 사용하기 위하여 연구되고 있고, 큰 각전류 밀도, 작은 크기의 가상 이온원 그리고 좁은 에너지 퍼짐을 특징으로 한다. 여러 가지 장점을 가지고 있는 GFIS을 개발하기 위해서는 GFIS에서 발생된 이온빔의 형상을 관찰 것이 매우 중요하며, 이러한 관찰을 위한 시스템에는 주로 마이크로 채널 플레이트 (MCP: Micro Channel Plate)가 사용된다. MCP는 채널내부에 입사한 입자의 에너지에 의해서 생성된 이차전자를 수 천 배에서 수 백 만 배 이상 증폭시켜 형광판에 조사하고 발광시키는 방법으로 작은 신호를 영상으로 관찰 할 수 있도록 한다. MCP의 큰 증폭비는 작은 크기의 신호를 큰 신호로 증폭하여 관찰하는데 용이하여, GFIS 방법으로 생성된 이온빔(이온빔 전류 값은 pA 수준)을 관찰하기에 적합하다. 그러나 MCP를 이용하여도 증폭된 이온빔의 세기가 매우 작기때문에 생성된 이온빔 형상을 정확하게 관찰하기 위해서는 MCP의 형광판을 촬영하는 카메라 노출시간을 길게하여 데이터 수집 시간을 늘려야 하는 문제가 있다. 본 발표에서는 이온빔 형상 관찰에 소요되는 시간을 단축하기 위하여 MCP의 잡음이 GFIS의 이온빔 이미지 관찰에 미치는 영향을 분석하고 이를 제거 방법을 소개한다. 본 연구에서는 GFIS 방출 이온빔의 이미지에 포함된 MCP 잡음 특성을 장(전계)이온현미경 (Field Ion Microscope)실험을 통하여 분석하였고, 디지털 이미지 처리 방법을 이용하여 방출 이온빔 이미지에서 MCP 잡음을 제거하여 방출 이온빔 이미지만 추출할 수 있었다. 본 연구에서 제안한 방법을 GFIS 방출 이온빔 관찰시스템에 적용함으로써 기존 방법에 비해 노출시간을 단축하여 방출 이온빔을 관찰 할 수 있었으며, 노이즈 제거 효과로 향상된 이온빔 형상을 얻을 수 있었다. 본 연구결과의 관찰시간 단축과 향상된 이온빔 형상 획득은 이온현미경 개발에 필수적인 단원자 이온빔을 보다 효율적으로 개발할 수 있으며 디지털 이미지 처리로 GFIS 이온빔 생성을 자동화하는데 응용할 수 있다. 더불어 기존방법에 비해 이미지 획득을 위한 MCP의 노출시간을 단축할 수 있으므로 실험장비 수명 단축 방지 및 관리에 큰 장점이 있다.본 발표에서는 실리콘 이종접합 태양전지에서 중요한 실리콘 웨이퍼 표면/계면 제어에 대하여 발표한다. 다시 말하여, 실리콘 웨이퍼 기판 세정공정 변화에 따른 실리콘 웨이퍼 표면의 소수전하수명(minority carrier lifetime, MCLT) 및 태양전지 소자특성 변화에 대하여 연구하였다. 구체적으로, 실리콘 웨이퍼 클리닝 최초단계로써 KOH damage etching 공정을 도입할 때, 이후 클리닝 공정을 통일하여 적용한 웨이퍼 표면의 MCLT 및 상기 웨이퍼를 이용하여 플라즈마 화학기상증착법(PECVD)을 통하여 제작한 태양전지 소자 효율은 KOH etching 시간이 10분일 때 최대치에 도달한 후 감소하였다. 또한, RCA1, RCA2, Piranha로 이루어진 웨이퍼 클리닝 단계의 사이에, 또는 맨 마지막에 묽힌 불산용액(DHF, 5 %) 처리를 하여 표면 산화막 제거 및 수소종단처리를 하여 기판의 passivation 특성을 향상시키고자 할 때, 불산용액 처리 순서에 따른 웨이퍼 표면의 MCLT 및 태양전지 소자 효율을 비교하였다. 그 결과, 묽은불산용액을 클리닝 단계 사이에 적용하였을 때의 MCLT 및 태양전지 소자의 특성이 더 우수하였다.비정질 실리콘 박막 태양전지는 1970년대 중반 개발된 이후 현재까지 지속적으로 연구 개발이 이루어져 왔으며, 대면적의 용이성 및 재료 사용의 효율성 등 산업적 측면에서 많은 장점을 보이고 있다. 하지만, 재료 특유의 무질서(disorder)로 인한 Voc의 한계 및 광 열화 현상 등의 문제점이 극복해야 할 과제로 남아있다. 이를 개선하기 위한 시도 중 하나로 나노입자를 포함한 실리콘 박막 제작을 통해 재료의 무질서도를 낮추고 전기광학적 물성을 개선하는 방법이 제안되고 있다. 본 연구에서는 유도결합 플라즈마(ICP, Inductively coupled plasma)를 사용하여 제작한 실리콘 나노입자 박막으로 비정질 실리콘 박막의 한계를 극복하고자 한다. 실리콘 나노입자를 사용하여 조밀한 박막을 제작하는데 중요한 변수로는 유도결합 플라즈마 반응기 상, 하부의 압력차 및 이로 인한 제트분사의 속력, 그리고 나노 입자의 크기 등이 중요하게 작용한다. 합성된 입자의 크기와 물성의 변화는 유입되는 반응 가스의 양, 공정압력과 파워에 의존한다. 실리콘 나노입자 박막을 다양한 플라즈마 조건에 따라 제작한 후 XRD, Raman, SEM, TEM을 이용하여 물성 변화를 관찰하였으며, 이를 통해 박막태양전지로의 응용 가능성을 제시하고자 한다.Vanadium dioxide (VO2) is a strongly correlated oxide exhibiting a first-order metal-insulator transition (MIT) that is accompanied by a structural phase transition from a low temperature monoclinic phase to a high-temperature rutile phase. VO2 has attracted significant attention because of a variety of possible applications based on its ultrafast MIT. Interestingly, the transition nature of VO2 is significantly affected by stress due to doping and/or interaction with a substrate and/or surface tension as well as defects. Accordingly, there have been considerable efforts to understand the influences of such factors on the phase transition and the fundamental mechanisms behind the MIT behavior. Here, we present the influences of oxygen deficiency, hydrogen doping, and substrate-induced stress on MIT phenomena in single-crystalline VO2 nanobeams. Specifically, the work function and the electrical resistance of the VO2 nanobeams change with the compositional variation due to the oxygen-deficiency-related defects. In addition, the VO2 nanobeams during exposure to hydrogen gas exhibit the reduction of transition temperature and the complex phase inhomogenieties arising from both substrate-induced stress and the formation of the hydrogen doping-induced metallic rutile phase.Ag-modified BiOX (X=Cl, Br and I) nanoplates were synthesized by an ion-exchange reaction. We examined the fundamental properties by scanning electron microscopy (SEM), electron transmission microscopy (TEM), X-ray diffraction, UV-visible absorption, Fourier-transform infrared and photoluminescence spectroscopy. The adsorption and photocatalytic performances of the catalysts were tested with dyes under UV and visible light. A chemical scavenger method was employed to test the roles of active species (${\cdot}OH$ ,${\cdot}O2-$ and h+) and understand photodegradation mechanism. Photoluminescence spectroscopy was used to examine${\cdot}OH$ radical formation using terephthalic acid during photoirradiation.Thermal transport in nanomaterials is not only scientifically interesting but also technological important for various future electronic, bio, and energy device applications. Among the various computation approaches to investigate lattice thermal transport phenomena in nanoscale, the atomistic nonequilibrium Green's function approach based on first-principles density functional theory calculations appeared as a promising method given the continued miniaturization of devices and the difficulty of developing classical force constants for novel nanoscale interfaces. Among the nanometerials, carbon atomic chains, namely the cumulene (all-doulble bonds,${\cdots}C=C=C=C{\cdots}$ ) and polyyne (alternation of single and triple bonds,${\cdots}C{\equiv}C-C{\equiv}C{\cdots}$ ) can be considered as the extream cases of interconnction materials for nanodevices. After the discovery and realization of carbon atomic chains, their electronic transport properties have been widely studied. For the thermal transport properties, however, there have been few literatures for this simple linear chain system. In this work, we first report on the development of a non-equilibrium Green's function theory-based computational tool for atomistic thermal transport calculations of nanojunctions. Using the developed tool, we investigated phonon dispersion and transmission properties of polyethylene (${\cdots}CH2-CH2-CH2-CH2{\cdots}$ ) and polyene (${\cdots}CH-CH-CH-CH{\cdots}$ ) structures as well as the cumulene and polyyne. The resulting phonon dispersion from polyethylene and polyene showed agreement with previous results. Compared to the cumulene, the gap was found near the${\Gamma}$ point of the phonon dispersion of polyyne as the prediction of Peierls distortion, and this feature was reflected in the phonon transmission of polyyne. We also investigated the range of interatomic force interactions with increase in the size of the simulation system to check the convergence criteria. Compared to polyethylene and polyene, polyyne and cumulene showed spatially long-ranged force interactions. This is reflected on the differences in phonon transport caused by the delicate differences in electronic structure.$TiO_2$ possesses great photocatalytic properties but absorbs only UV light owing to high band gap energy (Eg = 3.2 eV). By narrowing the band gap through doping a metal ion, the photocatalytic activity can be enhanced in condition of the light of a higher than 365 nm wavelength. Main purpose for this study is to evaluate the activities of metal doped$TiO_2$ for degrading the volatile organic compounds (VOCs); p-xylene is chosen in the VOC removal test. Vanadium is selected in this study because an ionic radius of vanadium is almost the same as titanium ion and vanadium can be easily doped into$TiO_2$ . V-doped$TiO_2$ was synthesized by sol-gel methods and compared with pure$TiO_2$ . Pure TiO2 powder and V-doped$TiO_2$ powder were coated on glasses by spray coating method. UV-Visible spectrophotometer was used for the measurement of the band gap changes. VOC concentration degradation level was tested with using various UV light sources in an enclosed chamber. Catalytic activities of prepared samples were evaluated based on the experimental results and compared with coated pure$TiO_2$ sample.For high performance thin film solar cells, texturing surface, enhancing the optical absorptionpath, is pretty important. Textured ZnO:Al transparent oxide layer of high haze is commonly used in Si thin film solar cells. In this paper, novel deposition method for aluminum doped zinc oxide (ZnO:Al) on glass substrates is presented to improve the haze property. The broccoli structure of ZnO:Al layer was formed on chemically etched glass substrates, which showed high haze value on a wide wavelength range.The etching condition of the glass substrates can change not only the haze values of the ZnO:Al of in-situ growth but alsothe electrical and optical properties of the deposited ZnO:Al films.The etching mechanism of the glass substrate affecting on the surface morphology of the glass will be discussed, which resulted in variation of texture of ZnO:Al layer. The optical properties of substrate morphology were also analyzed with EDS and FTIR results. As a result, the high haze value of 85.4% was obtained in the wavelength range of 300 nm to 1100 nm. Furthermore, low sheet resistance of about 5~18 ohm/sq was achieved for different surface morphologies of the ZnO:Al films.Wavefront control은 렌즈, 거울 등을 포함한 많은 광학소자를 대체할 수 있는 기술이며, 이는 광 직접 소자 개발에 매우 유용하다. 기존의 Distributed bragg reflector (DBR) 구조의 경우 lattice mismatch, 낮은 효율, 작은 굴절률 차이의 물질만을 사용해야 하는 문제 등으로 광 직접 소자에의 적용에는 한계가 있다. 본 연구에서는 이러한 한계점을 극복하고, 더 나아가 광학소자 구조 내에서의 빛의 거동을 조절하기 위해서 High-index contrast grating (HCG), 즉, 큰 굴절률 차이가 나는 물질로 이루어진 격자 구조 내의 빛이 가지는 waveguide 특성에 대한 연구가 수행되었다. 굴절률 차이가 큰 물질을 sub-wavelength의 주기적인 혹은 비주기적인 격자 구조로 만듦으로써 투과된 빛의 투과도와 위상 등을 조절할 수 있고 이를 통해 빛의 초점 거리, 휘어짐을 조절 할 수 있다. HCG 구조 내의 빛의 거동을 Rigorous coupled wave analysis (RCWA) 및 Finite element method (FEM) 계산을 이용하여 시뮬레이션 하였다. RCWA 계산을 통해 주기 격자구조의 투과도 및 반사도, 빛의 위상을 계산하여 비주기를 갖는 전체적인 HCG 구조를 결정하였고, FEM 계산을 통하여 그 구조 내에서 빛의 거동을 시뮬레이션 하였다. 1,300 nm 파장의 빛이 광원으로 사용되었고 시뮬레이션을 위해 낮은 굴절률의 물질로 ITO, 높은 굴절률의 물질로는 Si이 사용되었다.$15{\mu}m$ 포커싱,$7.91^{\circ}$ 의 휘어짐을 시뮬레이션 하였고, 실제 소자 공정을 하여 제작한 후, 광 측정 결과 포커싱은$15{\mu}m$ , 휘어짐은$4.5{\sim}6.5^{\circ}$ 를 확인하였다. 이러한 결과를 바탕으로 HCG구조체를 통하여 빛의 엔지니어링이 가능함을 알 수 있었다. HCG구조체는 빛이 투과하는 광학 소자의 전반에 적용이 가능하며 더 나아가 인위적인 빛의 엔지니어링이 가능함을 시사한다.We present a method of surface functionalization of a single layer graphene for linking and detecting MDA-MB-231 human breast cancer cell. The methodology is done by utilizing 1-pyrenebutanoic acid and succinimidyl ester for immobiling CD44 antibodies. This work shows that the single layer graphene is an efficient fixing substance to capture the MDA-MB-231 human breast cancer cell, selectively. The immobilization method of the cancer cell on the graphene layer will be an effective cell counting system. Moreover usage of the linking with non-covalent bonding is expected to develope a sensor scheme of electrical cell-detecting diagnosis system.Lee, Jin-Su;Nam, Sang-Hun;Yu, Jung-Hun;Hwang, Ki-Hwan;Ju, Dong-Woo;Jeon, So-Hyoun;Seo, Hyeon-Jin;Yun, Sang-Ho;Boo, Jin-Hyo 429.2
ZnO nanowire is known as synthesizable and good mechanical properties. And, stimuli-responsive polymer is widely used in the application of tunable sensing device. So, we combined these characteristics to make precise tunable sensing devise. In this work, we investigate the dependence of ZnO nanowire alignment and morphology on si substrate using nanosphere template with various conditions via hydrothermal process. Also, pH-temperature dependant tuning ability of nanostructure was studied. The brief experimental scheme is as follow. First, Zno seed layer was coated on a si wafer ($20{\times}20mm$ ) by spin coater. And then$1.15{\mu}m$ sized close-packed PS nanospheres were formed on a cleaned si substrate by using gas-liquid-solid interfacial self-assembly method. After that, zinc oxide nanowires were synthesized using hydrothermal method. Before the wire growth, to specify the growth site, heat treatment was performed. Finally, NIPAM(N-Isopropylacrylamide) was coated onto as-fabricated nanostructure and irradiated by UV light to form the PNIPAM network. The morphology, structures and optical properties are investigated by FE-SEM(Field Emission Scanning electron Microscopy), XRD(X-ray diffraction), OM(Optical microscopy), and WCA(water contact angle).According to advanced nanotechnology in the field of biomedical engineering, many studies of the interaction between topography of surfaces and cellular responses have been focused on nanostructure. In order to investigate this interaction, it is essential to make well-controlled nanostructures. Electron beam lithography (EBL) have been considered the most typical processes to fabricate and control nano-scale patterns. In this work,$TiO_2$ nanowire array was fabricated with hybrid process (top-down and bottom-up processes). Nanodot arrays were patterned on the substrate by EBL process (top-down). In order to control the spacing between nanodots, we optimized the EBL process using Poly(methyl methacrylate) (PMMA) as an electron beam resist. Metal lift-off was used to transfer the spacing-controlled nanodots as a seed pattern of$TiO_2$ nanowire array. Au or Sn nanodots which play an important role for catalyst using Vapor-Liquid-Solid (VLS) method were patterned on the substrate through the lift-off process. Then, the sample was placed in the tube furnace and heated at the synthesis temperature. After heat treatment,$TiO_2$ nanowire array was fabricated from the nanodots through VLS method (bottom-up). These results of spacing-controlled nanowire arrays will be used to study the interaction between nanostructures and cellular responses in our next steps.Previous studies to enhance optical properties of opto-electronic devices involve patterning of inorganic materials. Patterning of inorganic material usually encompasses vacuum process that hinders productivity and increases cost. In this research, we successfully formed nano patterns with polymer matrix and fabricated photonic crystals. This process is anticipated to increase the performance of opto-electronic devices without any vacuum process. Moreover, nano imprint technology reduces cost and bolsters productivity.최근, 높은 캐리어 이동도와 유연성, 투명성의 우수한 전기적 기계적 특성을 갖는 그래핀에 관한 연구가 활발해지고 있으며 이를 기반으로 한 그래핀 field effect transistor (FET) 센서 응용 또한 관심이 커지고 있다. 작은 소자 크기, 견고한 구조, 빠른 응답속도와 CMOS 공정과의 호환성이 좋은 FET 기반의 센서의 감지 특성은 주로 전해질과 직접 접촉하는 게이트 절연체의 고유 특성에 의해 결정된다. 이러한 게이트 절연체는 일반적으로 스퍼터링, atomic layer deposition (ALD), plasma enhanced chemical vapor deposition (PECVD) 등의 진공 방법에 의해 형성되며, 이 공정 기술은 고가의 장비, 긴 공정 시간과 높은 제조비용이 요구된다. 더욱이, 위의 방식들은 소자 제작 동안에 플라즈마 발생 또는 열처리를 필요로 하게 되며 이는 그래핀 기반의 소자의 제작에 있어 큰 손상을 발생시키게 된다. 이러한 이유로 인해, 그래핀 FET 센서의 게이트 절연체의 형성에 있어 진공 증착 기술은 적절하지 않다. 본 연구에서는, 진공 증착 기술의 문제점을 극복하기 위해 sol-gel 방식을 통한 Al2O3 게이트 절연체를 갖는 그래핀 FET 센서를 제작하였다. Sol-gel 방식은 적은 비용, 공정의 단순화, 높은 처리량 뿐 아니라 소자의 대면적화 제작에 유리하다는 장점을 가지며, 또한 게이트 절연체를 증착함에 있어서 플라즈마가 발생하지 않기 때문에 그래핀 FET 제작에 쉽게 적용될 수 있다. 특히, 게이트 절연체 중 Al2O3은 우수한 화학적 안정성과 감지 특성으로 인해 본 실험에 사용하였다. 결론적으로, sol-gel 방식을 통한 Al2O3 게이트 절연체를 갖는 그래핀 FET 센서는 우수한 전기적 특성과 감지 특성 측면에서 매우 전망적이다.The dielectric breakdown voltage (DBV) is a measure of an insulating fluids ability to withstand a high electric field stress without breaking down. Conventionally, the presence of water or particulate matter in a dielectric fluid comprises the liquid's breakdown strength. However, the addition of magnetic nanoparticles (MNPs) in the base oil can increase the dielectric breakdown voltage of the fluid reversely, if the condition of the added particles in the fluid is in balance with that of keeping down the initiation and propagation of electrical streamers. In this study, we developed a mathematical model by a set of coupled, nonlinear equations using the COMSOL multiphysics finite element simulation suite and calculated the dielectrophoretic activity of magnetic nanoparticles suspended in the presence of electric field, which is the behavior responsible for enhancing the dielectric characteristics of transformer oil, in order to examine how the activity differ in a transformer oil-based magnetic fluid.Hydroxyapatite (Ca10(PO4)6(OH)2) is known as the main inorganic component of mature mammalian bones and teeth. Because of its biocompatibility, hydroxyapatite has attracted much attention due to its potential applications in many biomedical researches. Here, we tested a therapeutic potential for the use of hydroxyapatite as an anticancer drug delivery vector. We prepared various types of hydroxyapatite having different chemical contents and morphologies using hydrothermal synthesis. The capability of hydroxyapatite as drug delivery materials was examined by adsorption kinetics of 5-fluorouracil molecules, a common anticancer drug, in phosphate buffered saline. We find that hydroxyapatite with smaller crystal size and higher phosphate contents shows improved adsorption property. Given that hydroxyapatite provides a scaffold for bone regeneration, these results highlight a potential use of hydroxyapatite in therapies aimed at osteosarcoma.Recently, colloidal core/shell type quantum dots lighting-emitting diodes (QDLEDs) have been extensively studied and developed for the future of optoelectronic applications. In the work, we fabricate an inverted CdSe/ZnS quantum dot (QD) based light-emitting diodes (QDLED)[1]. In order to reduce work function of indium tin oxide (ITO) electrode for inverted structure, a very thin (<10 nm) polyethylenimine ethoxylated (PEIE) is used as surface modifier[2] instead of conventional metal oxide electron injection layer. The PEIE layer substantially reduces the work function of ITO electrodes which is estimated to be 3.08 eV by ultraviolet photoemission spectroscopy (UPS). From transmission electron microscopy (TEM) study, CdSe/ZnS QDs are uniformly distributed and formed by a monolayer on PEIE layer. In this inverted QD LED, two kinds of hybrid organic materials, [poly (9,9-di-n-octyl-fluorene-alt-benzothiadiazolo)(F8BT) + poly(N,N'-bis (4-butylphenyl)-N,N'-bis(phenyl)benzidine (poly-TPD)] and [4,4'-N,N'-dicarbazole-biphenyl (CBP) + poly-TPD], were adopted as hole transport layer having high highest occupied molecular orbital (HOMO) level for improving hole transport ability. At a low-operating voltage of 8 V, the device emits orange and red spectral radiation with high brightness up to 2450 and 1420 cd/m2, and luminance efficacy of 1.4 cd/A and 0.89 cd/A, respectively, at 7 V applied bias. Also, the carrier transport mechanisms for the QD LEDs are described by using several models to fit the experimental I-V data.Kim, Gi-Yeong;Min, Jeong-Hong;Jang, So-Yeong;Lee, Jun-Yeop;Park, Mun-Do;Kim, Seung-Hwan;Jeon, Seong-Ran;Song, Yeong-Ho;Lee, Dong-Seon 433.1
The research of graphene, a monolayer of carbon atoms with honeycomb lattice structure, has explosively increased after appeared in 2004. As a result, its high transmittance, mobility, thermal conductivity, and outstanding mechanical and chemical stability have been proved. Especially, many researches were executed about the field of transparent electrode highlighting material of substituting the indium tin oxide (ITO). In addition, qualitative and quantitative improvements have been achieved due to many synthesis methods were discovered. Among them, mostly used method is chemical vapour deposition of graphene grown on copper or nickel. The transmittance, mobility, sheet resistance, and other many properties are completely changed according to these two types of synthesis method of graphene. In this research, considering the difference of characteristics as the synthesis method of graphene, what types of graphene should be used and how to use it were studied. The stacked graphene harvested on copper and multi-layer graphene harvested on nickel were compared and analyzed, as a result, the transmittance of 90% and the sheet resistance of$70{\Omega}{\square}$ was showed even though stacked graphene layers were 4 layers. The reason that could bring these results is lowered sheet resistance due to stacked monolayer graphenes. Moreover, light output power of the three stacked graphene spreading layer shows the highest value, but light-emitting diode with multi-layer graphene died out from 12mA due to also its high sheet resistance. Therefore, we need to clarify about what types of graphene and how to use the graphene in use.Ha, In-Ho;Lee, Han-Seong;An, Yu-Jin;Park, Ji-Seon;Seo, Mun-Seok;Jo, Jin-U;Lee, Cheol-Seung 433.2
그래핀(graphene)은 탄소나노튜브(CNTs)에 비해 가격 경쟁력이 있고 우수한 광투과성과 전기 및 열 전도성을 갖고 있어 반도체 소재, 방열 소재, 접점 소재 등에 적용 가능성이 높은 재료로 주목받고 있다. 특히 모바일 디바이스의 소형화, 고집적화 등의 이슈로 인해 그래핀 소재의 방열 소재 적용을 위해 다양한 연구가 진행되고 있다. 한편 산화 구리 나노선(CuO Nanowire)은 전기 및 열전도도가 우수하고 1차원 나노 구조는 부피대비 큰 표면적, 종횡비가 커서 뛰어난 열전도 구조로서 방열 소재로 응용되기 좋은 조건을 갖고 있다. 본 연구에서는 2차원 구조의 그래핀 나노플레이트(Graphene Nanoplatelet)와 1차원 구조의 CuO NW를 하이브리드화를 통해 열전도도 향상를 개선시키고자 하였다. 소재 합성은 GNP에 Cu 무전해 도금을 진행한 후 열산화 방식을 적용하여 CuO NW를 직접 성장시키는 방식으로 진행하였다. 합성된 GNP-CuONWs 다차원 나노구조체의 열전도도 측정은 에폭시에 분산시켜 레이져 플레쉬법을 이용하였다. 미세 구조 관찰 결과, CuO NW 성장 거동은 열처리 온도 및 시간 그리고 O2 가스의 순환 환경이 주요인자로 작용하는 것을 확인하였다. 열전도도 향상은 다차원 구조의 특성으로 인해 면접촉과 선접촉이 동시에 이루어졌기 때문인 것으로 분석되었으며, 이러한 CuO NWs morphology와 열전도도 향상과의 상관 관계에 대해 논의할 것이다.Yu, Jae-Hyeong;Lee, Jae-Seon;No, Jun-Hyeong;Jo, Tae-Hun;Yun, Myeong-Su;Park, Bong-Ju;Choe, Eun-Ha;Gwon, Gi-Cheong 434.1
최근 자성 나노 입자를 이용한 온열치료가 주목을 받고 있다. 자성을 띄는 나노 입자를 암 세포에 보내, 교류 자기장을 걸어주어 회전에 의한 마찰손실로 인한 열을 이용하여 암 조직만을 국소 가열하는 원리이다. 본 실험은 유도 자기장을 사용한 자성 나노 파우더의 가열을 목적으로 시행하였다. 나선형 코일위에 세라믹, 유리 등 절연체 원판 위에 자기장이 발생되도록 휴대용 평판형 자기장 발생장치를 제작하였다. 자기장 발생 장치는 평판형 나선형 코일에 특정 주파수를 가진 전원을 인가하여 자기장을 발생시킨다. 평판형 나선형 코일은 내경 40 mm, 외경 140 mm, 2 mm 동선으로 제작하였다. 제작한 자기장 발생장치를 자기장 측정 센서(Hall sensor 등)을 원판 위에 설치하여 거리별 자기장의 크기를 측정하였다. 자기장은 나선형 코일 위 원판 중심에서 최대로 발생되어 중심에서 멀어질수록 크기가 감소하였다. 자기장 발생장치 위에 자성 나노 파우더($Fe_3O_4$ 와$CoFe_2O_4$ )를 혼합한 용액 시료를 위치시키고 자기 쌍극자 모멘트와 자기장간의 상호작용을 유도한다. 이때 자성 나노 파우더별로 발생하는 열을 열전쌍(TC)이나 Optical fiber를 사용한 Thermometer로 측정하여 비교분석하였다.We observe enhanced pH response of solution-gated field-effect transistors (SG-FET) having 1D-2D hybrid channel of vertical grown ZnO nanorods grown on CVD graphene (Gr). In recent years, SG-FET based on Gr has received a lot of attention for biochemical sensing applications, because Gr has outstanding properties such as high sensitivity, low detection limit, label-free electrical detection, and so on. However, low-defect CVD Gr has hardly pH responsive due to lack of hydroxyl group on Gr surface. On the other hand, ZnO, consists of stable wurtzite structure, has attracted much interest due to its unique properties and wide range of applications in optoelectronics, biosensors, medical sciences, etc. Especially, ZnO were easily grown as vertical nanorods by hydrothermal method and ZnO nanostructures have higher sensitivity to environments than planar structures due to plentiful hydroxyl group on their surface. We prepared for ZnO nanorods vertically grown on CVD Gr (ZnO nanorods/Gr hybrid channel) and to fabricate SG-FET subsequently. We have analyzed hybrid channel FETs showing transfer characteristics similar to that of pristine Gr FETs and charge neutrality point (CNP) shifts along proton concentration in solution, which can determine pH level of solution. Hybrid channel SG-FET sensors led to increase in pH sensitivity up to 500%, compared to pristine Gr SG-FET sensors. We confirmed plentiful hydroxyl groups on ZnO nanorod surface interact with protons in solution, which causes shifts of CNP. The morphology and electrical characteristics of hybrid channel SG-FET were characterized by FE-SEM and semiconductor parameter analyzer, respectively. Sensitivity and sensing mechanism of ZnO nanorods/Gr hybrid channel FET will be discussed in detail.높은 광흡수 계수를 갖는 Cu(In,Ga)Se2(CIGS) 화합물 박막 소재는 고효율 태양전지 양산을 위해 가장 전도유망한 재료이나 상대적으로 매장량이 적은 In 및 Ga을 사용한다는 소재적 한계가 있다. Cu2ZnSnSe4(CZTSe) 혹은 Cu2ZnSnS4(CZTS)와 같은 Cu-Zn-Sn-Se계 화합물 반도체는 CIGS 내 희소원소인 In과 Ga이 범용원소인 Zn 및 Sn으로 대체된 소재로써 미래형 저가 태양전지 개발을 위해 활발히 연구되고 있는데, 그 화합물 조합에 따라 0.8eV부터 1.5eV까지의 에너지 밴드갭을 갖는 것으로 알려져 있다. 본 연구에서는 열분해법으로 CZTS 나노 입자를 합성하였다. 용매로 Oleylamine을 사용하였는데,$220^{\circ}C{\sim}340^{\circ}C$ 의 온도 범위에서 3시간 30분 동안 CZTS 나노입자를 합성하였고,$240^{\circ}C$ 에서 3시간~5시간까지 합성하였다. 헥산을 이용하여 원심분리기와 초음파세척기로 용매인 Oleylamine을 제거하였고, 진공오븐에서 건조된 CZTS 분말의 FE-SEM(Field Emission Scanning Electron Microscope), XRD(X-Ray Diffraction), EDS(Energy Dispersive Spectroscopy) 분석 등을 통해 합성온도에 따른 구조적, 화학적 조성 변화를 조사하였다.Transparent conductors (TCs) are typically applied as an ohmic contact layer for photoelectric devices. Recent researches have illuminated a unique rectifying-junction design between a transparent conductor and a semiconductor layer. This approach may lead a significant reduction of device-fabrication steps and cost. A high-performing heterojunction device is presented, which provided significant photoelectric responses. This covers the fabrication processes, rectifying-junction formations and device analyses.Dye-sensitized solar cells (DSSCs) have been widely investigated as a next-generation solar cell because of their simple structure and low manufacturing cost. The$TiO_2$ film with thickness of$8{\sim}10{\mu}m$ , which consists of nanoparticles, acts as both a scaffold with a high surface-to-volume ratio for the dye loading and a pathway to remove the electrons. However, charge carriers have to move across many particle boundaries by a hopping mechanism. So, one dimensional nanostructures such as nanotubes, nanorods and nanowires should improve charge carrier transportation by providing a facile direct electron pathway and lowering the diffusion resistance. However, the efficiencies of DSSCs using one dimensional nanostructures are less than the$TiO_2$ nanoparticle-based DSSCs. In this work, the patterned$TiO_2$ film with thickness of$3{\mu}m$ was deposited using photolithography process to decrease of electron pathway and increase of surface area and transmittance of$TiO_2$ films. Properties of the patterned$TiO_2$ films were investigated by various analysis method such as X-ray diffraction, field emission scanning electron microscopy (FESEM) and UV-visible spectrophotometer.Kim, Min-Yeong;Kim, Jun-Hui;Park, Ju-Eok;Jo, Hae-Seong;Kim, Dae-Seong;Byeon, Seong-Gyun;Im, Dong-Geon 445.2
표면 조직화의 목적은 태양전지 표면에서의 입사되는 빛의 반사율을 감소 시키고, 웨이퍼 내에서 빛의 통과 길이를 길게 하며, 흡수되는 빛의 양을 증가시키는 것이다. 본 연구에서는 여러 가지 표면 조직화 공정 기술을 이용하여 표면 형상에 따른 광 변환 효율에 대해 연구하였으며, 셀을 제작하여 전기적 특성과 광학적 특성의 상관관계를 분석하였다. KOH를 이용한 표면 조직화, 산 증기를 이용한 표면 조직화, 반응성 이온 식각을 이용한 표면 조직화, 금속 촉매 반응을 이용한 표면 조직화 공정 기술을 이용하여 표면 조직화 공정을 진행하였다. 셀 제작 결과, 반사도 결과와는 상반되는 결과를 얻을 수 있었다. 표면 조직화 형상에 따른 셀 효율의 변화는 도핑 프로파일과 표면 재결합 속도의 변화 때문이라 생각되며 더 명확한 분석을 위해 양자 효율을 측정하여 분석을 시도하였다. 표면 조직화 공정 기술별 도핑 프로파일을 보면 KOH를 이용한 표면 조직화 공정을 제외한 나머지 표면 조직화 공정들의 도핑 프로파일은 불균일하게 형성되어 있는 것을 확인 할 수 있다. 양자 효율 측정 결과 단파장 대역에서 낮은 응답특성을 가지는 것을 확인 할 수 있었다. 그 이유는 낮은 반사도를 가지는 표면 조직화 공정의 경우 나노사이즈의 구조를 갖기 때문에 균일한 도핑 프로파일을 얻지 못해 전자, 정공의 분리가 제대로 이루어지지 못하였고 표면 재결합 속도증가의 원인으로 단락전류와 개방전압이 낮아져 효율이 떨어진 것으로 판단된다. 결과적으로 낮은 반사율을 갖는 표면 조직화 공정도 중요하지만 표면 조직화 공정 기술에 따른 균일한 도핑 프로파일을 갖는 공정을 개발한다면 단파장 응답도가 향상되어 단락전류밀도와 개방전압 상승효과를 얻을 수 있을 것이라 판단된다.GaAs태양전지는 일반적으로 22%이상의 변환효율을 가지는 차세대 태양전지이다. GaAs태양전지의 변환효율은 태양광이 조사되었을 때, p-type emitter와 n-type base의 p-n접합으로 생기는 Voc, Isc, FF 인자들로 인하여 그 값이 결정되는데, 이때 각 layer의 물리적 parameter에 의해 그 효율이 변한다. 일반적으로는 각 parameter가 증가할 때, 더욱 많은 전자로 인하여, 저 높은 변환효율을 기대할 수 있겠으나, 전자의 재결합이나 mobility의 감소와 같은 이유로 변환효율은 감소 될 수 있다. GaAs태양전지의 base layer의 두께와 도핑농도를 simulation한 결과 두께$2.6{\mu}m$ , 도핑농도$7{\times}E17cm^{-3}$ 와 두께$2.7{\mu}m$ , 도핑농도$8{\times}E17cm^{-3}$ 에서 25.86%의 가장 높은 에너지 효율을 가짐을 확인 할 수 있었다.태양전지용 III-V족 화합물 반도체 물질인 GaAs는 1.42eV의 Energy Band-gap (Eg)을 가지고 있기 때문에 이론적으로 단일접합 태양전지로는 가장 높은 수준의 광-전 변환효율 달성이 가능하다. 비록 emitter의 조건 가변으로 설정을 했음에도 불구하고, 처음 기본적인 구조였던 emiier 두께 75 nm, 도핑농도 상에서 효율이 24.53%가 될 정도로 큰 효율이 나오게 되었다. TCAD simulation을 이용하여 emitter의 도핑농도와 두께를 가변하여 가장 높은 효율이 나오는 emitter 조건을 찾는 실험을 진행하였다. 시뮬레이션 결과 emitter두께 100 nm에서 도핑농도가 인 경우에 Voc=28.43, Jsc=25.84, Jph=29.12, FF=87.76%, 효율은 25.84%가 나오는 것을 확인 수 있었다.열에너지를 전기에너지로 변환하거나 또는 전기에너지를 열에너지로 직접 변환하는 열전 변환 기술이 주목받고 있다. 열전 변환 효율은 성능지수($ZT={\alpha}^2{\sigma}T{\kappa}^{-1}$ )로 평가되며, 여기서${\alpha}$ ,${\sigma}$ ,${\kappa}$ , T는 각각 열전재료의 제벡계수, 전기전도도, 열전도도 및 절대온도이다. 따라서 우수한 열전재료는 높은 제벡계수와 전기전도도 그리고 낮은 열전도도를 가져야 한다. Bismuth telluride는 상온영역에서 성능지수가 높은 재료로서,$Bi_2Te_3$ 에$Bi_2Se_3$ 와 고용체를 형성하면 원자의 치환으로 포논산란에 의해 열전도도가 낮아지고, 도핑으로 전기적 특성을 조절하여 성능지수를 향상시킬 수 있다. 본 연구에서는 진공밀폐 용해법으로$Bi_2Te_{2.85}Se_{0.15}:I_m$ (m=0.0~0.045) 고용체를 합성하여 상분석을 실시하고, 전자 이동특성 및 열전 특성을 평가하였다.열전재료는 열-전기가 상호 가역적으로 변하는 재료로서, 최근 에너지 변환소재 분야에서 각광받고 있다. 열전재료의 특성 효율은 무차원 열전 성능지수(dimensionless figure of merit,$ZT={\alpha}2{\sigma}T/{\kappa}$ )로 나타낼 수 있다. 여기서${\alpha}$ 는 제벡계수(Seebeck coefficient),${\sigma}$ 는 전기전도도(electrical conductivity),${\kappa}$ 는 열전도도(thermal conductivity), T는 Kelvin 온도를 나타낸다. 500 K에서 800 K까지의 중온 영역에서 우수한 열전특성을 보이는$Mg_2X$ (X=Si, Ge, Sn)와 이들의 고용체는 성분원소가 독성이 없고, 매장량이 많아 친환경 열전 재료로 각광받고 있다.$Mg_2X$ 고용체 중$Mg_2Si_{1-x}Ge_x$ 는 기존$Mn_2Si$ ,$Mg_2Ge$ ,$Mg_2Sn$ 계 보다 더 우수한 열전 성능지수를 보인다. 다양한 제조 방법들이 시도되고 있으나, 조성설계 및 구조, 성능 조절의 어려움이 있고, Mg의 산화와 휘발 및 Mg, Si, Ge의 융점 차이가 크고 중력 편석과 반응하지 않은 원소들로 인해 제조가 상당히 어렵다. Sb가 도핑된$Mg_2Si_{0.5}Ge_{0.5}Sb_y$ (y=0, 0.005, 0.01, 0.02, 0.03) 고용체를 고상반응으로 합성하고 진공열간 압축성형을 통해 성공적으로 제조하였다. 고용 상을 확인하기 위하여 X선 회절분석을 실시하였고, 고용체 형성과 도핑에 따른 전기적 특성변화를 평가하기 위해 Hall 효과를 측정하여 전자 이동특성을 분석하였고, 323~823 K까지 전기전도도, 제벡계수, 열전도도의 측정을 통해 열전 성능지수를 평가하였다.Fluorine-doped tin oxide (FTO), which is commonly used in dye-sensitized solar cells (DSSCs), is a promising material of transparent conducting oxides (TCOs) because of advantages such as high chemical stability, high resistance, high optical transparency (>80% at 550nm), and low electrical resistivity (${\sim}10-4{\Omega}{\cdot}cm$ ). Especially, dye-sensitized solar cells (DSSCs) have been actively studied since Gratzel's research group required FTO substrate as a charge collector. When FTO substrates are used in DSSCs, photo-injected electrons may experience recombination at interface between dye-bonded semiconductor oxides ($TiO_ 2$ ) on FTO substrate and the electrolyte. To solve these problems, one is that because recombination at FTO substrate cannot be neglected, thin$TiO_2$ layer on FTO substrate as a blocking layer was introduced. The other is to control the morphology of surface on FTO substrate to reduce a loss of electrons. The structural, electrical, and optical characteristics of morphology controlled-FTO thin films as TCO materials were analyzed by X-Ray Diffraction (XRD), Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Hall Effect Measurement, and UV spectrophotometer. The performance of DSSCs fabricated with morphology controlled FTO substrates was performed using Power Conversion Efficiency (PCE). We will discuss these results in detail in Conference.Jeong, Yong-Ho;Kim, Seong-Yun;Lee, Sang-Jun;Choe, Won-Seok;Im, Dong-Geon;Seo, Yeong-Ho;Choe, Eun-Chang;Hong, Byeong-Yu 453.2
그래핀을 수직으로 성장한 형태인 탄소나노월(Carbon nanowall; CNW)은 탄소를 바탕으로 하는 다른 나노물질에 비해 표면적이 상당히 넓은 물질로 전극에 활용하여 소자 성능향상을 기대 할 수 있다. 또한 탄소를 기반으로 하는 나노 구조물중에서 가장 높은 표면밀도를 가진다. CNW를 차세대 염료감응형 태양전지(Dye sensitised solar cells; DSSC)의 상대전극으로 사용한다면 기존대비 광변환 효율을 향상시킬 수 있어 새로운 상대전극으로 활용 가능하다. 또한 CNW는 다른 촉매 없이 직접성장이 가능함으로 불순물 제거공정이 필요하지 않고, 공정시간이 짧아 대량생산에 용의하다. 본 연구에서는 마이크로웨이브 PECVD 장비를 사용하고 메탄(CH4)을 반응가스로 사용하여 CNW 하부전극을 제조하였다. CNW 하부전극의 광 변환효율을 관찰하기위해서 합성시간을 변화를 주었다. 제조된 DSSC의 광 변환 효율을 측정하기 위해 Solar simulator 장비를 사용하여 제작된 cells의 효율을 측정하였다.Choe, Won-Seok;Jeong, Yong-Ho;Kim, Seong-Yun;Jeong, Yeon-Ho;Hwang, Hyeon-Seok;Kim, Yeong-Ju;Park, Geon-Sik 455.1
폴리머 애자는 기존 사기 재질 애자에 제조비용과 설치비용이 저렴하며 훌륭한 내구성과 경량화에 따른 취급의 편의성으로 최근 기존 애자를 대체하기 위한 연구와 실증이 활발하다. 그러나 폴리머 애자는 재질의 한계로 인해 유증에 따른 오염에 취약한 단점을 가져 터널내부와 전기철도차량에 사용하는 것에 있어 한계를 가진다. 폴리머 애자의 활용성을 높이기 위해 본 연구에서는 폴리머 애자의 표면을 플라즈마 처리를 하여 표면개선을 하는 연구를 진행하였다. 반응가스로는 산소, 질소, 수소, 아르곤 등의 가스를 사용하였고, 플라즈마 소스는 마이크로웨이브 플라즈마와 DC 플라즈마를 사용하였다.폴리머 애자는 기존 사기 재질 애자에 제조비용과 설치비용이 저렴하며 훌륭한 내구성과 경량화에 따른 취급의 편의성으로 최근 기존 애자를 대체하기 위한 연구와 실증이 활발하다. 그러나 폴리머 애자는 재질의 한계로 인해 유증에 따른 오염에 취약한 단점을 가져 터널내부와 전기철도차량에 사용하는 것에 있어 한계를 가진다. 폴리머 애자의 활용성을 높이기 위해 본 연구에서는 폴리머 애자의 표면에 초친수 코팅을 하여 애자 표면을 개선하는 연구를 진행하였다. 초친수 박막은 제작된 4인치 타겟을 사용하여 RF 마그네트론 스퍼터링 방식으로 폴리머 애자 표면에 코팅하였고, 제작된 시편은 접착력과 접촉각 측정 등의 특성분석을 수행하였다. 초친수 코팅은 폴리머 애자 표면에 흡착된 유증오염물질을 약간의 수분반응으로 손쉽게 제거하는 성능을 나타내었다.Transmutation characteristics of transuranics (TRU) in a transmutation reactor based on LAR (Low Aspect Ratio) tokamak as a neutron source are investigated. Optimum radial build of a transmutation reactor is found by coupled analysis of the tokamak systems and the neutron transport. The dependence of the transmutation characteristics on an aspect ratio, A in the range of 1.5 to 2.5, and on a fusion power in the range of 150 MW to 500 MW are investigated. Equilibrium fuel cycle is developed for effective transmutation and it is shown that with one unit of the transmutation reactor based on the LAR tokamak producing fusion power in the range of a few hundred MW, up to 3 PWRs (1.0 GWe capacity) can be supported with the burn-up fraction bigger than 50%.Cu(In,Ga)Se2 (CIGS) 화합물은 태양광을 흡수하기에 가장 이상적인 약 1.04 eV의 에너지 금지대 폭과 높은 광흡수계수를 가지고 있으며,$450{\sim}590^{\circ}C$ 의 고온 공정에도 매우 안정하여 열 경화현상을 거의 보이지 않으므로 박막 태양전지로서 커다란 응용 잠재력을 갖고 있는 광흡수층 재료이다. CIGS 화합물 박막 태양전지의 효율은 연구실에서는 ~20%의 높은 효율을 보고하고 있으며, 모듈급에서도 ~13%의 효율을 보이고 있다. 그러나 CIGS 박막 태양전지를 대면적 또는 양산화에 적용하기 위해서는 20년 이상의 장기적인 수명을 보장할 수 있는 내구성을 갖추어야 한다. 본 연구에서는 CIGS 모듈의 장기적인 신뢰성을 평가하기 위해 CIGS PV 모듈을 대상으로 IEC-61646 규격을 이용하여 고온고습 시험 ($85^{\circ}C$ /85% RH, 1000 h) 과 열충격 시험 ($-40^{\circ}C/140^{\circ}C$ , 1000 cycles) 이 수행되었고, 두 종류의 가속 스트레스 시험 후에 모듈의 성능 저하에 영향을 미치는 요인들이 연구되었다. 또한, 모듈의 효율 저하의 원인을 규명하기 위해 투명전극 Al-doped ZnO (AZO)와 광흡수층 CIGS를 대상으로 고장분석을 수행하였다. AZO층과 CIGS층의 전기적 특성 분석, 결장상 분석 및 XPS 분석들을 종합하여 CIGS PV 모듈의 성능저하의 원인을 규명하였다.Hong, Seung-Hyeok;Yun, Ju-Hyeong;Park, Hyeong-Ho;Gang, Gil-Mo;Seo, Cheol-Won;Kim, Jun-Dong 458.1
An effective light-managing structure has been achieved by using a nano-imprint method. A transparent conductor of indium-tin-oxide (ITO) was periodically nanodome-shaped to have a height of 200 nm with a diameter of 340 nm on a p-type Si substrate. This spontaneously formed a heterojunction between the ITO layer and Si substrate and effectively reduced the light-reflection. The ITO nanodome device response was significantly enhanced to 6010 from the value of 72.9 of a planar ITO film. The transparent conducting ITO nanodome structure efficiently manipulates the incident light driving into the light-absorber and can be applied in various photoelectric applications.We have demonstrated that simple brush-painted Ti-doped$In_2O_3$ (TIO) films can be used as a cost effective transparent anodes for organic solar cells (OSCs). We examined the RTA effects on the electrical, optical, and structural properties of the brush painted TIO electrodes. By the direct brushing of TIO nanoparticle ink and rapid thermal annealing (RTA), we can simply obtain TIO electrodes with a low sheet resistance of 28.25 Ohm/square and a high optical transmittance of 85.48% under atmospheric ambient conditions. Furthermore, improvements in the connectivity of the TIO nano-particles in the top region during the RTA process play an important role in reducing the resistivity of the brush-painted TIO anode. In particular, the brush painted TIO films showed a much higher mobility ($33.4cm^2/V-s$ ) than that of previously reported solution-process transparent oxide films ($1{\sim}5cm^2/V-s$ ) due to the effects of the Ti dopant with higher Lewis acid strength (3.06) and the reduced contact resistance of TIO nanoparticles. The OSCs fabricated on the brush-painted TIO films exhibited cell-performance with an open circuit voltage (Voc) of 0.61 V, shot circuit current (Jsc) of$7.90mA/cm^2$ , fill factor (FF) of 61%, and power conversion efficiency (PCE) of 2.94%. This indicates that brush-painted TIO film is a promising cost-effective transparent electrode for printing-based OSCs with its simple process and high performance.Because both$TiO_2$ and ZnO has superior characteristic optically and electrically, there are various of research for these materials. However, they have large band gap energy which correspond with not visible light, but UV light. To make up for this disadvantage, Quantum dots (CdS, CdSe) which can absorb the visible light could be deposited on$ZnO/TiO_2$ nanostructure so that the the photoelectrochecmical cell can absorb the light that has larger region of wavelength. Both$TiO_2$ and ZnO can be grown to one-dimensional nanowire structure at low temperature through solutional method. Three-dimensional hierarcical$ZnO/TiO_2$ nanostructure is fabricated by applying these process. Large surface area of this structure make the light absorbed more efficiently. Through type 2 like-cascade energy band structure of nanostructure, the efficient separation of electron-hole pairs is expected. Photoelectrochemical charateristics are found by using these nanostructure to photoelectrode.CdTe계와 CGIS계 태양전지의 광투과층으로 CdS 박막이 많이 사용된다. Cds 박막의 필요한 물성으로는 높은 광투과도와 얇은 두께이다. 광투과층으로 사용되는 CdS 막의 광투과도가 높아야 많은 양의 빛이 손실 없이 투과하여 광흡수층인 CIGS에 도달할 수 있다. 특히, CdS막의 두께가 얇으면 밴드 갭 이상의 에너지를 가지는 파장의 빛도 투과시킬 수 있어 태양전지의 효율의 증가을 얻을 수가 있다. 그러나 CdS 막의 두께가 얇을 경우, pinhole이 생성되는 등 막의 균질성이 문제가 된다. 본 연구에서는 높은 변환 효율을 갖는 CIGS 박막 태양전지 제작에 적합한 chemical bath depostion(츙)법을 이용하여 CdS 박막을 제조하였다. 또한 반응시간, Cd 및 S source 비와 같은 증착 조건에 따른 박막의 특성을 조사하였다.본 연구진에서는 기존에 Ag2S 양자점을 흡광층으로 활용하여 양자점 감응형 태양전지(QDSC)를 제작, 그 성능과 특징을 분석하여 발표한 바 있다. 기존 연구에서 제작된 Ag2S QDSC는 11 mA/cm2의 비교적 높은 광전류와 260 mV의 비교적 낮은 전압으로 인해 1.2%의 광전환효율 성능을 나타내는 것으로 보고되었다. 추후 연구로 진행된 본 결과에서는, 기존에 Single absorber로 사용된 Ag2S의 한계를 보완하기 위해 CdS를 도입하여 co-sensitization을 활용하였다. CdS는 약 2.3 eV의 밴드갭 에너지를 갖는 물질로, 1.1 eV의 밴드갭을 갖는 Ag2S에 비해 흡광 영역은 좁지만 그만큼 전자-정공 재결합을 억제할 수 있는 장점을 가지고 있다. 또한, 전도층으로 사용한 n-type 물질인 ZnO 나노선과의 밴드구조가 매우 적합하게 조화되어, ZnO/CdS/Ag2S 순서로 이종구조를 접합시켰을 때 세 물질의 Conduction band level과 Valence band level이 순차적으로 연결되는 cascade-shaped 밴드구조를 이루게 된다. 빛을 받아 Ag2S와 CdS에서 생성된 전자는 이 cascade 모양의 conduction band를 따라 순차적으로 ZnO로 잘 전달되게 되어, 효율 향상에 큰 도움을 주었다. 이런 장점들로 인해, CdS-Ag2S co-sensitized QDSC는 Ag2S QDSC에 비해 2배나 향상된 효율인 2.4%를 기록하였으며, 이는 IPCE spectrum 측정 등으로 근거가 뒷받침되었다.양자점은 밴드갭을 조절할 수 있거나 multiple exciton generation등 과 같은여러가지 장점을 갖고 있어 양자점 감응형 태양전지에 대한 많은 연구가 진행되어왔다. 하지만 아직까지 이론적인 에너지 전환 효율에 비하여 낮은 효율을 보여주고 있다. 이러한 낮은 효율은 양자점과 전해질 계면에서의 defect나 surface state로 인한 전자-정공의 재결합으로 설명할 수 있다. 본 연구에서는 CdSe 양자점 합성법 중의 하나인 Chemical Bath Deposition의 전구체 농도조절을 통하여 고품질의 CdSe양자점을 합성하였다. 특정 농도에서 CdSe 양자점 표면에 생성되는 SeO2층을 억제하여 CdSe양자점/전해질 계면에서의 전하 재결합 저항을 높였고 가장 높은 에너지 전환 효율을 보여주었다.Among numerous material candidates, Cu(InxGa1-x)(SySe2-y) (CIGS) thin films have emerged as promising material candidates for thin film solar cell applications due to the high energy conversion efficiency and relatively low fabrication cost. The CIGS thin film solar cells consist of several materials, including Mo back contacts, ZnO-based window layers, and CdS buffer layers. All these materials have different crystal structures and contain quite distinct chemical elements, and hence the device characterization requires careful analyses. Most of all, identification of the major trap states resulting in the carrier recombination processes is a key step toward realization of high efficiency CIGS solar cells. We have carried out electrical investigations of CIGS thin film solar cells to specify the major trap states and their roles in photovoltaic performance. In particular, we have used the temperature-dependent transport characterizations and admittance spectroscopy. In this presentation, we will introduce some exemplary studies of DC and AC electrical characteristics of the CIGS solar cells.태양 에너지는 미래 에너지원으로 각광받고 있는 중요한 에너지원이다. 다양한 태양전지 중 CuInS2(CIS) 박막형 태양전지는 높은 광흡수율과 조절가능한 밴드갭에너지를 가지고 있으며, 높은 장기 안정성과 광변환효율 등으로 많은 관심을 받고 있다. 최근 20.3%에 달하는 높은 광변환효율이 보고된 바 있으나, 이는 고진공 장비를 요구함으로 인해 초기 투자비용이 늘어남과 동시에 대량생산 측면에서 한계점이 지적되고 있다. 본 연구는 CIS계 태양전지를 보다 저온, 상압에서 제조하기 위해 Cu, In, S 전구체를 용매에 녹여 전구체 용액을 제조하였다. 이를 스핀코팅을 이용하여 CdS 버퍼층이 증착된 ZnO 나노구조에 코팅 후, 건조 및 열처리하여 광흡수층 박막을 증착하는 방법을 개발하였다. 본 연구에서는 superstrate 형태의 태양전지 구조를 이용하기 위하여window 층으로 쓰이는 ZnO 박막을 수열합성법을 통해 나노구조화하였다. 이를 통해 CIS 흡수층과의 접촉면적 증가에 따른 빛 흡수효율 증가 및 전하 이동 효과를 증가시킬 수 있었다. 각각의 나노구조의 SEM, XRD, UV-transmittance 분석을 통하여 살펴 보았으며, 결과적으로 상온, 상압에서 증착이 가능한 용액 공정을 통해 superstrate방식의 CIS 태양전지를 만들 수 있었다. 소면적 태양전지 제작을 통해 박막 구조에 비해 향상된 광변환 효율을 얻었다.$TiO_2$ 는 저렴한 가격, 적절한 bandgap, 열적, 화학적, 생물학적 안정성 등으로 촉망받는 광촉매 물질이다.$TiO_2$ 는 rutile (tetragonal, space group: P42/mnm), anatse (tetragonal, space group: I41/amd), and brookite (orthorhombic, space group: Pbca )의 3가지 대표적인 결정구조를 가지고 있다. Rutile과 anatase는 1972년 Fujishima와 Honda가$TiO_2$ 의 광촉매 특성을 발견 한 후로 아주 많은 연구가 되어왔다. 반면 brookite의 경우는 자연에 거의 존재하지 않으며, 합성방법도 어려워서 rutile과 anatase에 비해 많은 연구가 되지 않았다. 본 연구에서는 brookite를 포함한 다양한$TiO_2$ 나노구조를 간단한 수열합성법으로 티타늄 호일 위에 합성하였다. 합성된$TiO_2$ 는 반응 온도와 시간, additive의 농도에 따라서 sheet, tube, wire, pyramidal 의 4가지 morphologies를 가졌다. 이 다양한 morphologies은 SEM과 TEM으로 분석되었으며, 각 물질의 결정 구조는 XRD분석과 TEM의 SAED pattern 분석으로 sheet, tube, wire은 anatase, pyramidal 구조는 brookite라는 것이 확인 되었다. 위의 방법으로 합성된 각각의$TiO_2$ 물질들을 working 전극으로, Pt와 Ag/AgCl을 reference와 counter 전극으로 만들어서 photoelectrochemical 특성을 측정해서 비교를 해보았을 때, brookite 물질이 anatase보다 더 좋은 photoelectrochemical 특성을 나타내는 것을 확인하였다.The Quantum dot (QD) solar cells have been under active research due to their high light harvesting efficiencies and low fabrication cost. In spite of these advantages, there have been some problems on the charge collection due to the limitation of the diffusion length. The modification of advanced nanostructure is capable of solving the charge collection problem by increasing diffusion length of electron. One dimensional nanomaterials such as nanorods, nanowires, and nanotubes may enhance charge collection efficiency in QD solar cells. In this study, we synthesized$TiO_2$ anatase nanorod arrays with length of 200 nm by two-step sol-gel method. The morphology and crystal structure for the nanorod were characterized by using scanning electron microscopy (SEM), transmission electron microscopy (TEM), and X-ray diffraction (XRD). The anatase nanorods are single-crystalline and possess preferred orientation along with (001) direction. The photovoltaic properties for the heterojunction structure QD solar cells based on the anatase nanorod were also characterized. Compared with conventional$TiO_2$ nanoparticle based QD solar cells, these nanostructure solar cells exhibited better charge collection properties due to long life time measured by transient open circuit studies. Our findings demonstrate that the single crystalline anatase nanorod arrays are promising charge transport semiconductors for heterojunction QD solar cells.Quantum dots (QD) solar cells has received considerable attention due to their potential of improving the overall conversion efficiency by harvesting excess energy via multiple excitons generation (MEG). Although there have been many reports which show MEG phenomena by using optical measurement of quantum dots themselves, carrier multiplication in real QD photovoltaic devices has been sparsely reported due to difficulty in dissociation of excitons and charge collection. In this reports, heterojunction QD solar cells composed of PbS QD monolayer on highly crystalline$TiO_2$ thin films were fabricated by using Langmuir-Blodgett deposition technique to significantly reduce charge recombination at the interfaces between each QD. The PbS CQDs monolayer was characterized by using UV-vis, transmission electron microscopy (TEM) and atomic force microscopy (AFM). The internal quantum efficiency (IQE) for the monolayer QD solar cells was obtained by measurement of external quantum efficiency and determining light absorption efficiency of active layer. Carrier multiplication was observed by measuring IQE greater than 100% over threshold photon energy. Our findings demonstrate that monolayer QD solar cell structure is potentially capable of realizing highly efficient solar cells based on carrier multiplication.유기태양전지는 친환경 에너지 소스로써 저가 대량 생산이 가능하고 특히 유연한 기판에 적용이 가능하여 많은 관심을 받고 있다. 그럼에도 불구하고 기존에 사용되는 indium tin oxide (ITO)의 사용으로 인한 유연성 부족으로 대체되는 투명전극의 개발이 요구되어지고 있다. 이로 인해 carbon nanotubes, graphene, thin metals, metal grids, and conducting polymers 등이 연구되고 있으며, 이중 Silver nanowires (Ag NWs)를 이용한 방식도 많은 관심과 함께 전기광학적 특성에 대한 연구가 진행되고 있다. 하지만 유기전자소자에 사용되기에는 몇 가지 문제점이 발생하는데 이를 해결하기 위한 노력이 다양하게 이루어지고 있다. 특히 다양한 물질의 혼합을 통해 개선하고자 하는 노력이 증가하고 있는데 적층구조의 전도성필름 형성을 통해 ITO-free OPVs에서 Ag nanowire를 transparent conductive electrodes로 활용하였다. Ag NWs층과 PEDOT:PSS layer의 복합화를 통해 저가의 ITO-free OPVs용 transparent anodes가 가능해졌다.일반적으로 유기전자소자의 제작에 있어서 Indium tin oxide (ITO)는 뛰어난 전기 광학적 특성을 바탕으로 가장 보편적으로 사용되는 투명전극이다. 특히 유기태양전지(Organic Photovoltaic, OPV)나 유기발광디스플레이(Organic Light Emitting Device)는 ITO 위에 PEDOT:PSS 층을 형성하여 HOMO, LUMO를 조절하고 효율을 향상시키는 역할을 수행하고 있다. 특히 ITO 위의 PEDOT:PSS는 사용되는 용제의 종류나 첨가제 등에 따라 특성이 크게 영향을 받는다. 이때 PEDOT:PSS는 일반적으로 강산성을 띄게 됨으로써 유기전자소자의 장시간 안정성을 저하시키는 원인으로 작용한다. 본 연구에서는 각각 다른 pH level을 가진 PEDOT:PSS의 시간 경과에 따라 투과도와 면저항을 측정하고 각각의 PEDOT을 사용하여 유기태양전지 소자를 제작하였다. 소자제작 30일 경과 후 소자의 효율이 감소하기는 하였으나 그 변화가 일반적으로 사용되는 pH 2의 감소보다 현저히 적었음을 알 수 있다. 이러한 pH 변화가 이를 적용한 투명전극 필름의 전기 광학적 특성인 투과도 면저항 등에는 영항을 거의 미치지 않으면서도 OPV의 효율 변화에는 큰 차이를 보이는 것을 알 수 있다.All-solid-state solar cell based on Chloride doped organometallic halide perovskite, (CH3NH3)PbIxCl3-x, has achieved a highly power conversion efficiency (PCE) to over 15% [1] and further improvements are expected up to 20% [2]. In this way, solar cells using novel light absorbing perovskite material are actively being studied as a next generation solar cells. However, making solution-process require high temperature up to$500^{\circ}C$ to form compact hole blocking layer and sinter the mesoporous oxide scaffold layer. Because of this high temperature process, fabrication of flexible solar cells on plastic substrate is still troubleshooting. In this study, we fabricated highly efficient flexible perovskite solar cells with PCE in excess of 11%. Atomic layer deposition (ALD) is used to deposit dense$TiO_2$ as hole blocking layer on ITO/PEN substrate. The all fabrication process is done at low temperature below$150^{\circ}C$ . This work shows that one of the important blueprint for commercial use of perovskite solar cells.InAs는 high mobility를 갖는 III-V 화합물 반도체로 최근 InAs 나노선 기반 electronic transport에 대한 연구가 활발히 진행되고 있다. 또한, InAs는 n-type의 중온 영역대의 열전물질로서 나노선이나 나노박막과 같은 저 차원 구조의 열전 특성에 대한 보고가 이루어 지고 있다. 대부분의 InAs 나노선의 성장 방법은 화학기상증착법에 의한 것으로, 상온에서$100{\mu}V/K$ 이하의 낮은 Seebeck 계수 값을 나타내고 있다. 본 연구는 무촉매 상태에서 MBE (Molecular beam epitaxy) 성장시킨 InAs 나노선의 열전 특성을 측정하였다. MTMP (Microfabricated Thermoelectric measurement platform)를 이용하여 50 K에서 300 K까지의 온도 영역에서 전기전도도, Seebeck 계수의 측정을 진행하였다. 그 결과 Seebeck 계수 값은 상온에서 대략$200{\mu}V/K$ 로 높게 나타나고 있으며, 동일한 나노선의 상온 전기전도도는 대략 9800 S/m로 많은 보고들과 비슷한 수준의 수치가 나타나고 있다. Transconductance 측정을 통한 field-effect mobility와 carrier 농도를 평가한 결과가 Mott formula에서 계산된 carrier 농도와 유사한 결과를 나타내었다. 매우 큰 Seebeck 는 carrier 농도가 낮은 것에 기인한 것으로 판단된다.RF-PECVD 장치에 의해 증착된 실리콘 질화막(SiNx)은 결정질 실리콘 태양전지에서 반사 방지막 효과 및 우수한 표면 패시베이션 특성을 제공하는 것으로 알려져 있다. 본 논문에서는 실리콘 질화막의 패시베이션 특성을 향상시키기 위해서 공정온도를$400^{\circ}C$ 로 고정하고 공정압력, 가스비, RF (radio frequency) power를 가변하였다. 이 때의 실리콘 질화막의 굴절률 및 두께는 각각 2.0, 80 nm로 증착하여 그에 따른 특성에 대해 분석하였다. 공정 압력이 감소할수록 실리콘 질화막이 증착된 결정질 실리콘 태양전지의 유효 반송자 수명이 증가함을 보였고, 반면에 증착속도는 감소하였다. 또한 RF-power 500 W에서 실리콘 질화막이 증착된 결정질 실리콘 태양전지의 유효 반송자 수명이 상대적으로 높았으며 출력이 올라갈수록 증착속도가 증가하였다. 결과적으로 결정질 실리콘 태양전지에 증착한 실리콘 질화막은 0.8torr 공정 압력과 RF-power 500 W에서$38.8{\mu}s$ 로 가장 좋은 유효 반송자 수명을 확인하였다. 위의 결과를 바탕으로 결정질 실리콘 태양전지를 제작하였고 향상된 패시베이션 특성을 갖는 실리콘 질화막의 조건을 찾기 위해서 개방전압(open circuit voltage)을 비교하였다. 공정압력 0.8 torr, RF-power 500 W에서 가장 높은 결과를 보였으며 이는 유효 반송자 수명과 유사한 결과를 나타냈다. 하지만 낮은 FF (fill factor)로 인해 변환 효율이 낮은 결과를 보였다. 태양전지 제작시 낮은 fill factor를 보인 이유와 위의 단점을 보완하기 위해 추가 실험을 수행하였으며, 개선된 fill factor를 통해 18.3% 효율의 태양전지를 제작하였다.염료감응형 태양전지(DSSC)는 다양한 태양전지 중, 가장 환경친화적이고, 생산단가도 낮을 뿐만 아니라 다양한 색상과 투광성을 확보할 수 있어 많은 연구가 진행되어왔다. 하지만 액체전해질을 사용하는 기존 염료감응형 태양전지는 높은 휘발성과 열 팽창 수축에 따른 전해질 누액의 문제점으로 인하여 최근에는 고체전해질을 이용한 염료감응형 태양전지의 개발이 활발히 이루어지고 있다. 또한 기존 염료보다 높은 흡광계수와 넓은 흡수스펙트럼을 지닌 페로브스카이트가 개발되어 현재 많은 관심이 주목되고 있다. 본 연구에서는$TiO_2$ 제조상의 중간생성물인 Metatitanic acid (MTA)를 이용하여 광전극을 형성하고 열처리 온도에 따른 나노입자의 소성거동평가을 평가하였고 시차열중량 분석, 결정상 확인을 하고 염료감응 태양전지에 적용하였다. MTA 나노입자를 Field Emission Transmission Electron Microscopy (FE-TEM), Barrett-Joyner-Halenda (BJH pore size distribution)과 Brunauer-Emmet-Teller (BET) 분석을 통해 소성거동을 평가하고, Thermogravimetry and differential thermal analysis (TG-DTA)를 통해 열중량 측정을 하였으며, X-ray Diffraction (XRD) 분석을 통해 결정상을 확인하였다. 또한 Fourier-transform infrared (FT-IR) spectroscopy를 통해 MTA 나노입자의 표면분석을 하였다. 형성된 MTA 광전극을 페로브스카이트 염료에 적용하여 5%의 효율을 달성하였다.We demonstrate here that an improvement in precursor film density (green density) leads to a great enhancement in the photovoltaic performance of CuInSe2 (CISe) thin film solar cells fabricated with Cu-In nanoparticle precursor films via chemical solution deposition. A cold-isostatic pressing (CIP) technique was applied to uniformly compress the precursor film over the entire surface (measuring 3~4 cm2) and was found to increase its relative density (particle packing density) by ca. 20%, which resulted in an appreciable improvement in the microstructural features of the sintered CISe film in terms of lower porosity, reduced grain boundaries, and a more uniform surface morphology. The low-bandgap (Eg=1.0 eV) CISe PV devices with the CIP-treated film exhibited greatly enhanced open-circuit voltage (VOC, from 0.265 V to 0.413 V) and fill factor (FF, from 0.34 to 0.55), as compared to the control devices. As a consequence, an almost 3-fold increase in the average power conversion efficiency, 3.0 to 8.2% (with the highest value of 9.02%), was realized without an anti-reflection coating. A diode analysis revealed that the enhanced VOC and FF were essentially attributed to the reduced reverse saturation current density (j0) and diode ideality factor (n). This is associated with the suppressed recombination, likely due to the reduction in recombination sites such as grain/air surfaces (pores), inter-granular interfaces, and defective CISe/CdS junctions in the CIP-treated device. From the temperature dependences of VOC, it was confirmed that the CIP-treated devices suffer less from interface recombination.현재 Cu(In,Ga)Se2나 Cu2ZnSn(S,Se)4 (CZTSSe)계 박막태양전지의 버퍼층으로 가장 많이 사용되는 물질은 CdS이다. 하지만 Cd의 독성 문제로 인해 사용에 제약이 있고, CdS의 작은 밴드갭(~2.4 eV)으로 인해 단 파장 영역에서 광활성층의 빛 흡수를 저해하는 문제 때문에 새로운 대체 물질을 찾으려는 연구가 많이 이루어지고 있다. 이러한 관점에서, ZnS계 물질은 독성 원소인 Cd을 사용하지 않고, 3.6 eV 정도의 큰 밴드갭을 가지기 때문에, CdS 버퍼층을 대체하기 위한 물질로 관심을 받고 있다. ZnS계 버퍼층을 증착하는 위해 chemical bath deposition (CBD), molecular beam epitaxy (MBE), thermal evaporation, spray pyrolysis, sputtering, elecrtrodepostion 등의 다양한 공정이 사용될 수 있다. 본 연구에서는 상기의 다양한 공정 가운데, 공정 단가가 낮고, 대면적 공정에 용이한 CBD 공정을 이용하여 ZnS계 버퍼층을 증착하는 연구를 수행하였다. 용액의 조성, 농도, 공정 온도, 시간 등을 비롯한 다양한 공정 변수가 ZnS계 박막의 morphology, 조성, 결정성, 광학적 특성 등 다양한 특성에 미치는 영향이 체계적으로 연구되었다. 또한, 상기 ZnS계 버퍼층을 CZTSSe 박막태양전지에 적용하여 CdS를 성공적으로 대체할 수 있음을 확인하였다. 본 연구를 통하여 ZnS계 버퍼층이 향후 친환경적인 박막태양전지 제조에 활용될 수 있는 가능성을 확인할 수 있었다.현재 결정질 실리콘 태양전지의 전 후면 전극의 형성은 스크린 프린팅 방법이 주를 이루고 있다. 스크린 프린팅 방법은 쉽고 빠르게 인쇄가 가능한 반면 단가가 높고 금속 페이스트에 첨가된 여러 혼합물에 의해서 전극과 기판 사이의 저항이 크다는 단점이 있다. 본 논문에서는 도금을 이용하여 태양전지의 전극을 형성한 후 태양전지의 전기적 특성을 비교하였다. 또한 단일반사방지막($SiN_x$ ) 증착 후 도금을 이용한 전극 형성 시 반사방지막의 pin-hole에 의해 전극 이외의 표면에 도금이 되는 ghost plating 현상이 발생하게 되는데, 이를 방지하기 위해 thermal oxidation을 이용하여 SiO2/SiNx 이중반사 방지막을 증착함으로써 ghost plating을 최소화 시켰다. Ni을 이용하여 전극과 기판 사이의 저항을 낮추었으며, 주요 전극은 Cu 도금을 사용함으로써 단가를 낮추었으며 마지막으로 Cu전극의 산화를 방지하기 위해 Ag을 이용하여 얇게 도금하였다. 실험에 사용된 Si 웨이퍼 특성은 p-형,$156{\times}156mm2$ ,$200{\mu}m$ ,$0.5{\sim}3.0{\Omega}{\cdot}cm$ 이다. 웨이퍼는 표면조직화, p-n접합 형성, 반사방지막 코팅을 하였으며 스크린 프린팅 방법을 이용해 후면 전극을 인쇄하고 열처리 과정을 통해 전극을 형성하였다. 이 후 전면에 레이저를 이용해 전극 패턴을 형성한 후 도금을 실행하여 태양전지를 완성하였다. 완성된 태양전지는 솔라 시뮬레이터, QE 및 TLM패턴을 이용하여 전기적 특성을 분석하였으며, SEM과 linescan, 광학현미경 등을 이용하여 전극을 분석하였다.We report on the characteristics of Zr-doped$In_2O_3$ (IZrO) films prepared by DC-RF magnetron cosputtering of$In_2O_3$ and$ZrO_2$ targets for use as a transparent electrode for high efficient organic solar cells (OSCs). The effect of$ZrO_2$ doping power on electrical, optical, structural, and surface morphology of the IZrO film was investigated in detail. At optimized$ZrO_2$ RF power of 50 W, the IZrO film exhibited a low sheet resistance of 20.71 Ohm/square, and a high optical transmittance of 83.9 %. Furthermore, the OSC with the IZrO anode showed a good cell-performance: fill factor of 61.71 %, short circuit current (Jsc) of$8.484mA/cm^2$ , open circuit voltage (Voc) of 0.593 V, and power conversion efficiency (PCE) of 3.106 %. In particular, the overall OSC characteristics of the cell with the IZrO anode were comparable to those of the OSC with the conventional Sn-doped$In_2O_3$ (FF of 65.03 %, Jsc of$8.833mA/cm^2$ , Voc of 0.608 V, PCE of 3.495 %), demonstrating that the IZrO anode is a promising alternative to ITO anode in OSCs.투명 면상 발열체는 심미적인 기능을 부가할 수 있기 때문에 다양한 용도의 어플리케이션이 가능하여 저온용 뿐 아니라 고온용 발열체에 대한 많은 연구가 진행되고 있다. 본 연구에서는 ITO/ZnO의 투명 면상 발열체를 제작하였으며, 발열체의 특성을 최적화하기 위해 씨드층 및 수열합성법으로 제작된 film-like ZnO 나노구조체의 두께에 따라 발열 특성을 비교분석 하였다. 제작된 발열체는$350^{\circ}C$ 이상에서 안정적으로 발열을 확인하였다. 발열체를 제품 적용 가능성을 확인하기 위해 발열량, 온도균일성, 발열 유지 안정도, 내마모성 등의 신뢰성 평가를 진행하였다.We investigated$MoO_3$ graded ITO electrodes for organic solar cells (OSCs) without PEDOT:PSS buffer layer. The effect of$MoO_3$ thickness on the electrical, optical, and structural properties of$MoO_3$ graded ITO anodes prepared by RF/DC magnetron co-sputtering system using$MoO_3$ and ITO targets was investigated. At optimized conditions, we obtained$MoO_3$ graded ITO electrodes with a low sheet resistance of 13 Ohm/square, a high optical transmittance of 83% and a work function of 4.92 eV, comparable to conventional ITO films. Due to the existence of$MoO_3$ on the ITO electrodes, OSCs fabricated on$MoO_3$ graded ITO electrode without buffer layer successfully operated. Although OSCs fabricated on ITO anode without buffer layer showed a low power conversion efficiency of 1.249%, OSCs fabricated on$MoO_3$ graded ITO electrode without buffer layer showed a outstanding cell performance of 2.545%. OSCs fabricated on the$MoO_3$ graded ITO electrodes exhibited a fill factor of 61.275%, a short circuit current of 7.439 mA/cm2, an open circuit voltage of 0.554 V, and a power conversion efficiency of 2.545%. Therefore,$MoO_3$ graded ITO electrodes can be considered a promising transparent electrode for cost efficient and reliable OSCs because it could eliminate the use of acidic PEDOT:PSS buffer layer.Atomic layer deposition (ALD) provides self-limiting processes based on chemisorption-based reactions. Such unique features allow for superior step coverage, atomic-scale control in thickness, and surface-dependent reaction controls. Furthermore, the surface-limited deposition enables the artificial deposition of oxide and/or metallic materials onto the porous systems as long as the supply is guaranteed in terms of time in providing reactant species and removing the byproducts and redundant reactants. The unique feature of atomic layer deposition is applied to solid oxide fuel cells whose incorporates two porous cathode and anode compartments in addition to the ionic electrolyte. Specific materials are deposited to the surface sites of porous electrodes, with the aim to controlling the triple phase boundaries crucial for the optimized SOFC performances. The effect of ALD on the SOFC performance is characterized using current-voltage characteristics in addition to frequency-dependent impedance spectroscopy. The pros and cons of ALD-controlled SOFCs are discussed toward high-performance SOFC systems.본 연구는 RF 마그네트론 스퍼터링 장치를 이용하여 AZO 박막을 증착하였다. 증착되어진 AZO 박막은 플라즈마 화학기상증착장치를 이용하여 플라즈마 처리를 하였다. 플라즈마 가스로는 산소가스를 사용하였으며, AZO 박막을 산소플라즈마 처리 시간과 플라즈마 파워에 따라 박막의 특성이 변화되는 것을 관찰하였다. RF 마그네트론 스퍼터링 장치로 증착되어진 AZO 박막의 비저항값과 투과율을 측정한 결과 각각$5.6{\times}10-4{\Omega}.cm$ 과 80%를 나타내었다. 증착되어진 AZO 박막을 플라즈마 처리 시간과 플라즈마 파워에 따라 산소플라즈마 처리를 실시하였고, 플라즈마 처리가 되어진 AZO 박막의 구조적, 전기적, 광학적 특성등을 고찰하였으며, 태양전지 응용을 위하여 AZO 박막의 기계적인 특성들을 고찰하였다.자가 세정 및 김서림 방지가 가능한 투명 코팅 소재로써$TiO_2$ 코팅박막을 제안하였으며,$TiO_2$ 코팅박막은 스퍼터링 방식으로 제작하였다. 낮은 표면 에너지를 갖는 물질을 화학적으로 변형시키고 유리기판 위 텍스쳐링을 형성함으로써, 수분에 대해 완전히 다른 특성을 갖는 표면을 유도하며, 김서림 방지 기능과 자가세정, 그리고 높은 빛 투과 특성으로 스마트 표면 코팅을 구현할 수 있다.$TiO_2$ 자가세정 코팅기술은 설치 후 1년 안에 먼지 및 오염에 따라 최대 40%의 효율 저하가 나타나는 태양전지, 디스플레이 패널 분야에서 매우 중요한 요소로 자리 잡을 것으로 기대되어진다. 본 연구에서는$TiO_2$ 세라믹 타겟이 부착된 비대칭 마그네트론 스퍼터링 장치를 이용하여$TiO_2$ 박막을 증착하였으며 증착되어진$TiO_2$ 박막의 광촉매 특성과 트라이볼로지 특성을 고찰하였다. 광촉매 특성으로는 표면 접촉각 분석을 통하여 고찰하였으며, 트라이볼로지 특성으로는 경도, 잔류응력, 마찰계수, 표면 거칠기 등을 평가하였다. 또한 XRD, FESEM 분석등 구조분석을 통하여 광촉매 특성과 트라이볼로지 특성등과의 연관성을 규명하였다.Kim, Hyeon-Ho;Park, Seong-Eun;Kim, Yeong-Do;Ji, Gwang-Seon;An, Se-Won;Lee, Heon-Min;Lee, Hae-Seok;Kim, Dong-Hwan 480.1
In this study, we suggest the new emitter formation applied solid phase epitaxy (SPE) growth process using rapid thermal process (RTP). Preferentially, we describe the SPE growth of intrinsic a-Si thin film through RTP heat treatment by radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD). Phase transition of intrinsic a-Si thin films were taken place under$600^{\circ}C$ for 5 min annealing condition measured by spectroscopic ellipsometer (SE) applied to effective medium approximation (EMA). We confirmed the SPE growth using high resolution transmission electron microscope (HR-TEM) analysis. Similarly, phase transition of P doped a-Si thin films were arisen$700^{\circ}C$ for 1 min, however, crystallinity is lower than intrinsic a-Si thin films. It is referable to the interference of the dopant. Based on this, we fabricated 16.7% solar cell to apply emitter layer formed SPE growth of P doped a-Si thin films using RTP. We considered that is a relative short process time compare to make the phosphorus emitter such as diffusion using furnace. Also, it is causing process simplification that can be omitted phosphorus silicate glass (PSG) removal and edge isolation process.Electrochemical capacitors have been the most strong energy storage devices due to high power density and long cycle stability. Pristine carbon nanotubes are promising electrode materials for excellent electrical conductivity and high specific surface area in electrochemical capacitor. However, the practical application of pristine carbon nanotubes was limited by the aggregation into bundles due to van der Waals force. In this research, we explained how multi-walled carbon nanotubes (MWCNT) functionalized by carboxyl, sulfonic, and amine groups (CNT-COOH, CNT-SO3H, CNT-NH2) to improve the performances of MWCNT. Functionalized CNTs showed two- to four-fold increase in capacitance over that of pristine CNTs, while maintaining reasonable cyclic stability. But, the CNT-COOH showed the lowest rate capability of 57% compared to 84%, 86% of CNT-SO3H and CNT-NH2. As demonstrated by the spectroscopic analysis, This reseach showed how surface functional group of carbon nanotubes change capacitor performances.Dye-sensitized solar cell (DSSC) has been extensively investigated as the next generation energy source. Despite attractive features of simple fabrication process and its economical efficiency, there are some problems such as low efficiency and low long-term stability. Many groups have attempted the proposed way to improve the cell efficiency and long-term stability such as low recombination rate between$TiO_2$ surface and electrolyte, the development of new dye molecules capable of light adsorption as broadly as possible, the fabrication of a solid-state DSSC by replacing the liquid electrolyte, and protective coating on glass. In this work, we confirmed new dye-coating conditions to maximize the dye adsorption between the dye and$TiO_2$ nanoparticle surface. The experiment results coating conditions with the coating temperature of$70^{\circ}C$ , the dye concentration of 10 mM and the coating time of 3 min. Conditions have two times, three times cycle the experiment in progress efficiency rises.Bulk hetero junction (BHJ) polymer solar cell (PSCs) is one of the most promising fields as alternative energy source. Especially, the development of new p-type conjugated polymer is one of the main issues to get core technology. In this study, we investigated the chemical doping effects of incorporating 3,6-carbazole units into conjugated polymers based on 2,7-carbazole. We assessed the structural effects of this chemical doping by measuring the photovoltaic device performance of the copolymers with and without annealing. Note that the use of nanostructures in the bulk heterojunction layer could be a major obstacle to commercialization because nano-morphologies are frequently unstable at high temperatures. Therefore, the development of thermally stable polymer:fullerene blends with optimized PCEs is an important goal in this area of research. We studied the morphologies of the copolymers incorporating 3,6-carbazole units resulting from thermal annealing to investigate the effects of the difference between the T g values of the 2,7-carbazole unit and the 3,6-carbazole unit.Gang, Byeong-Jun;Park, Seong-Eun;Kim, Yeong-Do;Kim, Seong-Tak;Lee, Hae-Seok;Cha, Yu-Hong;Kim, Do-Yeon;Park, Jeong-Jae;Yun, Seok-Gu;Kim, Dong-Hwan 482.1
고효율 셀 및 생산 단가 절감은 결정질 실리콘 태양전지에서 가장 중요시되고 있는 이슈이다. 그 중 박형 실리콘 웨이퍼를 사용하는 공정은 고효율 및 생산단가의 절감을 만족시킬수 있는 방안으로 개발되고 있으며, 전면 전극 재료인 Ag를 다른 금속 재료로 대체하는 방법 또한 단가 절감을 위한 방안으로 연구가 진행 중이다. 하지만 박형 웨이퍼를 기존 공정에 적용할 시 전후면 전극 형성을 위한 고온의 소성 공정 때문에 웨이퍼의 휨 현상이 문제가 되고 있다. Cu 금속 분말의 저온 분사법을 결정질 실리콘 태양전지 전면전극 형성에 적용할 경우, 박형 실리콘 웨이퍼에 적용하는 문제와 Ag 전극의 대체 전극 사용 문제를 동시에 해결할 수 있는 대안이 될 것으로 사료된다. 본 연구에서는 Cold spray법을 사용하여 결정질 실리콘 태양전지 에미터 위에 Cu 전면 전극을 형성하였으며 반복되는 증착 횟수에 따른 전기적 특성 및 형상학적 특성 등을 평가하였다. 전극 형성 전의 Cu 분말 크기는 1~10 마이크론 이었으며, 주사전자현미경을 이용하여 전극의 형상 및 종횡비를 측정하였다. 또한 transfer length method (TLM) 패턴을 실리콘 웨이퍼 표면에 형성하여 접촉 저항 특성 및 전극의 비저항을 평가하였다.Park, Hyo-Min;Park, Seong-Eun;Kim, Yeong-Do;Nam, Yun-Jeong;Jeong, Tae-Won;Lee, Hae-Seok;Kim, Dong-Hwan 482.2
최근 고효율 실리콘 태양전지 제작을 위해 다양한 연구가 진행되고 있다. 이온주입법을 이용한 PN 접합 형성은 기존의 확산법에 비해 표면과 실리콘 기판 내부에서 도펀트 조절이 용이하다는 장점에 의해 주목 받고 있다. 하지만, 이온주입법으로 도펀트를 주입할 경우, 도펀트와 기판의 충격으로 비정질 상과 결정 결함이 형성된다. 결정 결함은 생성된 전자와 정공의 재결합 준위로 작용하기 때문에 적절한 이온주입 조건과 후 열처리를 통해 높은 특성을 갖는 PN접합층을 형성하여야 한다. 본 실험에서는 보론 도핑된 p형 실리콘 기판에 인을 주입하였다. 인 이온 주입 시 가속전압과 열처리 조건을 달리하여 전기적 특성을 관찰하였으며, 태양전지 에미터층으로의 적용 가능성을 조사해 보았다.Bae, Su-Hyeon;O, Won-Uk;Gang, Byeong-Jun;Kim, Su-Min;Park, Seong-Eun;Park, No-Chang;Lee, Hae-Seok;Kim, Dong-Hwan 483.1
태양광 시스템의 발전량의 증가로 인하여 높은 전위차에 의한 새로운 형태의 태양전지 모듈의 열화 및 출력 감소가 보고되고 있다. Potential induced degradation (PID) 이라고 불리는 이 현상은 고 전위차가 모듈을 구성하는 태양전지와 프레임 사이에 인가되며 발생하는 열화 현상이다. PID의 발생은 태양전지와 프레임 사이의 누설 전류의 크기를 통하여 간접적으로 설명이 되고 있다. 그리고 PID의 해결 방법으로 이 누설 전류를 줄이기 위하여 모듈의 구성 재료를 변화시키는 연구가 보고되고 있다. 하지만 아직 누설 전류와 출력 감소의 연관성에 대한 설명이 부족하고 정확한 발생 원인은 밝혀지지 못한 상황이다. PID가 발생된 이후 태양전지에 발생된 변화를 관찰하기 위하여 항온, 항습 챔버와 고전압 발생 장치에서 PID 시험을 하였다. 서로 다른 두 종류의 태양전지를 사용하여 시간에 따른 PID 현상의 차이점을 살펴보았고 출력 변화를 light IV로 관찰하였다. 또한 시간에 따른 모듈의 전기적 특성의 변화는 dark IV와 electroluminescence (EL)를 이용하여 측정하였다.In this study, we describe a simple selective etching method that produces noncurling, freestanding, large-area, aligned$TiO_2$ nanotube (NT) with doubly ends opened. The novel selective etching process only removed the thin 2nd bottom layer from the physically and chemically stable thick amorphous 1st top layer under thermal treatment at$250^{\circ}C$ , yielding ordered doubly open-ended NT (DNT) that could be easily transferred to an FTO substrate for the fabrication of front-illuminated dye sensitized solar cells (DSCs). The DNT-DSCs yielded a higher PCE (8.6%) than was observed from$TiO_2$ nanoparticle (TNP)-based DSCs (7.3%), for comparable film thicknesses of$16{\mu}m$ , despite of 20% decreased amount of dye. Intensity-modulated photocurrent and photovoltage spectroscopy (IMPS and IMVS, respectively) revealed that the DNT-DSCs exhibited electron lifetimes that were 10 times longer than those of TNP-DSCs, which contributed to high device performances.Bulk hetero junction (BHJ) polymer solar cells (PSCs) are one of the most promising fields as alternative energy source. Especially, the development of new p-type conjugated polymer is one of the main issues to get core technology. In this study, a series of varied ratio of 3,6-carbazole in poly[9-(heptadecan-9-yl)-9H-carbazole-2,7-diyl-alt-(5,6-bis-(octyloxy)-4,7-di(thiophen-2-yl)benzo-[1,2,5]-thia-diazole)-5,5-diyl] were designed and synthesized. These polymers have good solubility and film formability than PCDTBT which is well known promising material. Investigation of the photovoltaic properties of these new polymers indicated that polymer with 2% of 3,6-carbazole provided higher PCE (3.8% to 4.9%) with enhanced JSC, FF, VOC. We found origin of this improvement using several methods, one of which is reduced bimolecular recombination in polymer.There are many efforts to improving the power conversion efficiencies (PCEs) of dye-sensitized solar cells (DSCs). Although DSCs have a low production cost, their low PCE and low thermal stability have limited commercial applications. This study describes the preparation of a novel multifunctional polymer gel electrolyte in which a cross-linking polymerization reaction is used to encapsulate$TiO_2$ nanoparticles toward improving the power conversion efficiency and long-term stability of a quasi-solid state DSC. A series of liquid junction dye-sensitized solar cells (DSCs) was fabricated based on polymer membrane encapsulated dye-sensitized$TiO_2$ nanoparticles, prepared using a surface-induced cross-linking polymerization reaction, to investigate the dependence of the solar cell performance on the encapsulating membrane layer thickness. The ion conductivity decreased as the membrane thickness increased; however, the long term-stability of the devices improved with increasing membrane thickness. Nanoparticles encapsulated in a thick membrane (ca. 37 nm), obtained using a 90 min polymerization time, exhibited excellent pore filling among$TiO_2$ particles. This nanoparticle layer was used to fabricate a thin-layered, quasi-solid state DSC. The thick membrane prevented short-circuit paths from forming between the counter and the$TiO_2$ electrode, thereby reducing the minimum necessary electrode separation distance. The quasi-solid state DSC yielded a high power conversion efficiency (7.6/8.1%) and excellent stability during heating at$65^{\circ}C$ over 30 days. These performance characteristics were superior to those obtained from a conventional DSC (7.5/3.5%) prepared using a$TiO_2$ active layer with the same thickness. The reduced electrode separation distance shortened the charge transport pathways, which compensated for the reduced ion conductivity in the polymer gel electrolyte. Excellent pore filling on the$TiO_2$ particles minimized the exposure of the dye to the liquid and reduced dye detachment.In this research, we report the synthesis of three-dimensional (3D) hierarchical porous graphene aerogels (hpGAs) for application to electrochemical energy storage. For electrochemical systems, the specific capacitance is a key parameter to evaluate the characteristics of electrode materials. By taking full advantage of large surface area, 3D hpGAs would achieve the larger specific capacitance over rGO film and GAs. Microscopic structures and topologies of hpGAs were investigated using field emission scanning electron microscopy and transmission electron microscopy. X-ray photoelectron spectroscopy was used to determine the chemical compositions of rGO film, GAs, and hpGAs. Raman spectra were recorded from 100 to 2500 cm-1 at room temperature using a Raman spectroscopy equipped with a${\times}100$ objective was used. The specific area and pore distribution of GAs and hpGAs were obtained using a Brunauer-Emmett-Teller apparatus.Cz-Si 태양전지가 빛에 노출 되거나 소수 캐리어를 주입하는 경우 시간이 경과함에 따라서 전환 효율이 점점 감소하는 문제가 발생하는데 일반적으로 광열화(Light Induced Degradation) 현상이라고 명명한다. 이러한 현상은 준안정상태로 존재하는 결함들에 의해서 발생되는 것으로 연구되고 있으며 대표적인 결함으로 Cz-Si 물질 내부에 존재하는 B-O 결합이 있다. 광열화가 발생하는 명확한 기전은 아직 연구중에 있지만, 최근의 몇몇 연구결과들이 B농도와 O농도 사이의 상호관계에 대하여 밝혀냈다. 본 연구에서는 실시간으로 LID 현상을 관측하였으며, 초기상태와 비교하여 LID 이후에 열화 되는 특성들을 살펴보았다.현재 전 세계 태양광 시장의 주류를 이루는 단결정 실리콘 태양전지의 효율적 한계를 뛰어넘기 위하여 여러 가지 기술적 구조적 시도들이 이루어지고 있다. 그 중 기존의 피라미드 형태의 텍스쳐링 표면 대신 나노와이어 형상을 가지는 태양전지 개발이 주목을 받고 있다. 실리콘 웨이퍼 표면에 나노와이어가 수직 배열되어 있거나 텍스쳐링 표면에 나노와이어 형상이 있을 경우 SiNx가 증착된 피라미드 텍스쳐링 표면보다 반사도가 월등히 낮아져 light trapping을 기대할 수 있어 태양전지 개발에 응용하기 위한 나노와이어 형상 최적화에 본 연구의 목적이 있다. 실리콘 나노와이어 합성법에는 여러가지 방법들이 있으나 본 연구에서는 비교적 짧은 시간과 상온에서 공정이 이루어지는 무전해 식각법을 이용하여 실리콘 나노와이어를 합성하였다. 무전해 식각법은 은 이온과 실리콘 사이에서 일어나는 산화-환원 반응이 나노와이어 합성의 주요 기전이기 때문에 균일한 나노와이어를 형성하기 위하여 균일한 은 박막 형성과 적절한 반응시간이 요구된다. 본 연구에서는 반응시간을 조절하여 나노와이어의 길이 변화와 반사도의 변화를 FE-SEM과 UV-Vis-NIR spectroscopy를 통하여 관찰하였고 그 결과 나노와이어가 실리콘 웨이퍼 표면에 수직 배열되어 있는 형태와 텍스쳐링 표면에 나노와이어 형상이 있는 경우 SiNx가 증착된 피라미드 텍스쳐링 표면에 비해 월등히 향상된 반사율을 얻을 수 있었다.일반적으로 PV모듈은 태양전지의 내구성을 보완하기 위해 유리, EVA, Back-sheet, Frame등을 사용하여 보호하게 된다. 이렇게 하나의 PV모듈로 만들어져 약 20년간 옥외에 노출 되면서 다양한 하중에 노출된다. PV모듈의 변형이 발생하면서 PV모듈 내부에 위치하는 태양전지도 다양한 원인에 의해 외부에서 힘을 받음으로써 변형이 일어나고 심지어 태양전지의 파손이 발생 되는 경우도 있다. 따라서 PV모듈 내에 존재하는 태양전지가 외부하중에 의한 내구성을 확보하기 위해서는 유리, EVA, Frame과 같은 PV모듈 구성소재가 하중에 대한 변형량을 분석함으로써 태양전지 파손을 방지할 수 있는 구조 및 재료 연구에 활용 될 수 있을 것으로 판단된다. 이를 분석하기 위해 강화유리를 중심으로 EVA, 태양전지, Back-sheet의 적층화 과정에서 외부하중에 대한 변형량 비교와 강화유리, EVA 두께 변화에 따른 변형량, 라미네이션(Lamination)된 모듈과 프레임 사이의 접착력이 변형량에 어떤 영향을 미치는 확인하였다.As the society demands the high performance energy storage devices, development of efficient and renewable energy storage materials has been a topic of interest. Here, we report pseudocapacitive behaviors of biopolymer (lignin) that was confined onto reduced graphene oxides (RGOs) for a renewable energy storage system. The strong surface confinement of quinone groups onto the electroconductive RGOs created the renewable hybrid electrodes for supercapacitors (SCs) with fast and reversible redox charge transfer. As a result, the pseudocapacitors fabricated with the hybrid electrodes of lignin and RGO presented the outstanding electrochemical performances of remarkable rate and cyclic performances:~4% capacitance drop after 3000 cycles and a maximum capacitance of 432 F g-1.Carbon-based materials such as carbon nanotubes and graphene have emerged as promising building blocks in applications for nanoelectronics and energy devices due to electrical property, ease of processability, and relatively inert electrochemistry. In recent years, there has been considerable interest in core-shell nanomaterials, in which inorganic nanowires are surrounded by inorganic or organic layers. Especially, carbon encapsulated semiconductor nanowires have been actively investigated by researchers in lithium ion batteries. We report a method to synthesize silicon nanowire (SiNW) core/carbon shell structures by chemical vapor deposition (CVD), using methane (CH4) as a precursor at growth temperature of$1000{\sim}1100^{\circ}C$ . Unlike carbon-based materials synthesized via conventional routes, this method is of advantage of metal-catalyst free growth. We characterized these materials with FE-SEM, FE-TEM, and Raman spectroscopy. This would allow us to use these materials for applications ranging from optoelectronics to energy devices such as solar cells and lithium ion batteries.Lee, Gyeong-Dong;Bae, Su-Hyeon;Kim, Seong-Tak;Park, Seong-Eun;Lee, Hae-Seok;Kim, Dong-Hwan 489.1
Plasma Enhanced Chemical Vapor Deposition (PECVD) 장치를 통하여 증착된 수소화된 질화막(SiNx:H)은 결정질 태양전지의 반사방지막과 패시베이션 층으로 널리 사용되고 있다. 본 연구에서는 PECVD 장치내에 플라즈마를 형성하는 무선주파수(Radio Frequency)를 다양하게 변화시켜 수소화된 실리콘 질화막의 경향성을 알아보고 각 무선주파수에서 최적화된 패시베이션층을 태양전지에 적용하여 그 특성들을 분석하였다. 다양한 무선주파수 범위는 고주파(High Frequency: 13.56 MHz), 저주파 (Low Frequency: 440 kHZ) 그리고 혼합주파(Dual Frequency: 13.56 MHz + 440 kHz)를 각각 이용하여 수소화된 질화막을 증착 하였으며$156{\times}156mm$ 대면적 결정질 실리콘 태양전지를 제작하여 비교하였다.The planar type flexible piezoelectric energy harvesters (PEH) based on PbZr0.52Ti0.48O3 (PZT) thin films on the flexible substrates are demonstrated to convert mechanical energy to electrical energy. The planar type energy harvesters have been realized, which have an electrode pair on the PZT thin films. The PZT thin films were deposited on double side polished sapphire substrates using conventional RF-magnetron sputtering. The PZT thin films on the sapphire substrates were transferred by PDMS stamp with laser lift-off (LLO) process. KrF excimer laser (wavelength: 248nm) were used for the LLO process. The PDMS stamp was attached to the top of the PZT thin films and the excimer laser induced onto back side of the sapphire substrate to detach the thin films. The detached thin films on the PDMS stamp transferred to adhesive layer coated on the flexible polyimide substrate. Structural properties of the PZT thin films were characterized using X-ray diffraction (XRD) and scanning electron microscopy (SEM). To measure piezoelectric power generation characteristics, Au/Cr inter digital electrode (IDE) was formed on the PZT thin films using the e-beam evaporation. The ferroelectric and piezoelectric properties were measured by a ferroelectric test system (Precision Premier-II) and piezoelectric force microscopy (PFM), respectively. The output signals of the flexible PEHs were evaluated by electrometer (6517A, Keithley). In the result, the transferred PZT thin films showed the ferroelectric and piezoelectric characteristics without electrical degradation and the fabricated flexible PEHs generated an AC-type output power electrical energy during periodically bending and releasing motion. We expect that the flexible PEHs based on laser transferred PZT thin film is able to be applied on self-powered electronic devices in wireless sensor networks technologies. Also, it has a lot of potential for high performance flexible piezoelectric energy harvester.The ZnO nanowire (NW)-based nanogenerators (NGs) can have rectifying current and potential generated by the coupled piezoelectric and semiconducting properties of ZnO by variety of external stimulation such as pushing, bending and stretching. So, ZnO NGs needed to enhance durability for stable properties of NGs. The durability of the metal electrodes used in the typical ZnO nanogenerators(NGs) is unstable for both electrical and mechanical stability. Indium tin oxide (ITO) is used as transparent flexible electrode but because of high cost and limited supply of indium, the fragility and lack of flexibility of ITO layers, alternatives are being sought. It is expected that carbon nanotube and Ag nanowire conductive coatings could be a prospective replacement. In this work, we demonstrated transparent flexible ZnO NGs by using CNT/Ag nanowire hybrid electrode, in which electrical and mechanical stability of top electrode has been improved. We grew vertical type ZnO NW by hydrothermal method and ZnO NW was coated with hybrid silicone coating solution as capping layer to enhance adhesion and durability of ZNW. We coated the CNT/Ag nanowire hybrid electrode by using bar coating system on a capping layer. Power generation of the ZnO NG is measured by using a picoammeter, a oscilloscope and confirmed surface condition with FE-SEM. As a results, the NGs using the CNT/Ag NW hybrid electrode show 75% transparency at wavelength 550 nm and small change of the resistance of the electrode after bending test. It will be discussed the effect of the improved flexibility of top electrode on power generation enhancement of ZnO NGs.Kim, Seongtak;Park, Sungeun;Kim, Young Do;Kim, Hyunho;Bae, Soohyun;Park, Hyomin;Lee, Hae-Seok;Kim, Donghwan 490.2
Since the general solar cells accept sun light at the front side, excluding the electrode area, electrons move from the emitter to the front electrode and start to collect at the grid edge. Thus the edge of gridline can be important for electrical properties of screen-printed silicon solar cells. In this study, the improvement of electrical properties in screen-printed crystalline silicon solar cells by contact treatment of grid edge was investigated. The samples with$60{\Omega}/{\square}$ and$70{\Omega}/{\square}$ emitter were prepared. After front side of samples was deposited by SiNx commercial Ag paste and Al paste were printed at front side and rear side respectively. Each sample was co-fired between$670^{\circ}C$ and$780^{\circ}C$ in the rapid thermal processing (RTP). After the firing process, the cells were dipped in 2.5% hydrofluoric acid (HF) at room temperature for various times under 60 seconds and then rinsed in deionized water. (This is called "contact treatment") After dipping in HF for a certain period, the samples from each firing condition were compared by measurement. Cell performances were measured by Suns-Voc, solar simulator, the transfer length method and a field emission scanning electron microscope. According to HF treatment, once the thin glass layer at the grid edge was etched, the current transport was changed from tunneling via Ag colloids in the glass layer to direct transport via Ag colloids between the Ag bulk and the emitter. Thus, the transfer length as well as the specific contact resistance decreased. For more details a model of the current path was proposed to explain the effect of HF treatment at the edge of the Ag grid. It is expected that HF treatment may help to improve the contact of high sheet-resistance emitter as well as the contact of a high specific contact resistance.Heteroatom phosphorous-doped graphene aerogel (PGA) with high surface area is successfully synthesized via hydrothermal method for high power and energy supercapacitors, including the advantage of three dimensional internetwork and constitutive graphene skeletons. The morphology of PGA was investigated by the scanning electron microscope, transmission electron microscope. The chemical structure and circumstances were confirmed by Raman and X-ray photoelectron spectroscopy, the phosphorus is successfully incorporated with the graphene sheets. As evidenced by electrochemical measurements, cyclic voltammetry and galvanostatic charge discharge, the hierarchically PGA has an unprecedented high capacitance, which contributes to the excellent high-rate performance of this material for supercapacitor application.Generally, silicon heterojunction solar cell has intrinsic and n-type of hydrogenated amorphous silicon (a-Si:H) as passivation layer and BSF layer. In this study, antimony, novel material, deposited on back side of the heterojunction solar cell as passivation and BSF layer to substitute the a-Si:H and the characteristics of the solar cell such electrical properties and optical properties were analyzed. And SIMS analysis was carried out to obtain the depth profile of the BSF layer which was deposited by laser annealing process.태양광은 세계적으로 유망한 에너지 중의 하나이며, 태양광 모듈은 실제 옥외 조건에 따라 다르지만 장기 신뢰성과 수명을 보장하기 위해 최소 20년 이상을 안정적으로 작동될 필요성이 있다. 하지만 실제 태양전지는 옥외에 장기 노출됨에 따라 성능이 저하되며, 그 원인으로는 셀 균열, 부식, 접착 강도 손실 및 박리, 그리고 변색 등이 있다. 본 논문에서는 부식으로 인한 성능 저하를 완화하기 위해 희생금속을 이용하여 태양전지 모듈의 성능 향상에 대해 연구하였다. 태양전지는 4 cell 결정질 실리콘 태양전지 미니 모듈을 이용하였고, 희생금속의 영향을 확인하기 위해 두 종류의 시료를 준비하였다. 한 시료에는 Al 희생금속을 태양전지 리본 위에 부착하였으며, 나머지 한 시료는 비교 시료로 Al 희생금속을 부착하지 않았다. 시료는$85^{\circ}C$ 85%의 상대습도인 고온고습 조건에서 2500시간을 진행하였다. 그리고 2500시간의 고온고습 시험이 진행된 시료의 전기적 특성을 분석하였다. 시험 결과, 희생금속이 없을 경우 28.8%의 출력 저하가 있었으며, 희생금속이 있을 경우 19.3%의 출력 저하가 확인되었다. 또한, 희생금속이 없을 경우, 충실도는 21.5% 감소하였으며, 단락전류 역시 약 6% 정도 감소하였다. 반면, 희생금속이 있을 경우, 충실도는 16.1%로 감소하였고, 단락전류는 거의 변화가 없었다.실리콘 태양전지는 현재 다른 태양전지 셀에 비해서 높은 변환효율 및 우수한 안정성을 가지고 있으며, 상대적으로 가격도 저렴하여 상용으로 판매되는 대다수의 모듈이 실리콘 태양전지를 사용하고 있다. 이러한 태양전지 모듈이 25년 이상 보증을 위해서는 장기 열화메카니즘 연구가 중요시 되고 있다. 따라서 태양전지 모듈을 가속열화하여 높은 내구성을 보유하고 있는지 연구가 필요하다. 본 연구에서는 결정질 실리콘 태양전지 모듈의 옥외실증 시험을 통해 일사량, 기온, 습도, 풍향, 풍속과 같은 환경인자에 따른 모듈의 성능 비교를 통해 모듈의 열화요인에 대하여 분석하였다. MYPGT (Maximal Yield Power Generation Tracking)를 활용하여 모듈의 특성을 정밀하게 측정하며, 빠른 대기환경의 변화에 따른 매시간 태양전지의 전류-전압 특성을 지속적으로 정확하게 측정함으로써 대기환경에서 받는 영향에 대해 분석하였다.