Proceedings of the Korean Vacuum Society Conference (한국진공학회:학술대회논문집)
- Semi Annual
Domain
- Physics > Optics
2012.02a
-
As the critical dimensions of integrated circuits are scaled down, the line width and spacing between the metal interconnects are made smaller. The dielectric film used as insulation between the metal lines contributes to the resistance-capacitance (RC) time constant that governs the device speed. If the RC time delay, cross talk and lowering the power dissipation are to be reduced, the intermetal dielectric (IMD) films should have a low dielectric constant. The introduction of Cu and low-k dielectrics has incrementally improved the situation as compared to the conventional
$Al/SiO_2$ technology by reducing both the resistivity and the capacitance between interconnects. Some of the potential candidate materials to be used as an ILD are organic and inorganic precursors such as hydrogensilsequioxane (HSQ), silsesquioxane (SSQ), methylsilsisequioxane (MSQ) and carbon doped silicon oxide (SiOCH), It has been shown that organic functional groups can dramatically decrease dielectric constant by increasing the free volume of films. Recently, various inorganic precursors have been used to prepare the SiOCH films. The k value of the material depends on the number of$CH_3$ groups built into the structure since they lower both polarity and density of the material by steric hindrance, which the replacement of Si-O bonds with Si-$CH_3$ (methyl group) bonds causes bulk porosity due to the formation of nano-sized voids within the silicon oxide matrix. In this talk, we will be introduce some properties of SiOC(-H) thin films deposited with the dimethyldimethoxysilane (DMDMS:$C_4H_{12}O_2Si$ ) and oxygen as precursors by using plasma-enhanced chemical vapor deposition with and without ultraviolet (UV) irradiation. -
박막 트랜지스터(TFT: Thin-Film-Transistor)는 미래 산업에 여러 가지로 사용 가능한 소자이기 때문에, 많은 연구가 진행되고 있고 그 성능이 계속 향상되고 있다. 특히, 평판 디스플레이인 AMLCD, AMOLED, 전자 종이 등이 모두 유리 혹은 플라스틱 기판에 향성된 TFT 어레이를 이용하고 있다. 현재 상업화에 응용되는 TFT는 비정질 실리콘과 저온 다결정 실리콘이며, 유기반도체 및 산화물 반도체 TFTs에 대한 연구가 매우 활발히 진행되고 있다. 본 발표에서는 산화물 반도체 TFT와 유기 반도체 TFT 기술 및 AMOLED에의 응용 기술 이슈에 대해 논의할 예정이다.
-
방사광이 고체물리의 연구에 이용되기 시작한 60년대 말 이후부터 지난 반세기동안 방사광시설과 이를 이용한 분석 장치들은 큰 발전이 거듭되어 왔다. 90년대에는 포항가속기 연구소에서 방사광을 이용한 실험 시설이 완공되어 지난 20 여년간 이용되어 왔고 현재는 이시설이 업그레이드(PLS II) 되어 조만간 다시 이용자에게 공개될 예정이다. 연 x-선의 경우 광전자를 분석하게 되면 시료의 표면으로부터 1 nm - 수십 nm 두께의 현상에 대한 분석이 가능하다. 경 x-선(수 keV 이상의 에너지를 갖는)의 경우는 비교적 큰 penetration depth를 갖지만 grazing incidence를 이용하게 되면 수 나노 이하의 두께에서 일어나는 현상에 대한 연구가 가능하다. 본 tutorial에서는 전자의 에너지 영역인 VUV영역에서 가능한 방사광을 이용한 여러 가지 표면분석법(XPS, MCD, XAS, ARPES, SPEM, PEEM등)에 대한 세부강의를 제공하고자 한다. 총론에서는 경 x-선을 포함하는 방사광을 이용한 표면분석기술이 어디까지 발전되어 왔고 어느 수준까지 분석이 가능한지에 대한 전체적인 view를 제공하고자 한다. 본 tutorial은 이제 막 방사광을 이용한 연구를 수행하려는 연구자들, 지금까지 특정 분석기술을 사용한바 있는 연구자들, 그리고 여러 가지 방사광이용 표면분석방법에 대한 이해가 필요한 소재 혹은 소자의 개발자들에 좋은 배움의 기회가 될 것입니다.
-
본 튜토리알에서는 표면 및 물질분석 기술로 널리 사용되고 있는 X-ray 광전자분광기술(X-ray Photoelectron Spectroscopy)의 원리와 광전자분광계를 구성하는 요소, 그리고 XPS를 이용하여 시료로부터 얻어낼 수 있는 정보가 무엇인지 등에 대해서 알아본다.
-
XMCD (X-ray Magnetic Circular Dichroism)는 원형 편광 X-선의 helicity 방향이 시료의 자화 방향과 평행, 또는 반평행할 때 시료의 색이 바뀌는 현상, 즉 흡수율이 달라지는 현상이다. XMCD측정이 가지는 장점은 첫째, 이 실험이 특정 원소의 흡수선에서 이루어지기 때문에 시료 전체에서 특정 원소에 의한 자기적 성질을 분리해서 측정할 수 있을 뿐만 아니라, 같은 원소라 하더라도 다른 화학적 환경에 있는 원자들의 자기적 성질의 분리가 가능하다는 점이다. 이러한 성질로 인해 XMCD는 다른 원소들로 이루어진 다층 박막(GMR, TMR 등의 자기저항박막 구조물)의 층별 자기적 성질 연구 및 신자성물질의 자기적 성질의 고유성 연구에 많이 이용되었다. XMCD가 가지는 두 번째 장점은 sum rule을 통하여 자기 모멘트의 두 가지 성분인 궤도 모멘트(orbital moment)와 스핀 모멘트(spin moment)의 구별이 가능하다는 점이다. 이러한 장점은 수직자기 메모리 연구 및 스핀과 격자 간의 상호작용이 중요한 역할을 하는 다강체 등의 연구에 많이 이용되어 왔다. XMCD 측정이 또 다른 장점이 될 수 있는 것은 표면에 대단히 민감하다는 점이다. VSM, SQUID 등의 측정방법으로는 시료의 체적이 대단히 작은 수
${\AA}$ 정도의 초박막에 대해서는 충분한 민감도를 가질 수 없다. 그러나, XMCD의 측정 깊이는 수십${\AA}$ 정도로 표면에 민감하기 때문에 이러한 초박막에 대해서도 충분한 민감도를 가질 수 있어서 SMOKE(Surface Magneto-Optical Kerr Effect)와 표면 자성연구에 있어서 독보적인 장치로 이용되어 왔다. 이러한 장점으로 인해 XMCD는 1990년대 이후 분광학적으로 활발히 이용되어 왔을 뿐만 아니라, 대단히 빠르고 신호가 큰 현상이기 때문에 최근 들어서는 자구(magnetic domain) 관찰 등을 목적으로 한 자기 현미경 및 자기현상의 동역학 연구에도 많이 응용되고 있다. 이 강연에서는 이러한 X-선 자기 원형 이색성 현상의 원리 및 실험 방법 등을 설명하겠다. 또한 몇 가지 X-선 자기 원형 이색성을 이용한 최근 몇 가지 연구도 소개하려 한다. -
Angle-resolved photoemission spectroscopy (ARPES) is a powerful tool to investigate the electronic structure of a single-crystalline solid. After the development of a two-dimensional electron detector, it became a basic experimental method in solid state physics comparable to other powerful tools such as x-ray and neutron scatterings. In this tutorial, I talk briefly on the basic principle of ARPES and its recent and future direction of development.
-
본 강연에서는 방사광 연X-선 분광현미경학(spectro-microscopy) 중에서, 표면에서 방출되는 광전자를 이용하는 SPEM (Scanning Photoelectron Microscopy)과 PEEM (Photoemission Electron Microscopy)을 소개하고자 한다. SPEM은 입사하는 X-선을 작은 크기로 집속하여 특정의 작은 공간에서 광전자분광학(XPS) 데이터를 얻거나 특정 광전자에너지의 공간분포를 얻게 해주며, PEEM은 입사한 X-선에 의해 발생한 광전자를 전자렌즈 원리로 영상을 맺히게 하여 광전자의 발생 분포를 구하게 한다. 이들은 균일하지 아니한 이종의 표면 연구에 매우 유용한 측정기법들이지만, 그 원리 및 구성은 많은 차이점들을 가지고 있다. 예를 들어, SPEM은 시료를 scanning하면서 XPS에 보다 충실한 타입이고 PEEM은 full field imaging 타입으로 표면변화의 동역학 연구에 강점이 있다. 본 강의에서는 이들 각각의 원리, 장점들에 대해서 설명하고, 활용 예를 제시하고자 한다. 활용 분야에 있어서, SPEM의 경우는 포항가속기연구소의 SPEM으로 수행되었던 DMS, graphene, nano-lithography, OLED, 등 반도체 및 나노 소재, 소자에의 활용에 대한 예를 제시할 것이다. PEEM의 경우는 포항가속기연구소의 응용 예와 박막 형태의 magnetic material에 대한 예들을 제시할 것이다.
-
Metal oxide nanostructures have been applied to various fields such as energy, catalysts and electronics. We have freely designed one and two-dimensional (1 and 2-D) metal (transition metals and lanthanides) oxide nanostructures, characterized them using various techniques including scanning electron microscopy, transmission electron microscopy, X-ray diffraction crystallography, thermogravimetric analysis, FT-IR, UV-visible-NIR absorption, Raman, photoluminescence, X-ray photoelectron spectroscopy, and temperature-programmed thermal desorption (reaction) mass spectrometry. In addition, Ag- and Au-doped metal oxides will be discussed in this talk.
-
Arrangement of individual atoms and molecules with atomic precision and understanding the resulting properties at the molecular level are ultimate goals of chemistry, biology, and materials science. For the past three decades, scanning probe microscopy has made strides towards these goals through the direct observation of individual atoms and molecules, enabling the discovery of new and unexpected phenomena. This talk will discuss the origin of forces governing motion of small organic molecules and their extended self-assembly into two-dimensional surface structures by direct observation of individual molecules using scanning tunneling microscopy (STM).
-
The electron/hole injections in organic electronic devices have long been an issue due to the large energy level mismatches between electrode and organic layer. To utilize the organic materials in electronic devices, functional thin layers have been used, which reduce the electron/hole injection barrier from electrode to organic material. Typically, inorganic compounds and organic molecules are used as an electron and hole injection layer, respectively. Recently, CsN3 and 1,4,5,8,- naphthalene-tetracarboxylic-dianhydride (NTCDA) are reported as a potential electron and hole injection layers. CsN3 shows unique properties that it breaks into Cs and N and thus Cs can dope organic layer into n-type. On the other side, hole injection anode, NTCDA forms gap states with anode material. In this presentation, we show the electronic structure changes upon the insertion of CsN3 and NTCDA at proper interfaces to reduce the charge injection barriers. These barrier reductions are correlated with device characteristics.
-
We aim in synthesizing various functional thin films thinner than ~ 10 nm for environmental applications and photovoltaic devices. Atomic layer deposition is used for synthesizing inorganic thin films with a precise control of the film thickness. Several examples about application of our thin films for removing volatile organic compounds (VOC) will be highlighted, which are summarized in the below. 1)
$TiO_2$ thin films prepared by ALD at low temperature ($ < 100^{\circ}C$ ) show high adsorption capacity for toluene. In combination with nanostructured templates,$TiO_2$ thin films can be used as building-block of high-performing VOC filter. 2)$TiO_2$ thin films on carbon fibers and nanodiamonds annealed at high temperatures are active for photocatalytic oxidation of VOCs, i.e. photocatalytic filter can be created by atomic layer deposition. 3) NiO can catalyze oxidation of toluene to$CO_2$ and$H_2O$ at$ < 300^{\circ}C$ .$TiO_2$ thin films on NiO can reduce poisoning of NiO surfaces by reaction intermediates below$200^{\circ}C$ . We also fabricated inverted organic solar cell based on ZnO electron collecting layers on ITO.$TiO_2$ thin films with a mean diameter less than 3 nm on ZnO can enhance photovoltaic performance by reducing electron-hole recombination on ZnO surfaces. -
스터퍼링 기술이 1852년 Grove에 의해서 최초 발견되어 1979년 Chapin에 의해서 planar magnetron cathode 개발로 진공코팅기술의 새로운 영역을 열게 되어 현재까지 디스플레이, 반도체, 태양전지, 광학산업 및 전자부품 등 나노 산업에 필수적으로 적용되고 있다. 스퍼터링 입자는 운동량 전달에 의한 것으로 운동량을 갖는 나노 스퍼터링 입자는 기판에 대한 박막의 부착력이 우수하고 대면적에 균일하고 재현성 있게 성막되는 특징을 갖고 있다. 마그네트론 스퍼터링 기술이 산업에 응용되면서 주로 4분야에서 많은 연구, 개발이 되어져 왔다. 첫째는 타겟의 고순도 및 고밀도화와 더불어 가격이 고가로 됨에 따라 타겟 사용효율의 향상이다. 플라즈마를 발생시키는 캐소드의 자기회로를 1차원, 2차원 및 회전운동을 통해서 사용효율을 향상시키고 있다. 둘째는 기판에 대해서 박막특성이 균일하도록 코팅하는 것이다. 디스플레이에서는 글래스 기판이 대면적으로 됨에 따라서 핸들링이 어려워져 여러 개의 캐소드 자기회로를 선형적으로 이동시켜 박막두께분포를 최적화하며 반응성 가스를 사용해서 균일한 특성의 박막을 제작하는 경우에는 가스분사관과 배기펌프계의 기하학적 위치 및 가스 유동학적 해석이 필요하다. 셋째는 스퍼터링 입자의 이온화로 의한 박막의 특성향상과 반도체 trench의 높은 aspect ratio hole을 채우는 것이다. 이온화 방법으로는 inductively coupled plasma (ICP), microwave amplified (MA), high power impulse (HIPI), hollow cathode magnetron (HCM), self-sustained sputtering 등이 사용되어져 왔으며 최근에는(neutral beam-assisted sputtering (NBAS)에 의한 박막특성향상 방법이 발표되고 있다. 넷째는 플라즈마 및 박막두께 시뮬레이션에 대해서 많은 발표가 되고 있다. 본 발표에서는 상기의 4 분야를 포함한 향후 개발방향에 대해서 소개할 예정이다.
-
In nitride and oxide film deposition, sputtered metals react with nitrogen or oxygen gas in a vacuum chamber to form metal nitride or oxide films on a substrate. The physical properties of sputtered films (metals, oxides, and nitrides) are strongly influenced by magnetron plasma density during the deposition process. Typical target power densities on the magnetron during the deposition process are ~ (5-30) W/cm2, which gives a relatively low plasma density. The main challenge in reactive sputtering is the ability to generate a stable, arc free discharge at high plasma densities. Arcs occur due to formation of an insulating layer on the target surface caused by the re-deposition effect. One current method of generating an arc free discharge is to use the commercially available Pinnacle Plus+ Pulsed DC plasma generator manufactured by Advanced Energy Inc. This plasma generator uses a positive voltage pulse between negative pulses to attract electrons and discharge the target surface, thus preventing arc formation. However, this method can only generate low density plasma and therefore cannot allow full control of film properties. Also, after long runs ~ (1-3) hours, depends on duty cycle the stability of the reactive process is reduced due to increased probability of arc formation. Between 1995 and 1999, a new way of magnetron sputtering called HIPIMS (highly ionized pulse impulse magnetron sputtering) was developed. The main idea of this approach is to apply short
${\sim}(50-100){\mu}s$ high power pulses with a target power densities during the pulse between ~ (1-3) kW/cm2. These high power pulses generate high-density magnetron plasma that can significantly improve and control film properties. From the beginning, HIPIMS method has been applied to reactive sputtering processes for deposition of conductive and nonconductive films. However, commercially available HIPIMS plasma generators have not been able to create a stable, arc-free discharge in most reactive magnetron sputtering processes. HIPIMS plasma generators have been successfully used in reactive sputtering of nitrides for hard coating applications and for Al2O3 films. But until now there has been no HIPIMS data presented on reactive sputtering in cluster tools for semiconductors and MEMs applications. In this presentation, a new method of generating an arc free discharge for reactive HIPIMS using the new Cyprium plasma generator from Zpulser LLC will be introduced. Data (or evidence) will be presented showing that arc formation in reactive HIPIMS can be controlled without applying a positive voltage pulse between high power pulses. Arc-free reactive HIPIMS processes for sputtering AlN, TiO2, TiN and Si3N4 on the Applied Materials ENDURA 200 mm cluster tool will be presented. A direct comparison of the properties of films sputtered with the Advanced Energy Pinnacle Plus + plasma generator and the Zpulser Cyprium plasma generator will be presented. -
Deposition of thin films using magnetron sputtering plasmas is a well-developed, classical technology. However, detailed investigations using advanced diagnostics are insufficient in magnetron sputtering, in comparison with plasma-aided dry etching and plasma-enhanced chemical vapor deposition. In this talk, we will show examples of diagnostic works on magnetron sputtering employing metal targets. Diagnostic methods which have fine spatial resolutions are suitable for magnetron sputtering plasmas since they have significant spatial distributions. We are using two-dimensional laser-induced fluorescence spectroscopy, in which the plasma space is illuminated by a tunable laser beam with a planer shape. A charge-coupled device camera with a gated image intensifier is used for taking the picture of the image of laser-induced fluorescence formed on the planer laser beam. The picture of laser-induced fluorescence directly represents the two-dimensional distribution of the atom density probed by the tunable laser beam, when an intense laser with a relatively wide line-width is used. When a weak laser beam with a relatively narrow linewidth is used, the laser-induced fluorescence represents the density distribution of atoms which feel the laser wavelength to be resonant via the Doppler shift corresponding to their velocities. In this case, we can obtain the velocity distribution function of atoms by scanning the wavelength of the laser beam around the line center.
-
The plasma damage free and room temperature processedthin film deposition technology is essential for realization of various next generation organic microelectronic devices such as flexible AMOLED display, flexible OLED lighting, and organic photovoltaic cells because characteristics of fragile organic materials in the plasma process and low glass transition temperatures (Tg) of polymer substrate. In case of directly deposition of metal oxide thin films (including transparent conductive oxide (TCO) and amorphous oxide semiconductor (AOS)) on the organic layers, plasma damages against to the organic materials is fatal. This damage is believed to be originated mainly from high energy energetic particles during the sputtering process such as negative oxygen ions, reflected neutrals by reflection of plasma background gas at the target surface, sputtered atoms, bulk plasma ions, and secondary electrons. To solve this problem, we developed the NBAS (Neutral Beam Assisted Sputtering) process as a plasma damage free and room temperature processed sputtering technology. As a result, electro-optical properties of NBAS processed ITO thin film showed resistivity of
$4.0{\times}10^{-4}{\Omega}{\cdot}m$ and high transmittance (>90% at 550 nm) with nano- crystalline structure at room temperature process. Furthermore, in the experiment result of directly deposition of TCO top anode on the inverted structure OLED cell, it is verified that NBAS TCO deposition process does not damages to the underlying organic layers. In case of deposition of transparent conductive oxide (TCO) thin film on the plastic polymer substrate, the room temperature processed sputtering coating of high quality TCO thin film is required. During the sputtering process with higher density plasma, the energetic particles contribute self supplying of activation & crystallization energy without any additional heating and post-annealing and forminga high quality TCO thin film. However, negative oxygen ions which generated from sputteringtarget surface by electron attachment are accelerated to high energy by induced cathode self-bias. Thus the high energy negative oxygen ions can lead to critical physical bombardment damages to forming oxide thin film and this effect does not recover in room temperature process without post thermal annealing. To salve the inherent limitation of plasma sputtering, we have been developed the Magnetic Field Shielded Sputtering (MFSS) process as the high quality oxide thin film deposition process at room temperature. The MFSS process is effectively eliminate or suppress the negative oxygen ions bombardment damage by the plasma limiter which composed permanent magnet array. As a result, electro-optical properties of MFSS processed ITO thin film (resistivity$3.9{\times}10^{-4}{\Omega}{\cdot}cm$ , transmittance 95% at 550 nm) have approachedthose of a high temperature DC magnetron sputtering (DMS) ITO thin film were. Also, AOS (a-IGZO) TFTs fabricated by MFSS process without higher temperature post annealing showed very comparable electrical performance with those by DMS process with$400^{\circ}C$ post annealing. They are important to note that the bombardment of a negative oxygen ion which is accelerated by dc self-bias during rf sputtering could degrade the electrical performance of ITO electrodes and a-IGZO TFTs. Finally, we found that reduction of damage from the high energy negative oxygen ions bombardment drives improvement of crystalline structure in the ITO thin film and suppression of the sub-gab states in a-IGZO semiconductor thin film. For realization of organic flexible electronic devices based on plastic substrates, gas barrier coatings are required to prevent the permeation of water and oxygen because organic materials are highly susceptible to water and oxygen. In particular, high efficiency flexible AMOLEDs needs an extremely low water vapor transition rate (WVTR) of$1{\times}10^{-6}gm^{-2}day^{-1}$ . The key factor in high quality inorganic gas barrier formation for achieving the very low WVTR required (under${\sim}10^{-6}gm^{-2}day^{-1}$ ) is the suppression of nano-sized defect sites and gas diffusion pathways among the grain boundaries. For formation of high quality single inorganic gas barrier layer, we developed high density nano-structured Al2O3 single gas barrier layer usinga NBAS process. The NBAS process can continuously change crystalline structures from an amorphous phase to a nano- crystalline phase with various grain sizes in a single inorganic thin film. As a result, the water vapor transmission rates (WVTR) of the NBAS processed$Al_2O_3$ gas barrier film have improved order of magnitude compared with that of conventional$Al_2O_3$ layers made by the RF magnetron sputteringprocess under the same sputtering conditions; the WVTR of the NBAS processed$Al_2O_3$ gas barrier film was about$5{\times}10^{-6}g/m^2/day$ by just single layer. -
본 연구는 산업계 및 학계에서 많은 연구와 응용이 이루어지고 있는 스퍼터링 기술에 관한 것으로, 타겟의 사용효율 및 스퍼터링된 입자의 이온화, 에너지 증대의 관점에서 새로운 방식으로 접근한 스퍼터링 기술에 관한 것이다. 본 공정 연구는 기존의 마그네트론 스퍼터링과는 달리, 독립적인 플라즈마를 생성하고 이를 (-)전압이 인가된 스퍼터링 타겟으로 유도하여 2차 방전을 일으킴과 동시에 생성 입자의 이온화 및 에너지 가능하도록 한 것이다. 플라즈마 발생부에서는
$10^{13}cm^{-3}$ 이상의 고밀도 Ar 플라즈마를 생성하고, 이를 자장을 통하여 스퍼터링 타겟으로 균일하게 수송하며, 스퍼터링 전극에 인가된 (-)전압에 의하여 이온들이 스퍼터링을 발생시킨다. 스퍼터링 전류는 생성된 플라즈마 발생부의 방전전류에만 비례하며, 스퍼터링에 인가되는 전압과는 독립적으로 작용 가능하다. 그리고 기판의 박막 증착률은 스퍼터링 전류에 보다는, 스퍼터링 타겟에 인가한 전압에 따라 변화하며, 기판에 도달하는 이온의 전류 및 입자의 량은 플라즈마 발생부의 플라즈마 전류량과 인가 스퍼터링 전압에 관계하여 변한다. 이 방식으로 이용할 경우, 스퍼터링된 입자의 양과 이온화률을 독립적으로 제어할 수 있어, 기존의 마그네트론 스퍼터링 공정 대비하여 더 넓은 공정 윈도우를 확보하는 것이 가능하며, 또한 기존 마그네트론 스퍼터링에서 문제가 되고 있는 타겟 사용 효율을 높일 수 있는 가능성을 볼 수 있었다. -
본 논문에서는 전세계적으로 차세대 에너지절감 반도체로 각광을 받고 있는 GaN 소자의 연구개발 동향에 관하여 발표하고자 한다. GaN 반도체는 와이드 밴드갭(Eg=3.4eV)과 고온 안정성(
$700^{\circ}C$ )등 재료적인 특징으로 인하여 고출력 RF 전력증폭기와 고전력용 전력반도체 응용에 큰 장점을 가진다. 고출력용 GaN RF 전력증폭 소자의 전력밀도는 기존 Si-기반 LDMOS 트랜지스터보다 10배 이상 높아 제품의 소형화와 경량화를 통하여 30% 이상의 전력절감이 가능하며, 레이더, 위성등 송수신 트랜시버 모듈에 GaN 전력증폭기를 이용할 경우 기존 GaAs-기반 전력증폭기에 비하여 높은 전력밀도(>x8)와 높은 효율(>20%)로 인하여 모듈 크기를 50% 이상 줄임과 동시에 경량화를 이룰 수 있어 비행기, 위성등 탑재체의 에너지 절감에 크게 기여할 수 있다. 고전력용 GaN 전력 스위칭 소자는 기존 Si-기반 IGBT에 비하여 스위칭 손실과 온-저항 손실이 낮아 30% 이상의 에너지 절감이 가능하다. 뿐만 아니라, 일본 도요타 자동차사의 보고에 의하면 HEV등 전기자동차의 DC-DC 부스터 컨버터나 DC-AC 인버터에 GaN 전력반도체를 적용할 경우 경량화, 변환효율 향상, 전용 냉각시스템을 제거할 수 있어 연료소모를 10% 이상 줄일 수 있어 연간 400불 이상의 에너지 절감 효과를 가진다. 이러한 에너지절감 효과는 미국, 유럽, 일본등 선진국을 중심으로 차세대 GaN 반도체의 신시장 개척과 선진입을 위한 치열한 경쟁 구도의 구동력이 될 것이며, 본 논문을 통하여 GaN 반도체의 연구개발 방향과 상용화의 중요성을 함께 생각해보고자 한다. -
인체 내 소량의 생체성분을 감지하는 바이오센서 기술은 질병 진단뿐만 아니라 예방 및 관리로 의료서비스 확대 및 의료비 감소 효과를 가져올 수 있는 기술이다. 광 바이오센서는 광학적인 측정방법을 이용하여 다양한 생화학물질들의 상호 반응을 검출해 낼 수 있는 바이오센서로 현재 활발하게 연구가 진행되고 있다. 일반적으로 형광물질, 발색물질 등의 발광물질을 인식물질에 표지하여 인식물질과 분석물질과의 반응 유무를 표지된 발광물질의 광 신호를 감지하여 분석물질을 검출해내는 표지식 광 바이오센서 기술이 상용화되고 있다. 그러나 이러한 분석 방법은 민감도는 우수하지만 분석 시간이 매우 느리고, 고가의 분석 장비를 필요로 하는 단점들을 가지고 있다. 이러한 단점들을 극복하기 위하여 생화학 반응 유무를 표지물질 없이 광학적 방식으로 직접 측정해내는 비 표지식 광 바이오센서 기술이 최근 들어 많이 연구되고 있다. 본 논문에서는 비표지식이면서 분광기 없이 분석 가능한 공진 반사광 바이오센서 기술에 관한 내용을 소개하고자 한다. 공진 반사광 바이오센서는 광파장 이하의 주기를 가진 주기적 공진 격자 표면에서 일어나는 항원-항체 반응에 대한 공진 반사 파장을 측정하여 원하는 바이오물질을 고감도로 검출할 수 있는 바이오센서이다. 또한, 인체 내장을 위하여 플렉시블 기판 상에 GaN LED를 집적하여 전립선암 바이오 마커 검출에 대한 결과를 소개하고자 한다.
-
Kim, Jong-Su;Han, Im-Sik;Lee, Seung-Hyeon;Son, Chang-Won;Lee, Sang-Jo;Smith, Ryan P.;Ha, Jae-Du;Kim, Jin-Su;No, Sam-Gyu;Lee, Sang-Jun;Choe, Hyeon-Gwang;Im, Jae-Yeong 107
본 연구에서는 GaAs p-i-n 태양전지구조에 InAs 양자점을 삽입하여 계면의 전기장 변화를 Photoreflectance (PR) 방법으로 연구하였다. InAs/GaAs 양자점 태양전지구조는 n-GaAs 기판위에 p-i-n 구조의 태양전지를 분자선박막성장 장치를 이용하여 제작하였다. GaAs p-i-n 태양전지와 p-QD(i)-n 양자점 태양전지를 제작하여 계면전기장의 변화를 PR 신호에 나타난 Franz-Keldysh oscillation (FKO)으로부터 측정하였다. 기본적인 p-i-n 구조에서 두 가지 전기장성분을 검출 하였고 양자점 태양전지구조에서는 39 kV/cm 이상의 내부전기장이 존재함을 관측하였다. 이러한 내부전기장은 양자점 주변에 형성된 국소전기장의 효과로 추측하였다. 아울러 양자점을 AlGaAs 양자우물 구조에 삽입하여 케리어의 구속에 의한 FKO의 변화를 관측하였으며 양자점 태양전지의 구조적 변화에 따른 효율을 측정하여 비교 분석하였다. -
High-performance GaN-based light emitting diodes (LEDs) with high efficiency and excellent reliability have been of technological importance forapplications in full color display, automotive lighting, and solid state lighting. To realize high-performance and excellent-reliability LEDs, various technologies such as surface texturing, transparent conducting oxide, surface Plasmon, highly p-conduction layer, current blocking layer, photon-enhanced layer, and nanostructures have been extensively investigated. Among them, advanced core technologies based on how to suppress surface leakage and current crowding, how to enhance current injection efficiency and output power, and how to resist electrostatic damage will be displayed and discussed using our reported and preliminary results. New approaches like integrated LEDs will be also introduced and discussed.
-
지식경제부의 청정제조기반 산업원천개발사업의 일환으로 진행 중인 "초고진공펌프 개발" 과제 중 제 3 세부 과제인 "고진공펌프종합특성평가시스템 설계, 진단기술 개발" 과제에서 추진되고 있는 연구결과를 소개한다. 국내 초고진공펌프 개발 수준의 선진화를 위한 기본적인 초석 확립은 현존하는 모든 진공 발생 장치의 국제적 신뢰성이 있는 완벽한 성능평가의 구현에 있다고 할 수 있다. 고진공펌프개발 총괄 과제의 대명제는 "국제적 신뢰성을 가지는 상용화 제품의 완성"이며, 이를 위한 3세부과제의 추진 방향은 기 완료된 1단계 기술개발에 근거한 1세부과제 및 2세부과제와의 유기적인 infra를 통한 성공적인 지원체계 구축 및 상용화 제품 개발 단계의 모든 신뢰성 확보 전략을 수립, 수행하는 것을 골자로 하고 있다. 또한 2단계 사업 추진 동안 제품 개발 주체인 산업체에 모든 개발된 기술을 적용할 수 있는 기반 제공 및 상용화를 위한 성공적인 기술이전도 포함된다. 상용화 개발 완료 후인 Post Project 기간 동안에 발생할 수 있는 모든 지원 체계의 구축도 장기간에 걸친 연구 개발의 연장선상에서 추진되어야 될 것으로 예상된다. 상용화 단계의 내구성 및 신뢰성 확보를 위한 전제 조건은 대외적으로 공표할 수 있는 시험평가 데이터와 개발 주체에서 기밀 수준으로 유지해야만 하는 민감한 자료의 상시 생산 infra의 구축으로 볼 수 있다. 이러한 고진공펌프개발이라는 과제의 대명제를 완성하기 위하여 추진 연구개발 방향 등 향후 2년간의 최종 상용화에 필요한 국제 신뢰성, 공정대응성 확보 등 핵심사업 추진내용 및 infra 구축의 상세설계 로드맵 초안을 고찰하고자 한다. 본 연구는 지경부 산업원천기술개발사업 중 "초고진공펌프 개발" 사업의 제 3 세부과제인 "고진공펌프종합특성평가시스템 설계, 진단기술 개발" 과제(과제번호: 10031836)에서 수행된 연구결과의 일부임.
-
Park, Seong-Je;Go, Jun-Seok;Hong, Yong-Ju;Kim, Hyo-Bong;Go, Deuk-Yong;Gang, Min-Jeong;Yu, Jae-Gyeong 112
최근 선진국의 산업구조는 반도체, 디스플레이, IT 제품 등 고부가가치 산업으로 재편되고 있으며, 이에 따라 핵심 공정장비인 고진공펌프의 수요가 급격히 증대하고 있다. 고용량/대면적/초정밀 제품을 지향하는 개발추세에 따라 핵심 공정 장비로서 크라이오펌프의 활용도가 크게 증가하며 LCD 분야 등과 같이 수소분자와 물 분자 등의 배기가 중요한 응용분야에 크라이오펌프의 수요가 대부분을 차지하고 있다. 또한 도래하는 한미FTA 체결에 따라 반도체, IT, 디스플레이 제조 장비용 고진공펌프의 수입이 급증할 것으로 예상되어 국내 진공업체에서도 크라이오펌프의 개발이 진행되고 있다. 국내에서는 크라이오펌프 뿐만 아니라 핵심부품인 극저온 냉동기에 대한 기반 기술이 낙후되어 있는 실정이다. 본 연구에서는 지식경제부 지원 사업인 제조기반산업원천기술개발사업에 참여기관으로 수행하여 한국기계연구원과 급속 재생형 저진동 크라이오펌프의 기술개발을 통해 전량 수입하는 고진공 펌프인 크라이오펌프를 국산화를 도모 하고자 한다. 또한, 맥동관 냉동기는 기계적 구동부가 없어 구조가 간단하여 운전이나 보수가 용이하고, 장시간 운전에 신뢰성이 높으며 제조비용이 저렴하다. 이에 (유)우성진공에서는 연구 개발을 통해 상용화 단계에 있는 맥동관 냉동기 크라이오펌프를 소개하고자 한다. -
플라즈마 내에서 발생하는 입자는 플라즈마 내 전기적 및 화학적 특성으로 인해 응집이 적고 균일한 특성을 가진다. 이에 따라 도포성이 좋으며 낮은 응력을 가지는 박막의 형성이 가능하다. 이러한 특성을 가지는 나노입자는 메모리, 고효율 박막형 태양전지 등에 이용될 수 있다. 특히, PECVD (Plasma enhanced chemical vapor deposition) 공정 중 플라즈마가 켜져있는 동안 수소 가스를 펄스형태로 추가 주입하는 방법은 실리콘 이온 사이의 결합을 통한 표면 성장을 일부 방해하여 이를 통해 최종적으로 생성되는 실리콘 입자의 크기제어를 가능하게 한다. 이러한 과정으로 PECVD내에서 생성된 입자의 입경 분포는 기존의 경우 공정 중 포집을 한 후 전자현미경을 이용하였지만 실시간 측정이 불가능한 한계가 있었고, 레이저를 이용한 실시간 측정은 그 측정범위의 한계로 인해 적용에 어려움이 있었다. 이에 따라 본 연구에서는 저압에서 실시간으로 나노입자 크기분포 측정이 가능한 PBMS (particle beam mass spectrometer)를 이용하여 PECVD 내에서 수소가스 펄스를 이용하여 발생되는 실리콘 입자를 공정 변수별로 측정하여 각 변수에 따른 입자 생성 경향을 분석하였다. 실리콘 나노 입자의 측정은 PBMS 장비의 전단 부분을 PECVD 장치 내부에 연결하여 진행하였다. 수소 가스 펄스를 이용한 실리콘 입자 생성의 주요 변수는 RF pulse,
$H_2$ pulse, 가스 유량 (Ar,$SiH_4$ ,$H_2$ ), Plasma power, 공정 압력 등이 있다. 이와 같이 주어진 변수들의 제어를 통해 생성된 나노입자의 입경분포를 PBMS에서 실시간으로 측정하고, 동일한 조건에서 포집한 입자를 TEM 분석 결과와 비교하였다. 측정 결과 각각의 변수에 대하여 생성되는 입자의 크기분포 경향을 얻을 수 있었으며, 이는 추후 생성 입자의 응용 분야에 적합한 크기 분포 특성을 가지는 실리콘 입자를 제조하기 위한 조건을 정립하는데 중요한 역할을 할 것을 기대할 수 있다. -
Metal-oxide-semiconductor field-effect transistors (MOSFETs)의 critical dimension (CD)가 sub 45 nm로 줄어듬에 따라 기존에 gate dielectric으로 사용하고 있는 SiO2에서 발생되는 high gate leakage current 때문에 새로운 high dielectric constant (k) 물질들이 연구되기 시작하였다. 여러 가지 high-k 물질 중에서, aluminum-oxide (Al2O3)는 높은 dielectric constant (~10)와 전자 터널링 barrier height (~2eV) 등을 가지기 때문에 많은 연구가 되고 있다. 그러나 Al2O3를 anisotropic한 patterning을 하기 위해 주로 사용되고 있는 halogen-based 플라즈마 식각 과정에서 나타나는 Al2O3와 하부 layer간의 낮은 식각 selectivity 뿐만 아니라 표면에 발생되는 defect, stoichiometry modification, roughness 변화 등의 많은 문제점들로 인하여 device performance가 감소하기 때문에 이를 해결하기 위한 많은 연구들이 진행중이다. 따라서 본 연구에서는 실리콘 기판위의 atomic layer deposition (ALD)로 증착된 Al2O3를 BCl3/Ar 중성빔을 이용하여 원자층 식각한 후 식각 특성을 분석해 보았다. Al2O3 표면을 BCl3로 absorption시킨 후 Ar 중성빔으로 desorption 시키는 과정에서 volatile한 aluminum-chlorides와 boron oxychloride가 형성되어 layer by layer로 제거됨을 관찰 할 수 있었다.
-
반도체/디스플레이 산업분야의 발전으로 진공 공정 기술력 또한 증진되고 있다. 반도체소자의 초미세화, 진공부품의 대면적화가 진행 되면서 진공 공정 중에 발생하는 오염입자를 제어하는 것이 이슈가 되고 있다. 오염입자는 플라즈마의 물리적인 부식과 활성이 높은 화학반응에 의해 진공부품에서 부식이 진행되어 발생하며, 이는 반도체 및 디스플레이 부품의 신뢰성측면과 수율저하 등 life time의 근본적인 문제점으로 대두되고 있다. 본 연구에서는 반도체/디스플레이 장비용 코팅부품으로 많이 사용되고 있는 Al2O3 코팅막을 이용하였으며, sealing time에 따른 Anodic Aluminum Oxide (AAO) electrode의 물성특성평가 및 진공평가기술을 이용하여 life time을 예측하는 연구를 수행하였다.
-
위성의 발사, 천이궤도, 운영궤도 등에서 위성체에 주어지는 극한 온도와 진공상태에서 위성체와 열제어시스템이 요구 조건을 만족시키는가를 확인하기 위하여 열진공시험을 수행한다. 우주에서 일어나는 환경변화는 극도로 심해서 지상에서 이와 유사한 열적 환경을 모사하는 방법은 쉽지가 않고, 일반적으로 위성체에 대한 열진공/평형 시험을 위해서는 열유속 흡수법과 열유속 투사법의 두 가지 방법을 사용한다. 한국항공우주연구원에서는 종래 접촉식 히터를 위성체에 직접 부착하는 방법에서 탈피하여 새로이 IR Lamp를 이용한 열유속 흡수법을 이용하여 위성체에 계산된 열유속을 인가하는 방법으로 위성체 열진공/평형시험을 수행하였으며, IR Lamp는 요구되는 100W~400W 사이의 열량을 오차 범위 5% 이내로 인가하여 균일한 온도 분포를 유지하고 성공적인 시험을 수행하였다.
-
반도체 및 디스플레이 공정등에서 고진공 및 급 배기 환경을 제공하기 위하여 사용되는 터보 분자펌프(Turbomolecular Pump, TMP)는 다층의 회전깃을 갖는 로터를 회전시켜 분자를 배출시키는 방식을 사용하는 진공펌프이다. 또한 최근에는 디스플레이 및 반도체 공정에서 높은 진공도뿐만 아니라, 높은 배기속도를 요구하는 추세에 따라, 터보 펌프와 드래그 펌프부분을 동시에 가지고 있어 상대적으로 작동 진공도 영역이 넓은 복합 분자펌프(Compound Turbomolecular Pump, CMP)의 활용도가 넓어지고 있다. 이러한 분자펌프가 장시간의 고속회전에 적합하고, 베어링에서의 오염을 없앨 수 있는 비접촉 방식인 자기부상 방식이 주로 적용된다. 자기베어링 시스템은 하드웨어와 소프트웨어로 나누어질 수 있는데, 하드웨어는 회전하게 되는 블레이드로터 및 자기베어링 로터, 모터 로터 등이 포함된 축과 고정되어 있는 자기베어링 코어와 코일, 변위센서 등의 펌프 하우징 부분, 또한 이를 제어하기 위한 전력 증폭 시스템 등의 기전적인 요소들이 이루어져 있다. 소프트웨어라 할 수 있는 제어시스템에 있어서 자기베어링이 불안정한 특성을 갖는 개루프계를 갖고 있으므로 안정화를 위한 능동제어 시스템이 필수적이며 진동 제어 등의 기능을 갖도록 적용된다. 따라서 이러한 복합분자펌프의 성능은 이러한 시스템을 구성하는 개별 요소의 성능과 이를 통합한 제어시스템의 성능이 결정한다고 할 수 있다. 본 논문에서는 현재 개발중인 2,500 l/s급의 자기부상형 고진공 복합분자펌프의 시작품에 대하여 고속회전의 안정성에 대한 연구를 수행한 내용을 보고하고 있다. 디지털 제어시스템을 적용한 시작품의 최대 26,000 rpm 까지의 고속회전시의 회전 응답 및 진동 특성을 측정 분석하였으며, 로터의 고유진동수 및 진동 모우드를 분석하였다. 또한 연속 작동시의 발열특성과 각 부분의 온도와 회전 안정성과의 관계를 평가하였다.
-
Cryopump는 반도체 임플란타 공정, OLED분야, 신소재 개발, 표면분석 및 처리, 의료분야, 입자가속기, 핵융합 등 다양한 진공분야에 응용되는 고진공용 극저온펌프이다. 특히 향후로의 산업구조는 디스플레이, 반도체, IT 산업분야로 집중 재편될 것이기에, 이에 따른 핵심제조장비인 고진공 펌프의 수요가 급증할 것으로 판단된다. 그리고 이를 위한 핵심부품과 장비들의 국산화가 시급한 실정이다. 기술적인 측면에서 보자면 GVT는 미국의 Varian과 일본Ebara의 Cryopump 제조기술을 원천으로 한 회사로써 현재는 국내 유일의 G-M 냉동기와 Cryopump 제조기술을 보유한 업체이다. 그리고 최근 오랫동안 정체되었던 관련 기술을 발전시켜 최적화된 한국형 G-M 냉동기 및 이를 장착한 다양한 사이즈의 고성능 Cryopump를 출시하게 되었다. 가장 큰 수확은 Cryopump의 성능을 크게 향상시켰으며 무엇보다 고객맞춤형으로 디자인할 수 있을 정도의 기술력을 확보하게 되었다는 점이다. Cryopump의 성능은 장착되는 Cryocooler (G-M냉동기)의 성능과 밀접한 관련이 있기 때문에 일차로 Cryocooler의 성능을 개선하고 이차로 이를 장착한 Cryopump의 성능을 개선하였다. 본 연구는 일차로 진행된 HP Series 2단 Cryocooler 4가지 모델 중 가장 범용인 HPM 모델과 HPS모델에 대한 제작과 성능시험에 관한 것이다. 이는 각각 기존의 ICP Series 펌프에 장착되던 Expander 535 모델 및 Expander 855 모델에 대한 설계 최적화의 결과물로써 내용은 Cryocooler에 대한 'Typical Performance Test(1st STG와 2nd STG의 온도가 각각의 Stage에 인가되는 Heat Load에 의해 그물망 형태의 그래프가 되도록 수행하는 시험법)'의 절차를 따라 수행되었다. HPM Cryocooler의 성능은 2nd STG Temp. 20K와 1st STG Temp. 80K를 Heat Load기준으로 하였을 경우, 각각 8.2W, 55.0W의 성능을 나타내었고 HPS Cryocooler의 성능은 2nd STG Temp. 20K 와 1st STG Temp. 72K를 Heat Load기준으로 하였을 경우, 각각 14.0W, 90.0W의 성능을 나타내었다. 1st STG Temp.를 72K로 정한 이유는 Power Supply의 용량 한계로 인해 90W이상의 Heat Load를 인가할 수 없었기 때문이다. 만약 성능 그래프의 경향성을 고려하여 1st STG Temp. 80K로 가정한다면, 각각 약 13W, 100W 정도의 성능을 가질 것으로 추정된다. 단, 본 시험에 사용된 Compressor는 GVT의 HC80Plus 모델로 내부에는 Helium용 5HP급 Scroll Type의 Compressor가 장착되어 있으며, 봉입압력 250Psig에 저압 100Psig기준, 65scfm의 유량을 가지는 압축기이다. 압축기와 Cryocooler의 조합은 1:1이었고 시험방법은 Cryocooler에 대한 GVT 자체규정에 따라 진행되었으며 밤과 낮 및 공장전체의 부하변동에 따른 냉각수 온도변화에 따른 펌프의 성능변화는 고려되지 않았다.
-
Min, Gwan-Sik;Cha, Deok-Jun;Yun, Ju-Yeong;Sin, Yong-Hyeon;Gang, Du-Hong;Seong, Gi-Hun;Kim, Seong-Cheol;Yun, Sang-Hui;Kim, Jin-Tae 119
경질 Anodizing에 비해 플라즈마 전해산화(Plasma Electrolytic Oxidation, PEO)에 의해 생성된 알루미늄 피막의 내식성이 우수하다고 알려져 있다. PEO는 기존의 Anodizing 피막에 비해 내구성이 우수한 피막이기 때문에 일부 산업 분야에서 기존의 피막보다 PEO 피막을 선호하고 있다. 플라즈마 전해산화는 400V 이상 고전압하에서 Anode에 생성되는 스파크에 의한 산화반응을 이용하여 금속 표면에 산화 피막을 생성하는 공정이다. 전처리 과정을 거칠 필요가 없기 때문에 친환경적이며, 공정 과정도 복잡하지 않다. PEO의 여러 가지 특성(내전압, 플라즈마 부식성, 화학 부식성, 실시간 파티클)을 한국표준과학연구원이 보유한 장비들을 사용하여 분석하여, 기존의 Anodizing 피막과 비교 평가하였다. 이 실험 결과를 바탕으로 기존의 피막보다 우수한 특성을 가진 PEO 피막을 개발 진행 중에 있다. -
차세대 가속기용 언듈레이터 진공용기는 In-vacuum 또는 out-vacuum 형태로 제작되며 out-vacuum 언듈레이터 진공용기는 얇고 길이가 긴 모양을 가진다. 이 진공용기는 완전한 비자성체가 요구되므로 주로 알루미늄 합금재로 제작된다. 또한 언듈레이터의 자석 간극은 그 중심에서 자기장의 세기가 극대화 되도록 최대한 근접하게 제작하기 때문에 진공용기의 단면도 이에 따라 매우 작고 또 진공용기의 두께도 매우 얇아야 만 한다. 현재 설계하고 있는 PAL-xFEL x-선 언듈레이터 용 진공용기는 내부 단면의 최대 크기가 5.2 mm, 두께는
$0.5mm{\pm}0.05mm$ 이고 길이 6,000 mm에서 그 평탄도가 0.1 mm 이하가 되어야 한다. 이 같은 진공용기를 제작하기 위하여서는 초정밀 압출, 후 평탄화 공정, 내표면 경면 처리, 초정밀 기계가공, 진공용접이 핵심공정이다. 본 논문에서는 알루미늄 6063-T5을 재료로 이 같은 초정밀 진공용기를 제작하는 전체 공정에 대한 국외 기술 동향과 국내 적용 가능한 공정을 조사하여 보고하고자 한다. -
포항방사광가속기의 성능향상사업이 3년 간 진행되어 현재 본격적인 가동을 위한 시운전 중에 있다. 전자로부터 발생한 방사광이 저장링 진공챔버 내부 특히 광자막이에 맞으면 그 표면으로부터 다량의 기체가 방출되어 압력이 높아진다. 여기서는 시운전 초기 단계에서 저장링 진공챔버 내부의 진공도 변화 양상을 발표한다.
-
Graphene was epitaxially grown on a 6H-SiC(0001) substrate by thermal decomposition of SiC under ultrahigh vacuum conditions. Using scanning tunneling microscopy (STM), we monitored the evolution of the graphene growth as a function of the temperature. We found that the evaporation of Si occurred dominantly from the corner of the step rather than on the terrace. A carbon-rich
$(6{\sqrt{3}}{\times}6{\sqrt{3}})R30^{\circ}$ layer, monolayer graphene, and bilayer graphene were identified by measuring the roughness, step height, and atomic structures. Defect structures such as nanotubes and scattering defects on the monolayer graphene are also discussed. Furthermore, we confirmed that the Dirac points (ED) of the monolayer and bilayer graphene were clearly resolved by scanning tunneling spectroscopy (STS). -
Copper is considered to be the most promising substrate, especially Cu(111), for the growth of high quality monolayer graphene. Since interactions between graphene and Cu substrates will influence on the orientation, quality, and electrical properties of synthesized graphene, we experimentally determine a weak interfacial interaction between Cu(111) substrate and graphene using angle-resolved photoemission spectroscopy (ARPES). The measurement was conducted from the initial stage to the formation of a graphene monolayer. Graphene growth was initiated along the Cu(111) lattice, and two rotated graphene domains were grown, where no significant differences were observed in the band structure depending on different orientations. The interaction, including electron transfer from the Cu(111) to graphene, was limited between the Shockley state of the Cu(111) surface and the
${\pi}$ bands of graphene. These results provide direct information on the growth behavior and interactions between the Cu(111) and graphene. -
We carried out the high-resolution dielectric mapping of graphenes on
$SiO_2$ /Si substrate, using the scattering Apertureless Near-Field Scanning Optical Microscopy (s-ANSOM) in both visible (633 nm) and infrared (3.6 um) wavelengths. In the visible wavelength, the dielectric contrasts are almost proportional to the number of the graphene layers, which indicates that the near-field interaction between the tip and individual graphene layers leads to an image charge oscillation in two-dimension. In the infrared region, on the other hand, we observe unique layer-specific contrasts that do not linearly increase with number of layers. It is attributed to the layer-dependent band- structure of graphenes. -
We investigated the atomic and electronic properties of graphene grown by Pd silicidation and intercalation using LEED, STM, and ARPES. Pd was deposited on the 6H-SiC(0001) surface at RT. The formation of Pd silicide gives rise to breaking of Si-C bonds of the SiC crystal, which enables to release C atoms at low temperature. The C atoms are transformed into graphene from
$860^{\circ}C$ according to the LEED patterns as a function of annealing temperature. Even though the graphene spots were observed in the LEED pattern and the Fourier transformed STM images after annealing at$870^{\circ}C$ , the topography images showed various superstructures so that graphene is covered with Pd silicide residue. After annealing at$950^{\circ}C$ , monolayer graphene was revealed at the surface. The growth of graphene is not limited by surface obstacles such as steps and defects. In addition, we observed that six protrusions consisting of the honeycomb network of graphene has same intensity meaning non-broken AB-symmetry of graphene. The ARPES results in the vicinity of K point showed the non-doped linear${\pi}$ band structure indicating monolayer graphene decoupled from the SiC substrate electronically. Note that the charge neutrality of graphene grown by Pd silicidation and intercalation was sustained regardless of annealing temperature in contrast with quasi-free- standing graphene induced by H and Au intercalation. Further annealing above$1,000^{\circ}C$ accelerates sublimation of the Pd silicide layer underneath graphene. This results in appearance of the$(6r3x6r3)R30^{\circ}$ structure and dissolution of the${\pi}$ bands for quasi-free-standing graphene. -
Noh, Seung-Kyun;Chang, Min-Hui;Jeon, Jeong-Heum;Jang, Won-Jun;Yoon, Jong-Keon;Kahng, Se-Jong 129
Covalently bonded halogen ligands possess unusual charge distributions, attracting both electrophilic and nucleophilic molecular ligands to form halogen bonds. In many biochemical systems, halogen bonds coexist with hydrogen bonds, being complementary to them due to their similar bond strength and dissimilardirectionality. In this study, we directly visualize the individual molecular configuration of chlorinated 1,5-dichloroanthraquinone and brominated 1,5-dibromoanthraquinone molecules on Au(111) using scanning tunneling microscopy. The precise arrangements of observed molecular structures were explained in the context of halogen and hydrogen bonds. We discuss the distances and the strengths of the observed halogen and hydrogen bonds, which are consistent with previous bulk data. -
Axial bindings of diatomic molecules to metalloporphyrins involve in the dynamic processes of biological functions such as respiration, neurotransmission, and photosynthesis. The binding reactions are also useful in sensor applications and in control of molecular spins in metalloporphyrins for spintronic applications. Here, we present the binding structures of diatomic molecules to surface- supported Co-porphyrins studied using scanning tunneling microscopy. Upon gasexposure, three-lobed structures of Co-porphyrins transformed to bright ring shapes on Au(111), whereas H2-porphyrins of dark rings remained intact. The bright rings are explained by the structures of reaction complexes where a diatomic ligand, tilted away from the axis normal to the porphyrin plane, is under precession. Our results are consistent with previous bulk experiments using X-ray diffraction and nuclear magnetic resonance spectroscopy.
-
We present our recent temperature-programmed desorption (TPD) study on catalytic reductions of
$NO_x$ such as NO,$NO_2$ , and$N_2O$ over rutile$TiO_2$ (110) surfaces. Our results indicate that$NO_2$ /NO readily reacts to give NO/$N_2O$ desorption at the substrate temperature as low as 100 K/70 K. Interestingly,$N_2O$ , however, does not dissociate into$N_2$ and$O_{BBO}$ over the oxygen vacancy on the$TiO_2$ (110) surface. Successive reduction of NO and$NO_2$ into$N_2O$ and NO, respectively, leaves oxygen atoms on the$TiO_2$ (110) surface in a form of$O_{ad}$ , which can induce additional reductive channels of NO and$NO_2$ at higher temperatures up to 400 K. During the repeated TPD cycles of$NO_x$ , our x-ray photoelectron spectroscopy (XPS) analysis indicates that no N atom accumulates on the$TiO_2$ surface. -
Depositing
$CaF_2$ [0.6% lattice-mismatch] on the Si(001)-$4^{\circ}$ off surface [composed of a single (001) domain with regularly-arrayed double-layer DB steps and located between (1 1 19) and (1 1 21)] held at$700^{\circ}C$ ,$CaF_2$ molecules are preferentially adsorbed on the dimers and dissociated to Ca and F atoms. Dissociated Ca atoms form a silicide layer of a$2{\times}3$ structure on the (001) terrace, while F atoms are desorbed from the surface. Once the terrace is covered with a calcium silicide layer, CaF starts to be adsorbed selectively on the steps, as shown in Fig. (a). With$CaF_2$ deposition exceeding 1 ML, the (1 1 17) surface having 1-D$CaF_2$ nanodots are formed as shown in Fig. (b). By the present STM study, it has been clearly disclosed that the calcium silicide interfacial layer is preformed prior to adsorption of$CaF_2$ on vicinal Si(001) surface. -
일반적으로 TiO2는 광촉매 작용으로 표면 살균성을 가지며, 친수특성으로 인한 자가세정 능력도 가지고 있다. 또한 지구상에 많이 존재하는 광물로 원료의 가격이 저렴하다는 장점이 있어 산업 전반에 사용되고 있다. 하지만 외부의 환경적 오염으로 인한 광촉매 반응 면적의 감소에 따라 반응효율이 저하되는 단점이 있으며, SiO2는 투명한 유리와 같이 비정질상태가 안정하고 높은 굴절률을 가지며 내구성이 외부환경에 강해 무반사 코팅이나 금속박막의 보호층으로 주로 사용된다. WO3는 높은 굴절률과 가시광선 영역에서의 우수한 투과율을 가지고 있으나 conduction band에서 생성된 광캐리어들이 빠르게 재결합 하여 광분해 효율이 좋지 않기 때문에 흔히 쓰이지 않고 있다. 이러한 박막들의 단점을 보완하기 위해 물리적 구조를 변화시켜 반응 면적을 극대화하기 위해 버퍼층이나 다층박막을 사용하는 등 다양한 연구가 진행되고 있다. 본 실험에서는 Slide glass에 Plasma etching 하였을때 친수성이 나타나는 특성을 이용하여 대면적 코팅과 표면 경도를 우수하게 만들 수 있는 RF Magnetron sputtering법으로 Slide glass에 Ar Gas 분위기에서 각 파워별 Plasma etching한 후 TiO2, SiO2, WO3 박막을 증착하여 광학적, 구조적 특성을 분석하였다. 광투과율 측정장치(UV-VIS Spectrophotometer)를 사용하여 투과율을 측정한 결과 모든 박막이 가시광 영역에서 80% 이상의 높은 투과율을 나타내었으며, 접촉각 측정결과 100w로 etching한 glass에 TiO2를 증착한 박막에서 가장 낮은
$3^{\circ}$ 이하의 접촉각을 나타내었다. SEM (Scanning Electron Microscope) 분석을 통해 표면구조를 관찰한 결과 100w로 etching한 후 TiO2를 증착한 박막이 가장 조밀한 구조를 보였으며, AFM (Atomic Force MicroScope) 분석 결과 100w로 etching한 후 TiO2를 증착한 박막의 표면이 가장 거칠어지는 것을 볼 수 있었는데, 이는 물과 닿는 박막의 유효 표면적의 증가로 인하여 광촉매 효과가 증가하였기 때문에 친수성이 향상된 것으로 사료된다. 이러한 박막은 건물 유리벽과 자동차의 내 외장재 전자기기용 광학 필름에 자가세정, 내반사 코팅소재, 디스플레이 표시장치로 활용할 수 있을 것으로 예상된다. 본 연구는 중소기업청에서 지원하는 2011년도 산학연 공동기술개발 지원사업의 연구수행으로 인한 결과물임을 밝힙니다. -
We investigated the interface of poly (3-hexylthiophene) (P3HT) and C61-butyric acid methylester (PCBM) by using photoelectron spectroscopy (PES). These are the most widely used materials for bulk heterojunction (BHJ) organic solar cells due to their high efficiency. Study of the BHJ interfaces is difficult because the organic films are typically prepared by spin coating in ambient conditions. This is incompatible with the interface electronic structure probes such as PES, which requires ultrahigh vacuum conditions. Study of interface requires gradual deposition of thin films that is also incompatible with the spin coating process. In this work, we used electrospray vacuum deposition (EVD) technique to deposit P3HT and PCBM in high vacuum conditions. EVD allows us to form polymer thin films onto ITO substrate in a step-wise manner directly from solutions and to use PES without exposing the sample to the ambient condition. Although the morphology of the EVD deposited P3HT films observed by optical and atomic force microscopes is quite different from that of the spin coated ones, the valence region spectra were similar. PCBM was deposited on the P3HT film in a similar manner and the energy level alignment between these two materials was studied. We discuss the relation between Voc of P3HT:PCBM solar cell and HOMO-LUMO energy offset obtained in this study.
-
Kim, Ji-Hyun;Yang, Serlun;Kim, Jae-Sung;Lukashev, Pavel;Rojas, Geoffrey;Enders, Axel;Sessi, Violetta;Honolka, Jan 135
Carbon atoms near the surface of W(110) induce reconstructions such as$R(15{\times}12)$ -C/W(110) which consists of two characteristic parts, one square shaped and bright protrusion and two smaller ones. In the atomic resolution STM image, the bigger protrusion shows the periodicities of clean W(110), indicating that it is almost carbon poor region. The smaller protrusion contains hexagonal carbide surface layer of${\alpha}$ -W2C on W(110). Employing this carburized W(110) as templates, we grow Co and Fe clusters of less than ten atoms. Due to the selectivity of bonding sites, growth of larger cluster is highly unfavorable for Co and the size of clusters is very uniform. Since Co atoms prefer to sit on the bigger protrusion rather than smaller one, Co cluster can be arranged one-dimensionally in$R(15{\times}12)$ -C/W(110) with quite uniform size distribution. However, Fe clusters sit on both sites without favored site, but still with uniform size distribution. On the other hand, Fe clusters can be grown with quasi one-dimensional order in$R(15{\times}3)$ -C/W(110), which consists of only smaller protrusions. We investigate the magnetic properties of the ordered nano-sized clusters. Experiments using XMCD reveals little magnetic moment of Co cluster on$R(15{\times}12)$ -C/W(110). This observation is consistent with the predictions of our first principles calculations that small Co clusters can be nonmagnetic or antiferromagnetic with low mean magnetic moment per atom. -
Park, Won-Ung;Kim, Eun-Gyeom;Jeon, Jun-Hong;Choe, Jin-Yeong;Mun, Seon-U;Im, Sang-Ho;Han, Seung-Hui 137
인공관절은 노인성 질환이나 자가 면역질환, 신체적인 외상 등으로 인하여 발생하는 관절의 손상 부위를 대체하기 위하여 고안된 관절의 인공 대용물이다. 인공 관절 중 인공 고관절의 경우 라이너(Liner)와 헤드(Head) 부분이 직접적인 마모 운동을 수행하게 되므로, 이 부분의 소재 특성에 따라 인공관절의 수명이 결정 되게 된다. 현재 헤드 소재로서는 Co-Cr-Mo 합금이, 라이너 소재로서는 고분자 소재인 UHMWPE (Ultra High Molecular Weight Polyethylene)가 주로 사용되고 있다. 이러한 MOP (Metal-On-Polymer) 구조의 인공관절의 경우, 충격흡수의 장점이 있는 반면, 관절 운동시 발생하는 UHMWPE 의wear debris에 의해 골용해가 발생하게 되어 인공관절의 수명이 저하되는 문제점이 있으며, 금속 헤드의 마모로 인한 금속이온의 용출은 세포 독성의 문제를 야기하여 인공관절의 수명을 저하시키는 또 다른 원인이 되고 있다. 따라서 본 연구에서는 PIII&D (Plasma Immersion Ion Implantation & Deposition) 공정을 이용하여 금속 (Co-Cr-Mo 합금)소재 위에 세라믹 (niobium nitride) 박막을 증착하여 상대재인 UHMWPE의 마모를 줄이고자 하는 연구를 진행하였다. 금속 소재 위에 증착된 세라믹 박막은 상대재인 UHMWPE의 마모량을 줄여줄 뿐만 아니라 금속이온의 용출을 막아준다는 장점이 있으나, 장시간의 마모 운동에 의하여 발생하는 박막의 박리 현상은 인공관절의 수명을 급격히 저하시키는 또 다른 원인이 된다. 이러한 단점을 해결하기 위하여, 박막의 증착 초기에 이온주입과 증착을 동시에 수행하는 dynamic ion mixing공정을 수행하였다. Dynamic ion mixing 공정을 수행함에 따라 박막과 금속 사이의 접착력이 증가하게 되어, UHMWPE의 마모량이 2배 가까이 감소하는 것을 확인할 수 있었으며, 장시간의 마모시험에서도 우수한 결과를 얻을 수 있었다. 또한 UHMWPE의 마모량을 감소시키기 위하여 박막을 증착하기 전에 금속 소재에 질소 이온주입을 수행하는 pre-ion implantation 공정을 도입하였다. 질소 이온주입 결과 Co-Cr-Mo 합금 표면에 부분적으로 CrN, Cr2N의 세라믹 상이 형성 되는 것을 확인할 수 있었으며, 그에 따라 UHMWPE의 마모량이 2배 이상 감소 되는 것을 확인 할 수 있었다. -
X-선 반사율 측정법(XRR)은 비파괴적인 측정방법과 수 nm의 두께를 정밀하게 측정할 수 있는 장점으로 인하여 반도체 산업현장에서 많은 관심과 연구가 이루어지고 있다. 이러한 XRR은 두께 분석 측정의 정밀도를 향상시키고 부정확한 결과를 방지하기 위하여 측정기기를 검증하고 보정할 수 있는 두께 표준물질을 필요로 하고 있다. 본 연구에서는 XRR용 두께 표준물질을 이온빔 스퍼터링 증착방법을 이용하여 제작하였다. 두께 표준물질 제작에 있어 공기 중 노출에 의해 산화가 되지 않는 산화물 박막과 산화물 기판을 선택하였다. 후보물질은 glass, sapphire, quartz, SiO2기판과 HfO2, Ta2O5, Cr2O3 산화물 타켓을 이용하여 박막을 제작하였다. 제작된 후 보물질은 교정된 XRR을 통하여 박막의 두께, 계면 및 표면 거칠기, 밀도등 박막의 구조특성분석을 하였다. Glass, quartz의 경우 기판 표면 거칠기가 좋지 않아 제작된 샘플의 X-선 반사율 곡선이 급격히 떨어지면서 측정되는 각도의 영역이 작아졌다. Sapphire로 제작한 시편은 측정된 데이터와 simulation의 curve fitting이 양호하지 않았다. 이 중 SiO2기판을 사용하고 HfO2박막을 증착한 샘플이 다른 후보물질보다 XRR curve fitting 결과가 가장 양호하여 두께 표준물질로 응용하기에 적절하였다. 그리고 AFM (Atomic Force MicroScope)을 이용하여 기판의 거칠기 및 증착한 박막표면 거칠기 측정을 하였고, TEM (Transmission Electron Microscope)으로 두께 측정을 하여 XRR로 얻은 데이터와 비교하였다. 이러한 결과를 토대로 XRR용 두께 표준물질 제작할 수 있었고, 추후 불확도 평가 및 비교실험을 통하여 제작된 XRR용 두께 표준물질을 이용할 수 있을 것으로 기대된다.
-
최근 반도체 산업의 발전과 동시에 소자의 집적화에 따른 단채널 효과가 문제되고 있다. 채널 영역에 대한 게이트 영역의 제어능력이 떨어지면서 누설전류의 증가, 문턱전압의 변화가 발생하며, 이를 개선하기 위해 이중게이트 혹은 다중게이트 구조의 트랜지스터가 제안되었다. 하지만 채널길이가 수십나노미터 영역으로 줄어듦에 따라 소스/드레인과 채널간의 접합형성이 어렵고, 고온에서 열처리 과정을 거칠 경우 채널의 유효길이를 제어하기 힘들어진다. 최근에 제안된 Junctionless 트랜지스터의 경우, 소스/드레인과 채널간의 접합이 없기 때문에 접합형성 시 발생하는 공정상의 문제뿐만 아니라 누설전류영역을 개선하며, 기존의 CMOS 공정과 호환되는 이점이 있다. 한편, 집적화되는 반도체 기술에 따라, 동작 시 발생하는 스트레스가 소자의 신뢰성에 중요한 요인으로 작용하게 되며, 현재 Junctionless 트랜지스터의 신뢰성 특성에 관한 연구가 부족한 상황이다. 따라서, 본 연구에서는 Junctionless 트랜지스터의 NBTI 특성과 hot carrier effect에 의한 신뢰성 특성을 분석하였다. Junctionless 트랜지스터의 경우, 축적모드로 동작하기 때문에 스트레스에 의해 유기되는 캐리어의 에너지가 낮다. 그 결과, 반전모드로 동작하는 Junction type의 트랜지스터에 비해 스트레스에 의한 subthreshold swing 기울기의 열화와 문턱전압의 이동이 감소하였다. 또한 소스/드레인과 채널간의 접합이 없기 때문에 hot carrier effect에 의한 게이트 절연막 및 계면에서의 열화가 개선되었다.
-
일반적인 라만 분광 시스템은 회절 한계로 인해 공간 분해능이 떨어지며, 시료의 양이 적을 경우에 굉장히 미약하게 발생하는 라만 신호의 검출 감도가 떨어지는 단점이 있다. 본 연구에서는 이러한 문제점을 개선하기 위하여 tip-enhanced Raman spectroscopy (TERS)를 구축하였다. 구축한 TERS 시스템은 뾰족한 금속 탐침을 시료 위에 근접시켜 금속 탐침 내에 존재하는 전자와 여기 광 간의 플라즈몬 공명 현상에 의한 광 안테나 효과를 유도하여 라만 분광 시스템의 공간 분해능을 수십 나노미터 정도로 향상시켰으며, 기존의 라만 분광 시스템에서는 검출되지 않는 미약한 라만 신호를 검출할 수 있었다. 구축한 TERS 시스템을 이용하여 탄소나노튜브를 비롯한 다양한 반도체 시료의 라만 스펙트럼 및 라만이미지를 측정하였고, 금속 탐침이 시료위에 근접되지 않은 경우의 측정 결과와 라만 신호의 세기 및 라만 이미지의 공간 분해능을 비교하여 제안하는 TERS 시스템의 효용성을 검증하였다.
-
Jeon, Jun-Hong;Kim, Eun-Kyeom;Choi, Jin-Young;Park, Won-Woong;Moon, Sun-Woo;Lim, Sang-Ho;Han, Seung-Hee 144
최근 폴리머를 기판으로 하는 고속 Flexible TFT (Thin film transistor)나 고효율의 박막 태양전지(Thin film solar cell)를 실현시키기 위해 낮은 비저항(resistivity)을 가지며, 높은 홀 속도(carrier hall mobility)와 긴 이동거리를 가지는 다결정 반도체 박막(poly-crystalline semiconductor thin film)을 만들고자 하고 있다. 지금까지 다결정 박막 반도체를 만들기 위해서는 비교적 높은 온도에서 장시간의 열처리가 필요했으며, 이는 폴리머 기판의 문제점을 야기시킬 뿐 아니라 공정시간이 길다는 단점이 있었다. 이에 반도체 박막의 재결정화 온도를 낮추어 주는 metal (Al, Ni, Co, Cu, Ag, Pd, etc.)을 이용하여 결정화시키는 방법(MIC)이 많이 연구되어지고 있지만, 이 또한 재결정화가 이루어진 반도체 박막 안에 잔류 금속(residual metal)이 존재하게 되어 비저항을 높이고, 홀 속도와 이동거리를 감소시키는 단점이 있다. 이에 본 실험은, 종래의 MIC 결정화 방법에서 이용되어진 금속 증착막을 이용하는 대신, HIPIMS (High power impulse magnetron sputtering)와 PIII&D (Plasma immersion ion implantation and deposition) 공정을 복합시킨 방법으로 적은 양의 알루미늄을 이온주입함으로써 재결정화 온도를 낮추었을 뿐 아니라, 잔류하는 금속의 양도 매우 적은 다결정 반도체 박막을 만들 수 있었다. 분석 장비로는 박막의 결정화도를 측정하기 위해 GIXRD (Glazing incident x-ray diffraction analysis)와 Raman 분광분석법을 사용하였고, 잔류하는 금속의 양과 화학적 결합 상태를 알아보기 위해 XPS (X-ray photoelectron spectroscopy)를 통한 분석을 하였다. 또한, 표면 상태와 막의 성장 상태를 확인하기 위하여 HRTEM(High resolution transmission electron microscopy)를 통하여 관찰하였다. -
반도체 집적회로의 집적도가 증가함에 따라 RC delay가 증가하며, 금속 배선의 spiking, electromigration 등의 문제로 인해 기존의 알루미늄 금속을 대체하기 위하여 구리를 배선재료로 사용하게 되었다. 하지만 구리는 실리콘 및 산화물 내에서 매우 빠른 확산도를 가지고 있으므로, 구리의 확산을 막아 줄 확산방지막이 필요로 하다. 이러한 확산방지막의 증착은, 소자의 크기가 작아짐에 따라 via/contact과 같은 고단차 구조에도 적용이 가능하도록 기존의 sputtering 증착 방법에서 이를 개선한 collimated sputter, long-throw sputter, ion-metal plasma 등의 방법으로 물리적인 증착법이 지속되어 왔지만, 근본적인 증착방법을 바꾸지 않는 한 한계에 도달하게 될 것이다. 원자층 증착법(ALD)은 CVD 증착법의 하나로, 소스와 반응물질을 주입하는 시간을 분리함으로써 증착하고자 하는 표면에서의 반응을 유도하여 원자층 단위로 원하는 박막을 얻을 수 있는 증착방법이다. 이를 이용하여 물리적 증기 증착법(PVD)보다 우수한 단차피복성과 함께 정교하게 증착두께를 컨트롤을 할 수 있다. 본 연구에서는 이러한 원자층 증착법을 이용하여 구리 배선을 위한 확산방지막으로 텅스텐질화막을 형성하였다. 텅스텐 질화막을 형성하기 위하여 금속-유기물 전구체와 함께 할라이드 계열인 WF6를 텅스텐 소스로 이용하였으며, 이에 대한 원자층 증착방법으로 이루어진 박막의 물성을 비교 평가하여 분석하였다.
-
InP 기판위에 자발성장법으로 성장된 InAs 양자점은
$1.55{\mu}m$ 영역에서 발진하는 양자점 반도체 레이저 다이오드 및 광 증폭기를 제작할 수 있기 때문에 많은 관심을 받고 있다. 광통신 대역의$1.55{\mu}m$ 반도체 레이저 다이오드 및 광 증폭기 분야에서 InAs/InP 양자점이 많은 관심을 받고 있으나, InAs/GaAs 양자점에 비해 제작이 어려운 단점을 가지고 있다. InAs/InP 양자점은 InAs/GaAs 양자점에 비해 격자 불일치가 작아 양자점의 크기가 크고 특히 As 계 박막과 P 계박막의 계면에서 V 족 원소 교환 반응으로 계면 특성 저하가 발생하여 성장이 까다롭다. As 과 P 간의 교환반응은 성장온도와 V/III 에 의해 크게 영향을 받는 것으로 보고되었다. 그러나, P계 InGaAsP 박막 위에 InAs 성장 시 발생하는 As/P 교환반응에 대한 연구는 매우 적다. 본 연구에서는 InGaAsP 박막 위에 InAs 양자점 성장 시 GI (growth interruption)에 의한 As/P 교환반응이 InAs 양자점의 형상 및 광학적 특성에 미치는 영향을 연구하였다. 시료는 수직형 저압 Metal Organic Chemical Vapor Deposition (MOCVD)를 이용하여$520^{\circ}C$ 의 온도에서 성장하였다. 그림1(a) 구조의 양자점은 InP (100) 기판위에 InP buffer layer를 성장한 후 InP와 격자상수가 일치하는$1.1{\mu}m$ 파장의 InGaAsP barrier를 50 nm 성장하였다. 그 후 As 분위기 하에서 다양한 GI 시간을 주었고 그 위에 InAs 양자점을 성장하였다. 양자점 성장 후 InGaAsP barrier를 50 nm, InP capping layer를 50 nm 성장하였다. AFM측정을 위해 InP capping layer 위에 동일한 GI 조건의 InAs/InGaAsP 양자점을 성장하였고 양자점 성장 후 As분위기 하에 온도를 내려주었다. 그림1(b) 구조의 양자점은 그림1(a) 와 모든 조건은 동일하나 InAs 양자점과 InGaAsP barrier 사이에 GaAs 2ML를 삽입한 구조이다. 양자점 형상 특성 평가는 Atomic force microscopy를 이용하였으며, 광특성 분석은 Photoluminescence를 이용하였다. -
This paper reports doping of carbon atoms in GaN layer, which based on dimethylhydrazine (DMHy) and growth temperature. It is well known that dislocations can act as non-radiative recombination center in light emitting diode (LED). Recently, many researchers have tried to reduce the dislocation density by using various techniques such as lateral epitaxial overgrowth (LEO) [1] and patterned sapphire substrate (PSS) [2], and etc. However, LEO and PSS techniques require additional complicated steps to make masks or patterns on the substrate. Some reports also showed insertion of carbon doped layer may have good effect on crystal quality of GaN layer [3]. Here we report the growth of GaN epitaxial layer by inserting carbon doped GaN layer into GaN epitaxial layer. GaN:C layer growth was performed in metal-organic chemical vapor deposition (MOCVD) reactor, and DMHy was used as a carbon doping source. We elucidated the role of DMHy in various GaN:C growth temperature. When growth temperature of GaN decreases, the concentration of carbon increases. Hence, we also checked the carbon concentration with DMHy depending on growth temperature. Carbon concentration of conventional GaN is
$1.15{\times}1016$ . Carbon concentration can be achieved up to$4.68{\times}1,018$ . GaN epilayer quality measured by XRD rocking curve get better with GaN:C layer insertion. FWHM of (002) was decreased from 245 arcsec to 234 arcsec and FWHM of (102) decreased from 338 arcsec to 302 arcsec. By comparing the quality of GaN:C layer inserted GaN with conventional GaN, we confirmed that GaN:C interlayer can block dislocations. -
진공증착을 이용하여 제조된 알루미늄 박막은 증착 조건에 따라 그 특성이 현저히 달라지는 것으로 알려져 있다. 특히, 진공도와 증착율에 따라 비저항과 반사율, 표면 색상 등이 크게 달라지며 이에 따라 적절한 증발원 및 증착 방법의 선택이 박막의 특성을 좌우하게 된다. 알루미늄은 융점이 낮은 반면 증기화되는 온도가 높을 뿐만 아니라 고온에서는 대부분의 내화물 금속과 반응하기 때문에 저항가열 증발원을 이용하여 증발시키기가 매우 까다로운 물질중의 하나이다. 또한 전자빔으로 증발시킬 경우에는 열전도도가 커서 수냉 도가니를 통해 열이 빠져나가기 때문에 효과적인 증발을 위해서는 고전력을 투입해야 하는 어려움이 있다. 한편, 스퍼터링 증발원을 이용하여 알루미늄을 증착하면 낮은 증착율로 인해 반사율과 같은 제반 특성이 현저히 떨어지는 단점이 있다. 본 논문에서는 알루미늄 박막의 제조를 위한 최적의 증발원과 증착 방법을 소개하고 증착 조건과 박막 특성의 상관성 자료를 소개하였다. 이를 위해 각종 저항가열 및 전자빔 증발원 그리고 스퍼터링을 이용한 증발 실험 결과를 소개하고 증발원에 따른 알루미늄 박막의 특성 변화 그리고 제반 증착 조건이 박막의 특성에 미치는 영향을 소개하였다.
-
빗각 증착법(oblique angle deposition; OAD)을 이용하여 코팅된 알루미늄과 질화 티타늄 박막의 특성을 분석하였다. OAD는 기판과 증발원이 수평하게 위치하는 일반적인 코팅방법과 다르게 기판이 증발원과 수평하게 놓이지 않고 일정한 각으로 기울여 코팅하는 방법을 의미한다. 코팅 시 기판이 증발원과 수평하지 않으면 입사되는 증기가 일정한 각도를 유지하기 때문에 코팅되는 박막의 구조가 달라지고 이로 인해서 물리적 특성도 변하게 된다. 본 연구에서는 음극아크와 스퍼터링을 이용하여 각각 질화 티타늄과 알루미늄을 빗각으로 코팅하여 박막의 미세구조와 물성 변화를 관찰하였다. 스퍼터링을 이용하여 빗각 코팅된 알루미늄의 경우, 박막의 구조가 치밀해지고 표면 조도가 낮아지는 현상이 관찰되었다. 알루미늄 박막의 치밀도와 표면조도 향상은 가시광선 영역의 반사율을 높이는 효과가 있었다. 강판에 알루미늄을 코팅하여 염수분무를 실시한 결과, 치밀한 조직으로 인해서 내식성이 향상되는 결과를 보였다. 음극 아크를 이용하여 빗각 코팅된 질화 티타늄 박막에서 기판과 수직하지 않고 일정한 각도로 기울어진 주상 조직이 관찰되었다. 기판에 수직하게 성장된 질화 티타늄 박막과 비교하여 기울어진 주상 구조의 박막은 경도가 높아지는 특성 변화가 관찰되었다. 빗각 증착법을 이용하여 박막의 조직, 물성 등을 제어할 수 있었다. 박막에 다양한 기능을 부여하는 코팅방법으로 빗각 증착법이 활용될 수 있을 것으로 판단된다.
-
Ion sensitive field effect transistor (ISFET)는 전해질 속 각종 이온농도를 측정하는 반도체 이온 센서이다. 이 소자의 기본 구조는 metal oxide semiconductor field effect transistor (MOSFET)에서 고안되었으며 게이트 컨택 부분이 기준전극과 전해질로 대체되어진 구조를 가지고 있다 [1]. ISFET는 기존의 반도체 CMOS 공정과 호환이 가능하고 제작이 용이할 뿐만 아니라, pH용액에 대한 빠른 반응 속도, 비표지 방식의 생체물질 감지능력, 낮은 단가 및 소자의 집적이 용이하다는 장점을 가지고 있다. ISFET pH센서의 감지특성에 결정하는 요소 중 가장 중요한 것은 소자의 감지막이라고 할 수 있다. 감지막은 감지 대상 물질과 물리적으로 직접 접촉되는 부분으로서 일반적으로 기계적/화학적 강도가 우수한 실리콘 산화막(SiO2)이 많이 사용되어져 왔다. 최근에는 기존의 SiO2 보다 성능이 향상된 감지막을 개발하기 위하여 Al2O3, HfO2, ZrO2, 그리고 Ta2O5와 같은 고유전 상수(high-k)를 가지는 물질들을 EIS 센서의 감지막으로 이용하는 연구가 활발하게 진행되고 있다. 하지만 지속적인 high-k 물질들에 대한 연구에도 불구하고 각각의 물질이 갖는 한계점이 드러났다. 본 연구에서는 SOI기판에서 SiO2 /HfO2 (OH), SiO2/Al2O3 (OA) 이단 적층 그리고 SiO2/HfO2/Al2O3 (OHA) 삼단적층 감지막을 갖는 ISFET을 제작하고 각 감지막의 특성을 평가하였다. 평가된 특성의 결과가 아래의 표1에 요약되었다. 그 결과, 각 high-k 물질이 갖는 한계점을 극복하기 위하여 제안된 OHA감지막은 기존에 OH, OA가 갖는 장점을 취하면서 단점을 최소화 시키는 최적화된 감지막의 감지특성을 보였다.
-
Flexible complementary inverters based on thin-film transistors (TFTs) are important because they have low power consumption and high voltage gain compared to single type circuits. We have manufactured flexible complementary inverters using pentacene and amorphous indium gallium zinc oxide (IGZO) for the p-channel and n-channel, respectively. The circuits were fabricated on polyimide (PI) substrate. Firstly, a thin poly-4-vinyl phenol (PVP) layer was spin coated on PI substrate to make a smooth surface with rms surface roughness of 0.3 nm, which was required to grow high quality IGZO layers. Then, Ni gate electrode was deposited on the PVP layer by e-beam evaporator. 400-nm-thick PVP and 20-nm-thick ALD Al2O3 dielectric was deposited in sequence as a double gate dielectric layer for high flexibility and low leakage current. Then, IGZO and pentacene semiconductor layers were deposited by rf sputter and thermal evaporator, respectively, using shadow masks. Finally, Al and Au source/drain electrodes of 70 nm were respectively deposited on each semiconductor layer using shadow masks by thermal evaporator. The characteristics of TFTs and inverters were evaluated at different bending radii. The applied strain led to change in voltage transfer characteristics of complementary inverters as well as source-drain saturation current, field effect mobility and threshold voltage of TFTs. The switching threshold voltage of fabricated inverters was decreased with increasing bending radius, which is related to change in parameters of TFTs. Throughout the bending experiments, relationship between circuit performance and TFT characteristics under mechanical deformation could be elucidated.
-
기존의 플로팅 타입의 비휘발성 메모리 소자는 스케일 법칙에 따른 인접 셀 간의 간섭현상과 높은 동작 전압에 의한 누설전류가 증가하는 문제가 발생을 하게 된다. 이를 해결하고자 SONOS (Si/SiO2/Si3N4/SiO2/Si) 구조를 가지는 전하트랩 타입의 비휘발성 메모리 소자가 제안되었다. 하지만 터널링 베리어의 두께에 따라서 쓰기/지우기 특성은 향상이 되지만 전하 보존특성은 열화가 되는 trad-off 특성을 가지며, 또한 쓰기/지우기 반복 특성에 따라 누설전류가 증가하게 되는 현상을 보인다. 이러한 특성을 향상 시키고자 많은 연구가 진행이 되고 있으며, 특히 엔지니어드 터널베리어에 대한 연구가 주목을 받고 있다. 비휘발성 메모리에 대한 엔지니어드 기술은 각 베리어; 터널, 트랩 그리고 블로킹 층에 대해서 단일 층이 아닌 다층의 베리어를 적층을 하여 유전율, 밴드갭 그리고 두께를 고려하여 말 그대로 엔지니어링 하는 것을 뜻한다. 그 결과 보다 효과적으로 기판으로부터 전자와 홀이 트랩 층으로 주입이 되고, 동시에 다층을 적층하므로 물리적인 두께를 두껍게 형성할 수가 있고 그 결과 전하 보전 특성 또한 우수하게 된다. 본 연구는 터널링 베리어에 대한 엔지니어드 기술로써, Si3N4를 기반으로 하고 높은 유전율과 낮은 뉴설전류 특성을 보이는 ZrO2을 두 번째 층으로 하는 엔지니어드 터널베리어 메모리 소자를 제작 하여 메모리 특성을 확인 하였으며, 또한 Si3N4/ZrO2의 터널베리어의 터널링 특성과 전하 트랩특성을 온도에 따라서 특성 분석을 하였다.
-
단일 셀에서 비휘발성 및 고속의 휘발성 메모리를 모두 구동할 수 있는 다기능 메모리는 모바일 기기 및 embedded 장치의 폭발적인 성장에 있어 그 중요성이 커지고 있다. 따라서 최근 이러한 fusion기술을 응용한 unified RAM (URAM)과 같은 다기능 메모리의 연구가 주목 받고 있다. 이러한 다목적 메모리는 주로 silicon on insulator (SOI)기반의 1T-DRAM과 SONOS기술 기반의 비휘발성 메모리의 조합으로 이루어진다. 하지만 이런 다기능 메모리는 주로 단결정기반의 SOI wafer 위에서 구현되기 때문에 값이 비싸고 사용범위도 제한되어 있다. 따라서 이러한 다기능메모리를 다결정 실리콘을 이용하여 제작한다면 기판에 자유롭게 메모리 적용이 가능하고 추후 3차원 적층형 소자의 구현도 가능하기 때문에 다결정실리콘 기반의 메모리 구현은 필수적이라고 할 수 있겠다. 본 연구에서는 다결정실리콘을 이용한 channel recessed구조의 다기능메모리를 제작하였으며 각 1T-DRAM 및 NVM동작에 따른 memory 특성을 살펴보았다. 실험에 사용된 기판은 상부 비정질실리콘 100 nm, 매몰산화층 200 nm의 SOI구조의 기판을 이용하였으며 고상결정화 방법을 이용하여
$600^{\circ}C$ 24시간 열처리를 통해 결정화 시켰다. N+ poly Si을 이용하여 source/drain을 제작하였으며 RIE시스템을 이용하여 recessed channel을 형성하였다. 상부 ONO게이트 절연막은 rf sputter를 이용하여 각각 5/10/5 nm 증착하였다.$950^{\circ}C$ N2/O2 분위기에서 30초간 급속열처리를 진행하여 source/drain을 활성화 하였다. 계면상태 개선을 위해$450^{\circ}C$ 2% H2/N2 분위기에서 30분간 열처리를 진행하였다. 제작된 Poly Si MFM에서 2.3V, 350mV/dec의 문턱전압과 subthreshold swing을 확인할 수 있었다. Nonvolatile memory mode는 FN tunneling, high-speed 1T-DRAM mode에서는 impact ionization을 이용하여 쓰기/소거 작업을 실시하였다. NVM 모드의 경우 약 2V의 memory window를 확보할 수 있었으며$85^{\circ}C$ 에서의 retention 측정시에도 10년 후 약 0.9V의 memory window를 확보할 수 있었다. 1T-DRAM 모드의 경우에는 약$30{\mu}s$ 의 retention과$5{\mu}A$ 의 sensing margin을 확보할 수 있었다. 차후 engineered tunnel barrier기술이나 엑시머레이저를 이용한 결정화 방법을 적용한다면 device의 특성향상을 기대할 수 있을 것이다. 본 논문에서는 다결정실리콘을 이용한 다기능메모리를 제작 및 메모리 특성을 평가하였다. 제작된 소자의 단일 셀 내에서 NVM동작과 1T-DRAM동작이 모두 가능한 것을 확인할 수 있었다. 다결정실리콘의 특성상 단결정 SOI기반의 다기능 메모리에 비해 낮은 특성을 보여주었으나 이는 결정화방법, high-k절연막 적용 및 engineered tunnel barrier를 적용함으로써 해결 가능하다고 생각된다. 또한 sputter를 이용하여 저온증착된 O/N/O layer에서의 P/E특성을 확인함으로써 glass위에서의 MFM구현의 가능성도 확인할 수 있었으며, 차후 system on panel (SOP)적용도 가능할 것이라고 생각된다. -
A new kind of organic-inorganic hybrid polymer, poly(tetraphenyl)silole siloxane (PSS), was invented and synthesized for realization of its unique charge trap properties. The organic portions consisting of (tetraphenyl)silole rings are responsible for electron trapping owing to their low-lying LUMO, while the Si-O-Si inorganic linkages of high HOMO-LUMO gap provide the intrachain energy barrier for controlling electron transport. Such an alternation of the organic and inorganic moieties in a polymer may give an interesting quantum well electronic structure in a molecule. The PSS thin film was fabricated by spin-coating of the PSS solution in THF organic solvent onto Si-wafer substrates and curing. The electron trapping of the PSS thin films was confirmed by the capacitance-voltage (C-V) measurements performed within the metal-insulator-semiconductor (MIS) device structure. And the quantum well electronic structure of the PSS thin film, which was thought to be the origin of the electron trapping, was investigated by a combination of theoretical and experimental methods: density functional theory (DFT) calculations in Gaussian03 package and spectroscopic techniques such as near edge X-ray absorption fine structure spectroscopy (NEXAFS) and photoemission spectroscopy (PES). The electron trapping properties of the PSS thin film of quantum well structure are closely related to intra- and inter-polymer chain electron transports. Among them, the intra-chain electron transport was theoretically studied using the Atomistix Toolkit (ATK) software based on the non-equilibrium Green's function (NEGF) method in conjunction with the DFT.
-
지난 30년 동안 플래시 메모리의 주류 역할을 하였던 부유 게이트 플래시 메모리는 40 nm 기술 노드 이하에서 셀간 간섭, 터널 산화막의 누설전류 등에 의한 오동작으로 기술적 한계를 맞게 되었다. 또한 기존의 비휘발성 메모리는 동작 시 높은 전압을 요구하므로 전력소비 측면에서도 취약한 단점이 있다. 그러나 이러한 문제점들을 기존의 Si기반의 소자기술이 아닌 새로운 재료나 공정을 통해서 해결하려는 연구가 최근 활발하게 진행되고 있다. 특히, 플래시 메모리의 중요한 구성요소의 하나인 터널 산화막은 메모리 소자의 크기가 줄어듦에 따라서 SiO2단층 구조로서는 7 nm 이하에서 stress induced leakage current (SILC), 직접 터널링 전류의 증가와 같은 많은 문제점들이 발생한다. 한편, 기존의 부유 게이트 타입의 메모리를 대신할 것으로 기대되는 전하 포획형 메모리는 쓰기/지우기 속도를 향상시킬 수 있으며 소자의 축소화에도 셀간 간섭이 일어나지 않으므로 부유 게이트 플래시 메모리를 대체할 수 있는 기술로 주목받고 있다. 특히, TBM (tunnel barrier engineered memory) 소자는 유전율이 큰 절연막을 적층하여 전계에 대한 터널 산화막의 민감도를 증가시키고, 적층된 물리적 두께의 증가에 의해 메모리의 데이터 유지 특성을 크게 개선시킬 수 있는 기술로 관심이 증가하고 있다. 본 연구에서는 Si3N4/Ta2O5를 적층시킨 staggered구조의 tunnel barrier를 제안하였고, Si기판 위에 tunnel layer로 Si3N4를 Low Pressure Chemical Vapor Deposition (LPCVD) 방법과 Ta2O5를 RF Sputtering 방법으로 각각 3/3 nm 증착한 후 e-beam evaporation을 이용하여 게이트 전극으로 Al을 150 nm 증착하여 MIS- capacitor구조의 메모리 소자를 제작하여 동작 특성을 평가하였다. 또한, Si3N4/Ta2O5 staggered tunnel barrier 형성 후의 후속 열처리에 따른 전기적 특성의 개선효과를 확인하였다.
-
Gong, Su-Jin;Park, Sang-Won;Kim, Jong-Hun;Go, Jung-Gyu;Park, Yun-Baek;Kim, Ho-Jeong;Kim, Chang-Yeol 161
질화티타늄(Titanium Nitride, TiN)은 화학적 안정성이 우수하고, N/Ti 원소 비율에 따라 열전도성 및 전기전도성이 변화하는 특성을 가지고 있어서 Metal Insulator Silicon (MIS) 나 Metal Insulator Metal (MIM) capacitor의 metal electrode 물질로 적용되고 있다.$TiCl_4$ 와$NH_3$ gas를 이용하여$500^{\circ}C$ 이상의 고온 조건에서 Chemical Vapor Deposition (CVD) 법으로 TiN 박막을 증착하는 방식이 가장 널리 사용되고 있으나, TiN 박막 내의 Chlorine (Cl) 원소가 SiO2 두께와 누설전류 밀도를 증가시키는 요인으로 작용하므로 Cl의 거동 및 함량 제어를 통한 전기적인 특성의 향상 평가가 요구되고 있다[1-3]. 본 실험에서는$SiO_2$ 위에 TiN을 적층 한 구조에서 magnetic sector type의 Secondary Ion Mass Spectrometry (SIMS)를 이용하여 Cl 원소의 검출도 개선 방법을 연구하였다. 일반적인$Cs^+$ 이온을 이용하여$Cl^-$ 이온을 검출할 경우에는 TiN 하부에$SiO_2$ 가 존재함에 따른 charging effect와 mass interference가 발생되는 문제점이 관찰되었다. 이를 개선하기 위해 Cl과 Cs 원소가 결합된$ClCs^+$ cluster ion을 검출하는 방법을 시도하였으나, Cl- 이온 검출 방식에 비해 오히려 낮은 검출도를 나타내었으나 Cl 원소가 속하는 halogen 족 원소의 높은 전자 친화도 특성을 이용한$ClCs_2^+$ cluster ion을 검출하는 방법[4]을 적용한 경우에는$ClCs^+$ 방식에 비해 검출도가 3order 개선되는 결과를 확보하였으며, 이 결과를 토대로 Cl dose ($atoms/cm^2$ ) 와 Rs (ohm/sq) 간의 상관 관계에 대해 고찰하고자 한다. -
Sub 100 nm의 Complementary Metal-Oxide-Semiconductor (CMOS) 소자를 구동하기 위해서는 2.0 nm 이하의
$SiO_2$ oxide에 해당하는 전기적 특성이 요구된다. 그러나 2.0 nm 이하의$SiO_2$ 에서는 누설 전류가 너무 크기 때문에 이를 대체하기 위해서 유전 상수 (dielectric permittivity)가 높은$HfO_2$ (${\varepsilon}=25$ ),$Al_2O_3$ ,$HfO_2/Al_2O_3$ laminate 등의 high-k dielectric 물질들이 연구되고 있다[1]. High-k dielectric 물질의 전기적 특성은 박막 조성, 두께 및 전극과의 계면에 생성되는 계면 층이나 불순물(Impurity) 거동에 크게 의존하므로 High-k dielectric/전극(Metal or Si) 구조에서 조성 및 불순물의 거동에 대한 정확한 평가가 주요 쟁점으로 부각되고 있다. 이를 평가하기 위해 일반적으로$Ar^+$ ion에 의한 depth profiling 분석이 진행되나 Oxygen 원자의 선택적 식각에 기인된 분석 깊이 분해능(Depth Resolution) 왜곡으로 계면 층의 형성이나 불순물의 거동을 정확하게 평가할 수 없다. 이러한 예로는$Ta_2O_5$ 및$SrBi_2Ta_2O_9$ 와 같은 다 성분 계 산화막에$Ar^+$ ion 주사 시 발생하는 선택적인 식각(Preferential Sputtering) 때문에 박막의 실제 조성 및 거동을 평가하는 것은 어렵다고 보고된 바 있다[2,3]. 본 연구에서는$90{\AA}$ 인 적층$Hf_xO_y/Al_xO_y/Hf_xO_y$ 구조에서의 불순물 거동 분석 능력 확보 상 주요 인자인 깊이 분해능 개선을 Secondary Ion Mass Spectroscopy(SIMS)의 primary ion 종, impact energy 및 주사 각도를 변화시켜 ~1 nm 수준까지 구현하였다. 이러한 분석 깊이 분해능의 개선은 Low Impact Energy, 입사 이온의 glancing angle 및 Cluster ion 적용에 의존하며 이들 요인의 효과에 대해 비교/고찰하고자 한다. -
차세대 모바일용 전자디스플레이로 각광받고 있는 FOLED (flexible organic light emitting display)의 연구에서 display의 신뢰성과 수명은 매우 중요한 연구 테마이다. OLED의 수명단축에 영향을 미치는 요소는 수분에 의한 열화가 가장 치명적이다. Barrier layer를 통한 수분의 주요 침투경로는 pin-hole과 void 등과 같은 defect에 의한 것으로 보인다. 수분의 침투 경로를 제어하는 OLED용 barrier layer의 요구조건은 WVTR (water vapor transmission rate)이
$10^{-6}g/m^2{\cdot}day$ 이하로 낮아야 한다. Barrier layer가 가져야 할 핵심적인 조건은 유연성을 가지면서 동시에 WVTR 값이 매우 낮아야 하는데, 아직까지 이를 만족하는 barrier layer의 개발은 아직 덜된 실정이다. 본 연구에서는 PET (polyethylene terephthalate) 기판에 sputtering법으로 barrier layer를 제조하였다. 증착에 이용한 타겟은 두가지 종류인 Al과$Al_2O_3$ 를 사용하였으며, 다층박막으로 제조하였다. 제조된 barrier layer의 수분침투 특성은 WVTR의 측정으로, 유연성의 평가는 in-situ fatigue test를 수행하여 측정하였다. 종합적인 특성 평가를 위하여 SEM과 AFM (atomic force microscope) 관찰도 하였다. -
최근 들어 유연한 폴리머 기판을 이용한 차세대 Flexible display는 다양한 장점으로 인해 많은 연구가들에 의해 유망한 차세대 디스플레이로 주목받고 있다. 일반적 폴리머 기판은 산소, 수분 등에 취약하기 때문에 무기막 또는 멀티레이어를 증착한다. 본 연구는 remote-type과 direct-type DBD로 구성되어 있는 double discharge system을 이용한 SiOx 무기막 증착 실험에 관한 연구이다. 본 연구에서는 HMDS/
$O_2$ /He/Ar gas mixture를 통해 발생된 대기압 플라즈마를 이용하여 공정을 진행하였다. SiOx를 증착할 때 SiOx 무기막 증착 실험은$O_2$ 의 유량이 감소할수록 그리고 HMDS의 유량이 증가함에 따라 deposition rate, 즉 공정효율이 증가하는 것을 알 수 있었다. 하지만 HMDS의 유량이 증가하고$O_2$ gas 유량이 감소함에 따라 carbon과 hydrogen 등의 불순물의 함유도 함께 증가하게 되고 이로 인해 무기막의 특성이 약해지고, 유기적인 막 특성이 강해지게 된다. Double discharge system을 사용하였을 경우에는 remote-type DBD system을 사용하였을 때 보다 더 높은 공정 효율을 관찰할 수 있었고 동시에 더 낮은 불순물 함량을 가지는 것을 알 수 있었다. 이는 기판에 추가적으로 인가되는 power에 따라 discharge efficiency가 향상되어 Si-O bond 결합을 유도, 무기막적 특성이 강해지고, 또한 기판 바이어스 효과에 따라 증착무기막의 기계적인 강도 역시 향상됨을 관찰할 수 있었다. -
본 연구에서는 Molybdenum oxide (MoOx)-doped 4,4',4"-tris[2-naphthyl(amino)] triphenylamine(2-TNATA)의 P-doping에 의한 hole ohmic contact과 fullerene (C60)/lithium (LiF)의 electron ohmic contact에 의한 All Ohmic contact를 이용한 유기 발광 다이오드 (OLEDs)의 광저항 특성의 향상을 설명한다. 이 소자의 성능은 MoOx-doped 2-TNATA의 두께와 도핑농도에 큰 영향을 받는다. glass/ITO/MoOx-doped 2-TNATA (100 nm)/Al 구조의 소자에서 MoOx-doped 2-TNATA 도핑 농도가 25%에서 75%로 증가할수록 hole only device의 hole ohmic 특성이 향상됐다. 그 이유는 p-type doping effect 때문이다. 또한 photoemission spectra 분석결과, p-type doping effect는 hole-injecting barrier 높이는 낮추고, hole conductivity는 향상되었다. 이것은 2-TNATA에 도핑된 MoOx의 전하전송 콤플렉스의 형성으로 hole carrier의 수가 증가하여 발생되었다. MoOx-doped 2-TNATA의 hole ohmic contact과 fullerene (C60)/lithium fluoride (LiF)의 electron ohmic contact 으로 구성된 glass/ITO/MoOx-doped 2-TNATA (75%, 60 nm)/NPB (10 nm)/Alq3 (35 nm)/C60 (5 nm)/LiF (1 nm)/Al (150 nm)의 소자구조는 6,4V에서 127,600 cd/m2 최대 휘도와 약 1,000 cd/m2에서 4.7 lm/W의 높은 전력 효율을 보여준다.
-
현재 디스플레이 시장은 LCD (Liquid Crystal Display), PDP (Plasma Display Panel) 등과 같이 평판 디스플레이가 주류를 이루고 있으며 현재에는 기존의 디스플레이와는 달리 잘 휘어지고 높은 투과성을 가지는 플렉시블 디스플레이에 대한 연구가 활발히 진행 중이다. 하지만 이러한 플렉시블 디스플레이에 사용되는 플라스틱 기판의 경우 용제에 대한 화학적 저항성 및 기계적인 안정성이 취약한 점과 대기중의 수분이나 산소가 플라스틱 기판을 통하여 소자내로 침투하게 되어 금속전극을 산화시키거나 기포 또는 흑점 등과 같은 비 발광 영역이 확산되어 소자의 수명을 단축시키는 치명적인 단점을 가진다. 이에 본 실험에서는 고밀도 플라즈마 형성이 가능하고 저온공정이 가능한 FTS (Facing Target Sputtering) 장비를 이용하여 Polyethylene terephthalate (PET) 기판위에 낮은 수분 투과율 또는 산소 투과율을 갖는 양질의 무기 산화막을 적층하기 위해 저 투습도 및 기계적인 경도 향상을 위한 비 반응성 박막으로
$Al_20_3$ 층을 Ar분위기에서 증착하였고 그 위에 박막의 stress 감소, 유연성 향상을 위한 반응성 박막으로 Al을 Ar과$O_2$ 를 비율별로 증착하여 비교 실험하였다. 이와 같이 제작된 무기산화막들을 Uv- spectrophotometer를 이용하여 광학적 특성을 조사한 결과 가시광 영역에서 모두 80% 이상의 높은 투과율을 나타내었으며, 그 외 XRD (X-ray Diffraction)를 사용하여 결정성을 확인, SEM (Scanning Electron Microscope), AFM (Atomic Force Microscope)을 이용하여 박막의 구조와 표면향상 및 표면조도를 측정한 결과 모든 박막에서 밀집도가 좋으며 거칠기가 작은 것으로 확인되었다. 마지막으로 수분 투과율(WVTR)을 알아보기 위해 Mocon (Permatran W3/31)장비를 이용하여 측정한 결과$1.0{\sim}3.0{\times}10^{-3}g/m{\cdot}day$ 의 낮은 수분 투과율을 볼 수 있었다. 이러한 측정 결과로 볼 때 향후 FTS 장비를 이용하여 양질의 플라즈마를 형성하여 알루미늄 무기산화막을 이용한 고밀도 다층막을 형성하면 더욱 낮은 수분투과율을 갖는 가스차단막을 제작할 수 있을 것으로 보여지며 반도체 소자 및 디바이스의 Pachaging으로도 사용가능 할 것이라 사료된다. 본 연구는 한국산업기술진흥원에서 지원하는 2011년도 지역산업기술개발사업의 연구수행으로 인한 결과물임을 밝힙니다. -
최근 주목받고 있는 amorphous InGaZnO (a-IGZO) thin film transistors (TFTs)는 수소가 첨가된 비정질 실리콘 TFT (a-Si;H)에 비해 비정질 상태에서도 높은 이동도와 뛰어난 전기적, 광학적 특성에 의해 큰 주목을 받고 있다. 또한 넓은 밴드갭에 의해 가시광 영역에서 투명한 특성을 보이고, 플라스틱 기판 위에서 구부러지는 성질에 의해 플랫 패널 디스플레이나 능동 유기 발광 소자 (AM-OLED), 투명 디스플레이에 응용되고 있다. 하지만, 실제 디스플레이가 동작하는 동안 스위칭 TFT는 백라이트 또는 외부에서 들어오는 빛에 지속적으로 노출되게 되고, 이 빛에 의해서 TFT 소자의 신뢰성에 악영향을 끼친다. 또한, 디스플레이가 장시간 동안 동작 하면 내부 온도가 상승하게 되고 이에 따른 온도에 의한 신뢰성 문제도 동시에 고려되어야 한다. 특히, 실제 AM-LCD에서 스위칭 TFT는 양의 게이트 전압보다 음의 게이트 전압에 의해서 약 500 배 가량 더 긴 시간의 스트레스를 받기 때문에 음의 게이트 전압에 대한 신뢰성 평가는 대단히 중요한 이슈이다. 스트레스에 의한 문턱 전압의 변화는 게이트 절연막과 반도체 채널 사이의 계면 또는 게이트 절연막의 벌크 트랩에 의한 것으로 게이트 절연막의 선택에 따라서 신뢰성을 효과적으로 개선시킬 수 있다. 본 연구에서는 적층된
$Si_3N_4/SiO_2$ (NO 구조) 이중층 구조를 게이트 절연막으로 사용하고, 완충층의 역할을 하는$SiO_2$ 막의 두께에 따른 소자의 전기적 특성 및 신뢰성을 평가하였다. a-IGZO TFT 소자의 전기적 특성과 신뢰성 평가를 위하여 간단한 구조의 pseudo-MOS field effect transistor (${\Psi}$ -MOSFET) 방법을 이용하였다. 제작된 소자의 최적화된$SiO_2$ 완충층의 두께는 20 nm이고$12.3cm^2/V{\cdot}s$ 의 유효 전계 이동도, 148 mV/dec의 subthreshold swing,$4.52{\times}10^{11}cm^{-2}$ 의 계면 트랩, negative bias illumination stress에서 1.23 V의 문턱 전압 변화율, negative bias temperature illumination stress에서 2.06 V의 문턱 전압 변화율을 보여 뛰어난 전기적, 신뢰성 특성을 확인하였다. -
Neutral beam assisted chemical vapor deposition (NBa-CVD) process has been developed as a nove,l room temperature deposition process for the light-soaking free nano-crystalline silicon (nc-Si) thin films including intrinsic and n-type doped thin film. During formation of nc-Si thin films by the NBa-CVD process with silicon reflector at room temperature, the energetic particles enhance doping efficiency and crystalline phase in nc-Si thin films without additional heating at substrate. The effects of incident NB energy controlled by the reflector bias have been confirmed by Raman spectra analysis. Additionally, TEM images show uniform nc-Si grains which imbedded amorphous phase without incubation layer. The nc-Si films by the NBa-CVD are hardly degenerated by light soaking; the degradations of photoconductivity were just a few percents before and after light irradiation.
-
Non-thermal plasma has attracted medical researchers, since they showed higher apoptosis rate in cancer cells than normal cells. However, it is hard to conclude general cancer cell specific effect because comparison between normal and cancer cell activities after plasma treatment have not been reported yet. This research proposes a comparison of Dielectric Barrier Discharge (DBD) plasma effect on three normal cells lines and three cancer cells lines. We measured cell number, mitochondria activity (MTS assay) and amount of hydrogen peroxide (H2O2) for three days. The results show that the number of cancer cells decreased more than normal cells following of exposure time. On the other hand, mitochondria activities and amounts of H2O2 increased following of exposure time. In addition, we found that DBD plasma exposure on cell suspension in media and media only illustrated no difference in mitochondria activity, H2O2 quantity, and cell number. Thus, we can confirm higher apoptosis rate in cancer cells which is related to the reactive oxygen species (ROS) generated by DBD plasma. The related molecular mechanisms were investigated further.
-
Yu, In-Gong;Lee, Ji-Seop;Park, Hyeon;Han, Jun-Yong;Jo, Seong-Jin;Lee, Min-Yong;Hwang, Won-Taek;Yang, Tae-Geon;Kim, Jae-Hong 173
사이클로트론은 암진단에 사용되는 방사성동위원소를 생산하기 위한 중요한 입자 가속장치이다. 현재 핵의학 의료진단에 필요한 방사성동위원소를 제공하기 위해 세계적으로 사이클로트론의 활용도가 점점 증가하고 있다. 한국원자력의학원에 설치된 MC50 (양성자 최대 가속에너지 50 MeV, 60 uA)과 C30 (양성자 최대 에너지 30 MeV, 250 uA) 사이클로트론은 생명의학, 반도체 검출기, 핵자료 데이터, 방사성동위원소 개발 등 다양한 분야의 연구를 지원하고 있다. MC50 사이클로트론은 수소 입자를 포함하여 중양자, 알파 입자를 가속할 수 있으며 중성자 빔을 인출 할 수 있다. 수소 음이온 또는 양이온을 가속 할 수 있으며 표적에는 고에너지의 양이온이 조사되며, 핵반응을 통해 방사성동위원소가 생성된다. 양성자 빔을 이용하여 암세포를 사멸 시키는 치료법, 돌연변이로 새로운 종의 개발 등 다양한 응용성이 있다. 하전입자를 가속하는 사이클로트론의 주요 구성요소는 (1) 진공시스템, (2) 전자석 시스템, (3) 고주파 시스템, (4) 이온원 (5) 빔 인출장치 (6) 빔전환 장치 (수직에서 수평 방향으로 전환), (7) 빔 집속 및 진단 장치 등 이다. 본 발표에서는 85년부터 운영한 MC50 사이클로트론과 02년부터 가동된 사이클로트론의 운영 현황 및 다양한 응용분야와 향후 RI 빔 인출을 위한 계획을 소개하고자 한다. -
The nature of feed gas is essential for the active species formed in the nonthermal plasma jets, which would induce various biological phenomena. We investigated the different physiological effects of atmospheric pressure soft-plasma jets on Esherichia coli and blood cells according to the feed gas. Cell death rate, growth curve, membrane molecular changes and induced genes were examined. The relationship between cellular reactions and active species generated by discharge will be discussed.
-
Kim, Tae-Hyeong;Kim, Gyeong-Nam;Mishra, Anurag;Jeong, Ho-Beom;Bae, Jeong-Un;Yeom, Geun-Yeong 175
플라즈마를 이용하는 공정은 평판 디스플레이와 박막 트렌지스터, LCD 같은 반도체 산업에 널리 사용되고 있다. 최근 이와 같은 산업을 위한 공정은 마이크로 단위 이하에서 진행되고 있으며, 그 크기가 작아질수록 공정을 위한 비용은 증가하게 되었다. 따라서 제품의 대량생산 및 원가절감을 위해 웨이퍼의 대구경화가 진행되었고, 그런 대구경의 웨이퍼을 생산하기 위한 대면적 플라즈마 소스 개발 역시도 필요하게 되었다. 그리고 2014년에는 450 mm 크기의 웨이퍼가 사용될 것으로 예상되고 있다. 450 mm 대구경 웨이퍼용 유도결합플라자마 장치를 이용하여 플라즈마의 특성을 Langmuir probe를 사용하여 측정하였다. 플라즈마를 방전시키는 안테나의 형태는 spiral 형태의 안테나를 사용하였고, 이중주파수를 사용하기 위해 spiral 형태의 안테나를 두개로 나누어 안쪽의 안테나에는 2 Mhz를 바깥쪽의 안테나에는 13.56 Mhz를 인가하였다. 공정 압력은 10 mTorr로 유지하고 안쪽의 2 Mhz 안테나에는 100~800 W까지 변화시키고 바깥쪽의 13.56 Mhz 안테나에는 100~1,000 W까지 변화시켜 그 때의 플라즈마의 특성을 분석해 보았다. Langmuir probe를 이용하여 방전된 플라즈마를 관찰한 결과, 기판 위에서의 플라즈마 균일도가 4~23%가 되는 것을 확인 할 수 있었다. 13.56 Mhz의 인가되는 파워를 고정 시키고 2 Mhz만을 변화시켰을 경우 2 Mhz의 파워를 400 W까지 증가시켰을 때는 플라즈마의 밀도가 서서히 증가하였으나 400 W 이상에서는 밀도가 크게 증가하는 것을 볼 수 있었다. 하지만 플라즈마의 온도와 potential의 경우 밀도와는 반대로 2 Mhz에 인가되는 파워가 증가 될수록 감소하는 경향을 보였다. 위의 실험을 통해 우리는 전자에너지분포함수(EEDFs)를 얻을 수 있었고, 그 안에서 낮은 주파수(2 Mhz)를 이용하여 낮은 에너지를 가진 전자의 밀도를 조절할 수 있다는 것과 높은 주파수(13.56 Mhz)에 인가된 파워가 증가함에 따라 높은 에너지를 얻을 수 있다는 결과를 확인 할 수 있었다. -
다수 홀 전극을 이용한 RF Capcitively Coupled Plasma는, 평판 전극을 이용할 때에 비해, 전자 밀도를 향상시키는 것으로 알려져 있다. 이와 같은 전자 밀도의 증가는 일반적으로 공정의 속도를 증가시키며, 박막 태양전지의 Microcrystalline Silicon 증착 공정등 공정의 속도가 중요시되는 공정에서는 공정속도를 향상 시키는 것이 중요한 공정의 요구사항으로, 이와 같은 방법으로 전자 밀도를 향상시켜 공정의 속도를 향상시키는 연구가 진행되어 왔다. 그러나 공정에 사용하는 RF 전력의 파장의 유한성으로 인해, 공정의 면적을 증가시킬 경우, 방전의 균일도가 하락하게 되며 넓은 면적에 일정한 공정이 이루어지지 않게 되어 공정의 품질이 하락하게 된다. 이러한 문제에 대한 해결책의 하나로 본 발표에서는 다중 Multi-hole 전극을 이용한 방전을 제시하고자 한다. 다중 Multi-hole 전극은, 복수의 구획으로 나뉘어진 다수의 홀이 있는 전극으로 각각의 구획은 분리되어, 각 구획 별로 서로 다른 복수의 홀이 10 mm 깊이로 뚫린 전극 구획으로 나누어지며, 각 구획을 결합하여 하나의 전극을 이루도록 한 전극이며 이를 이용하여 위치 별 플라즈마 밀도를 제어하고자 하는 목적으로 설계되어진 전극 구조이다. 본 학회에서 발표하는 실험에서는 가장 단순한 형태인, 두 개의 구획으로 나뉘어진 전극을 이용하여 내부와 외부에, 평 전극 구획 혹은 5 mm 지름의 다수 홀이 존재하는 전극 구획을 조합하여 다양한 전극 구조를 만들었으며 이를 통해, 다중 Multi-hole 전극을 이용하는 위치 별 플라즈마 밀도의 제어 방법의 가능성을 확인하고자 하였다. 위치 별 플라즈마 밀도의 측정을 위해, 전극에 대해 수평하게 이동하는 RF compensated Single Langmuir Probe를 이용하여, 전자 밀도를 측정하였으며 50 mTorr의 낮은 압력 범위 및 500 mTorr의 높은 압력 범위에서 위치 별 플라즈마 밀도를 측정하여, 압력에 따라 달라지는 홀 방전의 특성을 이용하고자 하였다.
-
진공을 기초로 한 다양한 반도체 식각 공정에서 RF bias가 결합된 유도 결합 플라즈마 소스는 널리 사용되고 있다. 하지만, 대부분의 연구는 RF bias에 의한 자기 바이어스 효과에만 한정되어 있으며, 공정 결과와 소자 품질에 결정적인 역할을 하는 플라즈마 변수들(전자 온도, 플라즈마 밀도)과 RF bias의 상관관계에 대한 연구는 거의 없는 실정이다. 본 연구에서는 RF bias가 플라즈마 변수에 미치는 영향과 비충돌 전자 가열 메커니즘의 실험적 증거에 관한 연구를 진행하였다. 플라즈마 밀도는 RF bias에 의하여 감소 또는 증가하였으며, 이러한 결과는 Fluid global model에 의한 계산과 잘 일치하는 결과를 보였다. 전자 온도는 RF bias에 의하여 증가하였으며, 적은 RF bias 전력에서는 플라즈마 전위에 갇혀있는 낮은 에너지 그룹의 전자들의 가열이 주가 되었으나, 큰 RF bias 전력에서는 높은 에너지 그룹의 전자들의 가열이 주가 됨을 관찰하였다. 이는 높은 에너지 그룹의 전자 가열 메커니즘이 anomalous skin effect에서 collisionless sheath heating으로 전이되는 것을 나타내며, bounce resonance heating이 RF bias의 전자가열에 중요한 역할을 함을 보여주는 실험적 근거이다. 플라즈마 밀도의 공간 분포는 RF bias의 인가에 의하여 더욱 균일함을 보였으며, 이는 (electro-static and electro-magnetic) edge effect에 의한 영향으로 해석될 수 있다. 이러한 RF bias와 플라즈마 변수들의 상관관계 및 전자 가열 메커니즘에 대한 연구는 방전 특성의 물리적 이해뿐만 아니라, 반도체 식각 공정에서 소자 품질 및 공정 개선을 위한 최적의 방전 조건 도출과 외부 변수 제어에 큰 도움을 주리라 예상된다.
-
Neutral atom temperature was measured by Laser Rayleigh scattering method using neutral depletion by neutral heating with ideal gas law in Inductively coupled plasma. We observed sudden pressure change when plasma is turned on and off. We analyzed mechanism of neutral heating by employing zero-dimensional neutral and ion energy balance model simultaneously. The results showed that neutral atom temperature increase with ion density. The mechanism of neutral atom heating and cooling is mainly dominated by ion-neutral collision including elastic and charge-exchange collision and by wall cooling respectively.
-
Low pressure radio-frequency glow discharges are investigated using theoretical modeling and various experimental diagnostic methods. In the calculations, global models and transformer models are developed to understand the chemical kinetics as well as the electrical properties such as the effective collision frequency, the heating mechanism and the power transferred to the plasma electrons. In addition, Boltzmann equation solver is used to compensate the effect of the electron energy distribution function (EEDF) shape in the global model, and the general expression of energy balance for non-Maxwellian electrons is developed. In the experiments, a number of traditional plasma diagnostic methods are used to compare with calculated results such as Langmuir probe, optical emission spectroscopy (OES), optical absorption spectroscopy (OAS) and two-photon absorption laser-induced fluorescence (TALIF). These theoretical and experimental methods are applied to understand several interesting phenomena in low pressure ICP discharges. The chemical and physical properties of low pressure ICP discharges are described and the applications of these methods are discussed.
-
A microplasma system source based on microwave parallel stripline resonator (MPSR) was developed for the generation of microplasmas in a wide range of pressure from some torr to 760 torr. This source was operated at its resonance frequency that much depends upon not only its discharge gap size but also operated pressure. This paper applied a simple circuit model to analyze the effects of discharge gap size and pressure to resonance frequency and impedance of MPSR in the cases with and without plasma exist inside the discharge gap. In the process of calculating, the conformal mapping method was used to estimate the capacitance of the MPSR. The calculating results by using circuit model agree well with the simulation results that using commercial CST microwave studio software.
-
The microwave probe for measuring plasma density is widely used for its advantages: First, it is not affected by the reactive gas. Second, it can measure local plasma parameters such as plasma density, plasma potential and plasma temperature. Third, it is simple and robust. A cut-off probe is the one of the most promising microwave probe. Recently, Kim et al. reveals the physics of the cut-off probe but the effect of the sheath on the determination of the plasma density is not explained. In this presentation, for taking account of sheath effects on determination of plasma density from the cut-off peak, a simplified circuit modeling and an E/M simulation are conducted. The results show that occupation ratio of sheath volume between two tips of the cut-off probe and subsequence pressure condition mainly change position of the cut-off peak with respect to plasma frequency. Magnitude of relative voltage taken on the impedance of sheath and the impedance of bulk plasma can explain this effect. Furthermore, effects of gap size, tip radius, and tip length ware revealed based on above analysis.
-
Plasma etching is used in various semiconductor processing steps. In plasma etcher, optical- emission spectroscopy (OES) is widely used for in-situ endpoint detection. However, the sensitivity of OES is decreased if polymer is deposited on viewport or the proportion of exposed area on the wafer is too small. Because of these problems, the object is to investigate the suitability of using plasma impedance monitoring (PIM) and self plasma optical emission spectrocopy (SPOES) with statistical approach for in-situ endpoint detection. The endpoint was determined by impedance signal variation from I-V monitor (VI probe) and optical emission signal from SPOES. However, the signal variation at the endpoint is too weak to determine endpoint when
$SiO_2$ and SiNx layers are etched by fluorocarbon on inductive coupled plasma (ICP) etcher, if the proportion of$SiO_2$ and SiNx area on Si wafer are small. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance monitoring is compared with optical emission spectroscopy. -
In the era of 45 nm or beyond technology, conventional etch mask using photoresist showed its limitation of etch mask pattern collapse as well as pattern erosion, thus hard mask in etching became necessary for precise control of etch pattern geometry. Currently available hard mask materials are amorphous carbon and polymetric materials spin-on containing carbon or silicon. Amorphous carbon layer (ACL) deposited by PECVD for etch hard mask has appeared in manufacturing, but spin-on carbon (SOC) was also suggested to alleviate concerns of particle, throughput, and cost of ownership (COO) [1]. SOC provides some benefits of reduced process steps, but it also faced with wiggling on a sidewall profile. Diamond like carbon (DLC) was also evaluated for substituting ACL, but etching selectivity of ACL was better than DLC although DLC has superior optical property [2]. Developing a novel material for pattern hard mask is very important in material research, but it is also worthwhile eliminating a potential issue to continuously develop currently existing technology. In this paper, we investigated in-situ dry-cleaning (ISD) monitoring of ACL coated process chamber. End time detection of chamber cleaning not only provides a confidence that the process chamber is being cleaned, but also contributes to minimize wait time waste (WOW). Employing Challenger 300ST, a 300mm ACL PECVD manufactured by TES, a series of experimental chamber cleaning runs was performed after several deposition processes in the deposited film thickness of
$2000{\AA}$ and$5000{\AA}$ . Ar Actinometry and principle component analysis (PCA) were applied to derive integrated and intuitive trace signal, and the result showed that previously operated cleaning run time can be reduced by more than 20% by employing real-time monitoring in ISD process. -
Yu, Gwang-Ho;Kim, Dae-Ung;Na, Byeong-Geun;Seo, Byeong-Hun;Yu, Sin-Jae;Kim, Jeong-Hyeong;Seong, Dae-Jin;Sin, Yong-Hyeon;Jang, Hong-Yeong 184
We proposed a new measurement method of cutoff probe using the reactance spectrum of the plasma in cutoff probe system instead of transmission spectrum. The high accurate reactance spectrum of the plasma which is expected in previous circuit simulation of cutoff probe [1] was measured by using the automatic port extension method of the network analyzer. The measured reactance spectrum is good agreement with E/M wave simulation result (CST Microwave Studio). From the analysis of the measured reactance spectrum based on the circuit modeling, not only the electron density but also electron-neutral collision frequency can be simply obtained. The obtained results of electron density and e-n collision frequency were presented and discussed in wide range of experimental conditions, together with comparison result with previous methods (a previous cutoff probe using transmission spectrum and a single langmuir probe). -
Solution-processed metal-alloy oxides such as indium zinc oxide (IZO), indium gallium zinc oxide (IGZO) has been extensively researched due to their high electron mobility, environmental stability, optical transparency, and solution-processibility. In spite of their excellent material properties, however, there remains a challenging problem for utilizing IZO or IGZO in electronic devices: the supply shortage of indium (In). The cost of indium is high, what is more, indium is becoming more expensive and scarce and thus strategically important. Therefore, developing an alternative route to improve carrier mobility of solution-processable ZnO is critical and essential. Here, we introduce a simple route to achieve high-performance and low-temperature solution-processed ZnO thin film transistors (TFTs) by employing alkali-metal doping such as Li, Na, K or Rb. Li-doped ZnO TFTs exhibited excellent device performance with a field-effect mobility of
$7.3cm^2{\cdot}V-1{\cdot}s-1$ and an on/off current ratio of more than 107. Also, in case of higher drain voltage operation (VD=60V), the field effect mobility increased up to$11.45cm^2{\cdot}V-1{\cdot}s-1$ . These all alkali metal doped ZnO TFTs were fabricated at maximum process temperature as low as$300^{\circ}C$ . Moreover, low-voltage operating ZnO TFTs was fabricated with the ion gel gate dielectrics. The ultra high capacitance of the ion gel gate dielectrics allowed high on-current operation at low voltage. These devices also showed excellent operational stability. -
ZnO particles with a size range of 50-150 nm were coated with polydimethylsiloxane (PDMS) with a thin film thickness of 3-4 nm using a simple ambient-pressure chemical vapor deposition methods. Surfaces consisting of the PDMS-coated ZnO nanoparticles were found to be superhydrophobic with a water contact angle higher than
$160^{\circ}$ . The superhydrophobicity was sustained in the presence of UV light. Photocatalytic activity and photocorrosion of ZnO were nearly completely quenched in the presence of PDMS coating. It is suggested that our PDMS-coating can be of potential interest for the application of ZnO in UV protection agents and energy and electronic devices. -
염료-감응형 태양전지 응용을 위하여
$TiO_2$ nanorods를 autoclave를 이용하여 FTO 기판위에 수열합성법으로 합성 하였다.$TiO_2$ nanorods는 증류수와 염산, Titanium tetra isopropoxide (TTIP) 전구체의 혼합 용액을 이용하여,$150-200^{\circ}C$ 의 온도에서 합성하였다. 합성된$TiO_2$ nanorods의 두께와 길이, 밀도는 성장시간과 성장온도, 전구체의 양, 염산과 증류수의 비율 등의 성자조건 변화를 통하여 조절하였다.$TiO_2$ nanorods의 결정성과 표면형태를 관찰하기 위해 XRD, SEM 그리고 TEM을 이용하였으며, 광학적 특성을 관찰하기 위해서 UV-Vis을 측정하였다. 합성된$TiO_2$ nanorods 형태는 수직으로 서장된 단결정 구조의 rutile 상으로 관찰되었으며, 길이는 약$4-6{\mu}m$ 로 관찰되었다. 고온($200^{\circ}C$ )에서 짧은 시간동안 성장시킨$TiO_2$ nanorods가 태양전지에 응용이 유용한 샘플로 성장되었다. 또한, 반응시간과 전구체의 양이 증가할수록$TiO_2$ nanorods의 밀도가 증가하였다. -
전북대학교 고온 플라즈마 응용 연구 센터는 교육과학기술부 기초연구사업 중 고가연구장비 구축사업의 일환으로 소재공정용 다목적 100 kW 플라즈마 발생장치를 구축하고 있다. 100kW급 ICP (RF)형 플라즈마 발생장치는 RF 전력 인출이 이중으로 되어있어 한쪽에서는 수~수십 um 크기의 금속, 세라믹 등 고융점 원료분말을 순간적으로 용해, 기화 및 분해시키고 이들 기화 또는 분해된 증기를 급랭시키는 과정에서 초미분(<1 um)을 합성하는 플라즈마 합성법 연구가 가능하도록 RF 플라즈마 분말 합성 시스템이 연결되어 있고 다른 한쪽으로는 진공 챔버 내에서 고온 고속의 RF 플라즈마 불꽃을 형성 한 후 RF 플라즈마의 축 방향으로 반응성 가스 및 코팅 대상 물질을 주입하여 코팅 할 수 있는 열플라즈마 용사코팅 시스템이 연결되어 있는 다목적 연구 장치이다. 본 장치는 100 kW급 RF 전원 공급기와 유도결합형 플라즈마 토치, 플라즈마 분말 합성 부, 플라즈마 코팅 및 반응성 증착부, 가스 공급부, 냉각수 공급부, 전기 계장/제어부로 구성되어 있다.
-
Dung, Mai Xuan;Mohapatra, Priyaranjan;Choi, Jin-Kyu;Kim, Jin-Hyeok;Jeong, So-Hee;Jeong, Hyun-Dam 191
InP quantum dot (QD) - organosilicon nanocomposites were synthesized and their photoluminescence quenching was mainly investigated because of their applicability to white LEDs (light emitting diodes). The as-synthesized InP QDs which were capped with myristic acid (MA) were incompatible with typical silicone encapsulants. Post ligand exchange the MA with a new ligand, 3-aminopropyldimethylsilane (APDMS), resulted in soluble InP QDs bearing Si-H groups on their surface (InP-APDMS) which allow embedding the QDs into vinyl-functionalized silicones through direct chemical bonding, overcoming the phase separation problem. However, the ligand exchange from MA to APDMS caused a significant decrease in the photoluminescent efficiency which is interpreted by ligand induced surface corrosion relying on theoretical calculations. The InP-APDMS QDs were cross-linked by 1,4-divinyltetramethylsilylethane (DVMSE) molecules via hydrosilylation reaction. As the InP-organosilicon nanocomposite grew, its UV-vis absorbance was increased and at the same time, the PL spectrum was red-shifted and, very interestingly, the PL was quenched gradually. Three PL quenching mechanisms are regarded as strong candidates for the PL quenching of the QD nano-composites, namely the scattering effect, Forster resonance energy transfer (FRET) and cross-linker tension preventing the QD's surface relaxation. -
The next generation electronics need to not only be smaller but also be more flexible. To meet such demands, electronic devices using two dimensional (2D) atomic crystals like graphene, hexagonal boron nitride (h-BN), molybdenum disulfate (
$MoS_2$ ) and organic thin film have been studied intensely. In this talk, I will demonstrate the$MoS_2$ field effect transistor (FET) toward performance enhancement by insulating h-BN substrate. -
그래핀(graphene)은 탄소원자가 육각형 벌집 모양 배열의 격자구조를 가지는 원자 한층 두께의 이차원 물질이다. 그래핀은 전도띠(conduction band)와 가전자띠(valence band)가 한 점에서 만나고 에너지와 역격자의 k 벡터가 선형적으로 비례하는 에너지 구조를 가진다. 이로 인해 그래핀은 매우 빠른 전하 이동도를 가지며 원자 한 층의 두께임에도 불구하고 약 2.3%의 빛을 흡수할 수 있으며 자외선 영역부터 적외선 영역까지의 넓은 파장대의 빛을 흡수 할 수 있다. 이와 같은 그래핀의 우월한 성질을 이용하면 광 응답에 고속으로 반응하고 높은 주파수의 광통신에서도 작동 할 수 있는 그래핀 광소자를 제작할 수 있게 된다. 하지만 미래의 고속 그래핀 광소자를 실현하기에 앞서 그래핀의 광응답에 대한 정확한 이해가 필요하다. 그리하여 본 연구에서는 그래핀 광소자를 제작하고 광소자의 광응답 전기적 성질을 분석하여 그래핀의 광응답 특성을 얻어내고자 실험을 진행하였다. 그래핀을 채널 물질로 하고 소스, 드레인, 후면 게이트를 가지는 일반적인 그래핀 전계효과 트랜지스터(field-effect transistor)를 제작하고 채널에 빛을 비추고 비추지 않은 상태에서의 전기적 성질을 측정하고 그 때 얻어진 그래프의 광응답의 원인을 조사하였다. 이 때 얻어지는
$I_D-V_G$ 그래프가 광 조사 시 왼쪽으로 이동하게 되는데 이의 원인을 각 게이트 전압 구간별로$I_D$ -t 그래프를 획득하여 분석하였다. 또한 광원에 펄스를 인가하여 펄스 형태의 광원을 그래핀 전계효과 트랜지스터에 조사시키고 이에 따른 전기적 성질 변화를 관찰하였다 이 때 다양한 게이트 전압이 인가된 상태에서 레이저 펄스 광원에 의한 광전류를 검출하였으며 이를 분석하였다. -
Recently, graphene based solution-gated field-effect transistors (SGFETs) have been received a great attention in biochemical sensing applications. Graphene and reduced graphene oxide (RGO) possess various advantages such as high sensitivity, low detection limit, label-free electrical detection, and ease of fabrication due to their 2D nature and large sensing area compared to 1D nanomaterials- based nanobiosensors. Therefore, graphene or RGO -based SGFET is a good potential candidate for sensitive detection of protons (H+ ions) which can be applied as the transducer in various enzymatic or cell-based biosensing applications. However, reports on detection of H+ ions using graphene or RGO based SGFETs have been still limited. According to recent reports, clean graphene grown by CVD or exfoliation is electrochemically insensitive to changes of H+ concentration in solution because its surface does not have terminal functional groups that can sense the chemical potential change induced by varying surface charges of H+ on CVD graphene surface. In this work, we used RGO -SGFETs having oxygen-containing functional groups such as hydroxyl (OH) groups that effectively interact with H+ ions for expectation of increasing pH sensitivity. Additionally, we also investigate RGO based SGFETs for bio-sensing applications. Hydroloytic enzymes were introduced for sensing of biomolecular interaction on the surface of RGO -SGFET in which enzyme and substrate are acetylcholinesterase (AchE) and acetylcholine (Ach), respectively. The increase in H+ generated through enzymatic reaction of hydrolysis of Ach by AchE immobilized on RGO channel in SGFET could be monitored by the change in the drain-source current (Ids).
-
Graphene, two-dimensional one-atom-thick planar sheet of carbon atoms densely packed in a honeycomb crystal lattice, has grabbled appreciable attention due to its extraordinary mechanical, thermal, electrical, and optical properties. Based on the graphene's high carrier mobility, high frequency graphene field effect transistors have been developed. Graphene is useful for photonic components as well as for the applications in electronic devices. Graphene's unique optical properties allowed us to develop ultra wide-bandwidth optical modulator, photo-detector, and broadband polarizer. Graphene can support SPP-like surface wave because it is considered as a two-dimensional metal-like systems. The SPPs are associated with the coupling between collective oscillation of free electrons in the metal and electromagnetic waves. The charged free carriers in the graphene contribute to support the surface waves at the graphene-dielectric interface by coupling to the electromagnetic wave. In addition, graphene can control the surface waves because its charge carrier density is tunable by means of a chemical doping method, varying the Fermi level by applying gate bias voltage, and/or applying magnetic field. As an extended application of graphene in photonics, we investigated the characteristics of the graphene-based plasmonic waveguide for optical signal transmission. The graphene strips embedded in a dielectric are served as a high-frequency optical signal guiding medium. The TM polarization wave is transmitted 6 mm-long graphene waveguide with the averaged extinction ratio of 19 dB at the telecom wavelength of
$1.31{\mu}m$ . 2.5 Gbps data transmission was successfully accomplished with the graphene waveguide. Based on these experimental results, we concluded that the graphene-based plasmonic waveguide can be exploited further for development of next-generation integrated photonic circuits on a chip. -
Device 제작에 사용된 graphene은 일반적인 lithography 공정에서 resist residue에 의한 오염을 피할 수 없으며 이로 인하여 graphene의 pristine한 성질을 잃어버린다. 본 연구에서는 graphene을 저밀도의 argon inductively coupled plasma (Ar-ICP)를 통해 처리함으로서 graphene based back-gated field effect transistor (G-FET)의 특성변화를 유도한 결과에 대해서 보고한다. Argon capacitively coupled plasma (Ar-CCP)은 에 노출된 graphene은 강한 ion bombardment energy로 인하여 쉽게 planar C-C
${\pi}$ bonding (bonding energy: 2.7 eV)이 breaking되어 graphene의 defect이 발생되었다. 하지만 우리의 경우 저밀도의 Ar-ICP가 적용될 때 graphene의 defect이 제한되며 이와 동시에 contamination 만을 제거할 수 있었다. 소자의 전기적 측정 (Gsd-Vbg)을 통하여 contamination으로 인하여 p-doping된 graphene은 pristine 상태로 회복되었으며 mobility도 회복됨이 확인되었다. Ar-ICP를 이용한 graphene cleaning 방법은 저온공정, 대면적 공정, 고속공정을 모두 만족시키며 thermal annealing, electrical current annealing을 대체하여 graphene 기반 소자를 생산함에 있어 쉽고 빠르게 적용할 수 있는 강점이 있다. -
Graphene has been the subject of intense study in recent years owing to its good optoelectronic properties, possibility for stretchable electronics, and so on. Especially, many research groups have studied about graphene nanostructures with various sizes and shapes. Graphene needs to be fabricated into useful devices with controllable electrical properties for its successful device applications. However, this been far from satisfaction owing to a lack of reliable pattern transfer techniques. Photolithography, nanowire etching, and electron beam lithography methods are commonly used for construction of graphene patterns, but those techniques have limitations for getting controllable GNRs. We have developed a novel nanoscale pattern transfer technique based on an electro-hydrodynamic lithography providing highly scalable versatile pattern transfer technique viable for industrial applications. This technique was exploited to fabricate nanoscale patterned graphene structures in a predetermined shape on a substrate. FE-SEM, AFM, and Raman microscopy were used to characterize the patterned graphene structures. This technique may present a very reliable high resolution pattern transfer technique suitable for graphene device applications and can be extended to other inorganic materials.
-
최근 그래핀의 대면적 합성 및 롤투롤 전사 공정의 개발로 그래핀의 상용화가 가시화 되고 있다. 하지만, 그래핀의 독특한 특성인 선형적이고 밴드갭이 없는 에너지 띠 분포 때문에 반도체 소자로서의 직접적인 적용에는 한계가 있다. 이러한 문제를 해결하기 위한 돌파구로써, 그래핀 복합체의 연구와 개발이 활발히 진행되고 있으며 본 연구에서는 그래핀 복합 적층 구조를 다룬다. 이는 디스플레이, 초고속 반도체 소자, 고성능 광전자소자 및 초고감도 센서 등 다양한 분야에 대한 그래핀의 실용화 가능성이 높아진 것을 의미한다. 특히, 높은 가시광 투과도와 낮은 면저항으로 기존 투명 전극에 대표적으로 사용되고 있는 ITO (Indium Tin Oxide)를 그래핀으로 대체하는 것에 관한 연구가 활발히 진행되고 있다. 하지만 그래핀이 높은 전자이동도를 가지는 것에 비하여 비저항과 투과도 측면에 있어서는 ITO의 성능을 뛰어넘지 못하는 실정이다. 따라서 본 연구에서는 ITO가 가지는 취약점인 기판과의 약한 접착력, 높은 취성, 기판과의 열팽창률 차이 등의 공정상 문제점을 극복하고자 하였다. 그래핀 복합 적층 필름은 플라스틱 기판 (PET) 위에 열 화학기상증착법(Chemical Vapor Deposition, CVD)을 이용하여 합성한 그래핀을 전사하고, ITO 용액을 도포한 다음 다시 그래핀을 씌워 제작하여 샌드위치 구조(sandwich structure)를 형성하였다. 완성된 필름은 광학적, 전기적 특성 분석을 수행하였다. 광학적 분석으로는 라만 분광을 이용한 그래핀 품질평가와 파장대에 따른 광 투과도, 그리고 반사도 측정을 하였으며, 전기적 특성은 면저항을 측정함으로써 분석한다. 결함이 적고, 대면적에 걸쳐 한 층을 이루어야 하는 고품질 그래핀의 요구사항에 따라 라만 분광의 G, 2D, D 띠를 분석하였다. G와 2D 띠의 비율을 통해 그래핀의 층 수를, D 띠의 강도를 통해 결함의 유무를 판단하였다. 또한, 가시광 영역에서 90% 이상의 광 투과도를 보여야 하는 투명 소자의 요구사항 달성 정도를 UV-VIS를 이용하여 확인하였다. 마지막으로, 제작한 필름의 면저항 또한 4-프로브 멀티미터를 이용하여 측정하고, 일반적인 터치스크린의 면저항인
$500{\Omega}/sq$ 를 만족하는지 평가하였다. -
We investigated a flexible transparent film using the spinning multi-walled carbon nanotubes (MWCNTs). Spin-capable MWCNTs on iron catalyzed on a SiO2 wafer was grown by chemical vapor deposition, which was performed at
$780^{\circ}C$ using C2H2 and H2 gas. The average diameter and length of MWCNTs grown on the substrate were ~15 nm and$250{\sim}300{\mu}m$ , respectively. The MWCNT sheets were produced by continuously pulling out from well-aligned MWCNTs on a substrate. The MWCNT sheet films were produced simply by direct coating on the flexible film or grass. The thickness of sheet film was remarkably decreased by alcohol spraying on the surface of sheet. The alcohol splay increased transmittance and decreased electrical resistance of MWCNT sheet films. Single and double sheets were produced with sheet resistance of ~699 and${\sim}349{\Omega}/sq$ , respectively, transmittance of 81~85 % and 67~72%, respectively. The MWCNT sheet films were heated through the application of direct current power. The flexible transparent heaters showed a rapid thermal response and uniform distribution of temperature. In addition, MWCNT yarns were prepared by spinning a bundle of MWCNTs from vertically super-aligned MWCNTs on a substrate, and field emission from the tip and side of the yarns was induced in a scanning electron microscope. We found that the field emission behavior from the tip of the yarn was better than the field emission from the side. The field emission turn-on voltages from the tip and side of MWCNT yarns were 1.6 and$1.7V/{\mu}m$ , respectively, after the yarn was subjected to an aging process. Both the configuration of the tip end and the body of the yarn were changed remarkably during the field emission. We also performed the field emission of the sheet films. The sheet films showed the turn on voltage of${\sim}1.45V/{\mu}m$ during the field emission. -
Using materials with high thermal conductivity is a matter of great concern in the field of thermal management. In this study, we present our experimental results on an important physical property of carbon nanotube (CNT) films, two-dimensional thermal conductivity obtained by using an optical method based on Raman spectroscopy. We prepared four kinds of CNT films to investigate the effect of CNT type on heat spreading performance of films. This first comparative study using the optical method shows that the arc-discharge single-walled carbon nanotubes yield the best heat spreading film. And we observed thermal conductivity values of CNT films with various transmittances and found that the Raman method works as long as the sample is a transparent film. This study provides useful information on characterization of thermal conduction in transparent CNT films and could be an important step toward high-performance carbon-based heat spreading films.
-
유연성 투명 전도막은 현대 전자산업의 발전에 있어 필수적인 부품소재로서, 가시광선의 투과율이 80% 이상이고 면저항이
$100{\Omega}/sq.$ 전후이며 휘거나 접히고 나아가 두루마리의 형태로도 응용이 가능한 소재를 일컫는다. 이러한 유연성 투명 전도막은 차세대 정보디스플레이 산업 및 유비쿼터스 사회의 중심이 되는 유연성 디스플레이, 터치패널, 발광다이오드, 태양전지 등 매우 다양한 분야에 응용이 기대된다. 이러한 이유로 고 신뢰성 유연성 투명 전도막 개발기술은 차세대 산업에 있어서의 핵심기술로 인식되고 있다. 현재로서는 인듐 주석 산화물(indium tin oxide; ITO) 및 전도성 유기고분자를 사용하여 투명 전도막을 제조하고 있으나, ITO 박막의 경우 인듐 자원의 고갈로 인한 가격상승 및 기판과의 낮은 접착력, 열팽창계수의 차이로 인한 공정상의 문제, 산화물 특유의 취성으로 인한 유연소자로서의 내구성 저하 등의 문제가 제기되고 있다. 전도성 유기고분자의 경우는 낮은 전기전도도와 기계적강도, 유기용매 처리 등의 문제점이 지적되고 있다. 따라서 높은 전기전도도와 투광도 뿐만 아니라 유연성을 지니는 재료의 개발이 요구되고 있는 실정이다. 최근 이러한 재료로서 그래핀(graphene)과 탄소나노튜브(carbon nanotube; CNT)를 중심으로 하는 탄소나노재료가 주목받고 있으며 많은 연구가 활발히 진행되고 있다. 본 연구에서는 열화학기상증착법(thermal vapor deposition; TCVD)으로 합성된 그래핀 및 CNT를 이용하여 탄소나노재료 복합체 기반의 유연성 투명 전도막을 제작하고 그 특성을 평가하였다. 그래핀과 CNT합성을 위한 기판으로는 각각 300 nm 두께의 니켈과 1 nm 철이 증착된 실리콘 웨이퍼를 이용하였으며, 원료가스로는 메탄(CH4)과 아세틸렌(C2H2)등의 탄화수소가스를 이용하였다. 그래핀의 경우 원료가스의 유량, 합성온도, 냉각속도를 변경하여 대면적으로 두께균일도가 높은 그래핀을 합성하였으며, CNT의 경우 합성시간을 변수로 길이 제어합성을 도모하였다. 합성된 그래핀은 식각공정을, CNT는 스프레이 증착공정을 통해 고분자 기판(polyethylene terephthalate; PET) 위에 순차적으로 전사 및 증착하여 탄소나노재료 복합체 기반의 유연성 투명 전도막을 제작하였다. 제작된 탄소나노재료 복합체 기반의 유연성 투명 전도막은 물리적 과부하를 받았을 때 발생할 수 있는 유연성 투명 전도막의 구조적결함에 기인하는 전도성 저하를 보상하는 특징이 있어, 그래핀과 탄소나노튜브 각각으로 제조된 유연성 투명 전도막보다 물리적인 하중이 반복적으로 인가되었을 때 내구성이 향상되는 효과가 있다. 40% 스트레인을 반복적으로 인가하였을 때 그래핀 투명 전도막은 20 사이클 이후에 면저항이$1-2{\Omega}/sq.$ 에서$15{\Omega}/sq.$ 이상으로 급증한 반면 그래핀-CNT 복합체 투명 전도막은 30사이클까지$1-2{\Omega}/sq.$ 정도의 면저항을 유지하였다. -
The SWCNTs network are formed on various plastic substrates such as poly(ethylene terephthalate) (PET), polyimide (PI) and soda lime glass using roll-to-roll printing and spray process. Selective patterning of carbon nanotubes film on transparent substrates was performed using a femtosecond laser. This process has many advantages because it is performed without chemicals and is easily applied to large-area patterning. It could also control the transparency and conductivity of CNT film by selective removal of CNTs. Furthermore, selective cutting of carbon nanotube using a femtosecond laser does not cause any phase change in the CNTs, as usually shown in focused ion beam irradiation of the CNTs. The patterned SWCNT films on transparent substrate can be used electrode layer for touch panels of flexible or flat panel display instead indium tin oxide (ITO) film.
-
Peierls instability and spin ordering of zigzag graphene nanoribbons (GNR) created on a fully hydrogenated graphene (graphane) are investigated as a function of their width using first-principles density-functional calculations within the generalized-gradient approximation. For the width containing a single zigzag C chain (N=1), we find the presence of a Peierls instability with a bond alternated structure. However, for width greater than N=1, the Peierls distortion is weakened or disappears because of the incommensurate feature of Fermi surface nesting due to the interaction of C chains. Instead, there exists the antiferromagnetic (AFM) spin ordering in which the edge states are ferromagnetically ordered but the two ferromagnetic (FM) edges are antiferromagnetically coupled with each other, showing that electron-lattice coupling and spin ordering in GNR are delicately competing at an extremely thin width of N=2. It is found that, as the width of GNR increases, the energy gain arising from spin ordering is enhanced, but the energy difference between the AFM and FM (where two edge states are ferromagnetically coupled with each other) orderings decreases.
-
Kim, Gyeong-Jung;Park, Jae-Hui;Hong, Seung-Hwi;Choe, Seok-Ho;Hwang, Hye-Hyeon;Jang, Jong-Sik 207
Si quantum dot (QD) imbedded in a$SiO_2$ matrix is a promising material for the next generation optoelectronic devices, such as solar cells and light emission diodes (LEDs). However, low conductivity of the Si quantum dot layer is a great hindrance for the performance of the Si QD-based optoelectronic devices. The effective doping of the Si QDs by semiconducting elements is one of the most important factors for the improvement of conductivity. High dielectric constant of the matrix material$SiO_2$ is an additional source of the low conductivity. Active doping of B was observed in nanometer silicon layers confined in$SiO_2$ layers by secondary ion mass spectrometry (SIMS) depth profiling analysis and confirmed by Hall effect measurements. The uniformly distributed boron atoms in the B-doped silicon layers of$[SiO_2(8nm)/B-doped\;Si(10nm)]_5$ films turned out to be segregated into the$Si/SiO_2$ interfaces and the Si bulk, forming a distinct bimodal distribution by annealing at high temperature. B atoms in the Si layers were found to preferentially substitute inactive three-fold Si atoms in the grain boundaries and then substitute the four-fold Si atoms to achieve electrically active doping. As a result, active doping of B is initiated at high doping concentrations above$1.1{\times}10^{20}atoms/cm^3$ and high active doping of$3{\times}10^{20}atoms/cm^3$ could be achieved. The active doping in ultra-thin Si layers were implemented to silicon quantum dots (QDs) to realize a Si QD solar cell. A high energy conversion efficiency of 13.4% was realized from a p-type Si QD solar cell with B concentration of$4{\times}1^{20}atoms/cm^3$ . We will present the diffusion behaviors of the various dopants in silicon nanostructures and the performance of the Si quantum dot solar cell with the optimized structures. -
Kim, Yeong-Do;Lee, Gyeong-Dong;Kim, Seong-Tak;Kim, Hyeon-Ho;Bae, Su-Hyeon;Park, Seong-Eun;Tak, Seong-Ju;Kim, Dong-Hwan 208
알루미늄이 도핑된 p+후면 에미터 구조를 갖는 n-type 결정질 실리콘 태양전지를 제작하였다. 기판으로는 n-type Cz 실리콘 웨이퍼가 사용되었으며 크기, 두께 및 비저항은 각각 6"x 6",$200{\mu}m$ ,$3{\sim}5{\Omega}cm$ 이었다. 실험을 통하여 에너지 변환 효율 17.5%를 얻었다. 모든 공정은 p-type 실리콘 상용 태양전지 제작에 쓰이는 것과 동일하게 적용하였다. 또한 PC1D 시뮬레이션을 통하여 전면 전계의 두께 및 피크 농도, 기판의 소수 운송자 수명, 후면 에미터의 도핑 농도, 실리콘 기판의 두께를 변수로 하여 후면 에미터 구조의 n-type 실리콘 태양전지의 최적화 작업을 실시하였다. -
High-efficient transparent conductive oxide (TCO) film-embedding Si heterojunction solar cells were fabricated. An additional doping was not applied for heterojunction solar cells due to the spontaneous junction formation between TCO films and an n-type Si substrate. Three different TCO coatings were formed by sputtering method for an Al-doped ZnO (AZO) film, an indium-tin-oxide (ITO) film and double stacks of ITO/AZO films. An improved crystalline ITO film was grown on an AZO template upon hetero-epitaxial growth. This double TCO films-embedding Si heterojunction solar cell provided significantly enhanced efficiency of 9.23% as compared to the single TCO/Si devices. The effective arrangement of TCO films (ITO/AZO) provides benefits of a lower front contact resistance and a smaller band offset to Si leading enhanced photovoltaic performances. This demonstrates a potential scheme of the effective TCO film-embedding heterojunction Si solar cells.
-
O, Se-Ung;Yang, Chang-Jae;Sin, Geon-Uk;Jeon, Dong-Hwan;Kim, Chang-Ju;Park, Won-Gyu;Go, Cheol-Gi;Yun, Ui-Jun 210
Ge 기판을 이용한 GaInP/GaAs/Ge 삼중접합 태양전지는 43.5%의 높은 광전효율을 기록하고 있으며, 이를 지상용 태양광 발전시스템에 이용하려는 연구가 진행 중이다[1]. 그러나, 이러한 다중접합 태양전지는 셀 제작 비용에 있어 Ge기판의 가격이 차지하는 비중이 높고 대면적 기판을 이용하기 힘든 단점이 있다. 한편, 무게, 기계적 강도와 열전도도 측면에서 Si 기판은 Ge 기판에 비해 장점이 있다. 아울러, 상대적으로 낮은 가격의 대면적 기판을 사용할 수 있기 때문에 Si 기판으로 Ge 기판을 대체할 경우 다중접합 태양전지의 높은 제작 비용을 낮추는 효과도 기대할 수 있다. Si 기판의 장점을 취하며 고효율 태양전지를 제작하기 위해, 이번 실험에서 우리는 Ge 에피층이 성장된 Si 기판 위에 GaAs 태양전지를 제작하였다. GaAs, GaInP와 비슷한 격자상수를 갖고 있는 Ge과 달리, Si은 이들 물질(GaAs, GaInP)과 4%의 격자상수 차이를 갖고 있으며 이로 인해 성장과정에서 관통전위가 발생하게 된다. 이러한 관통전위는 소자의 개방전압을 감소시키는 원인으로 작용한다. 실제로 Si 기판 위에 제작된 GaAs/Ge 이중접합 태양전지에서 관통전위 밀도에 따른 개방전압 감소를 확인할 수 있었다. 관통전위로 인한 영향 이외에, Si 기판위에 제작된 태양전지에서는Ge 기판 위에 제작된 태양전지에 비하여 낮은 fill factor가 관찰되었다. 이것은 Si 기판 위에 제작된 GaAs/Ge 이중접합 태양전지가 높은 직렬저항을 가지고 있기 때문이다. 따라서 이번 실험에서는 Si 기판 위에 제작한 GeAs/Ge 이중접합 태양전지의 직렬저항의 원인을 전산모사와 실험을 통하여 규명하였다. TCAD (APSYS-2010)를 이용한 전산모사 결과, Si 기판의 낮은 불순물 농도 ($1{\times}10^{15}/cm^3$ )에 따른 직렬저항의 원인으로 파악되었으며, 전류-전압 특성을 측정하여 실험적으로 이를 확인하였다. 이러한 직렬저항 성분을 줄이기 위하여 Si 기판의 p형 불순물 농도가 전류 전압 특성 곡선에 미치는 영향을 전산모사를 통하여 알아보았으며, Si 기판의 불순물 농도가$1{\times}10^{17}/cm^3$ 이상으로 증가할 경우, 직렬저항 성분이 크게 감소 하는 것을 전산모사 결과로 예상할 수 있었다. -
Moon, Sun-Woo;Kim, Eun-Kyeom;Park, Won-Woong;Jeon, Jun-Hong;Choi, Jin-Young;Kim, Dong-Hwan;Han, Seung-Hee 211
The electrical loss of the photo-generated carriers is dominated by the recombination at the metal- semiconductor interface. In order to enhance the performance of the solar cells, many studies have been performed on the surface treatment with passivation layer like SiN, SiO2, Al2O3, and a-Si:H. In this work, Al2O3 thin films were investigated to reduce recombination at surface. The Al2O3 thin films have two advantages, such as good passivation properties and back surface field (BSF) effect at rear surface. It is usually deposited by atomic layer deposition (ALD) technique. However, ALD process is a very expensive process and it has rather low deposition rate. In this study, the ICP-assisted reactive magnetron sputtering method was used to deposit Al2O3 thin films. For optimization of the properties of the Al2O3 thin film, various fabrication conditions were controlled, such as ICP RF power, substrate bias voltage and deposition temperature, and argon to oxygen ratio. Chemical states and atomic concentration ratio were analyzed by x-ray photoelectron spectroscopy (XPS). In order to investigate the electrical properties, Al/(Al2O3 or SiO2,/Al2O3)/Si (MIS) devices were fabricated and characterized using the C-V measurement technique (HP 4284A). The detailed characteristics of the Al2O3 passivation thin films manufactured by ICP-assisted reactive magnetron sputtering technique will be shown and discussed. -
수소화된 실리콘 질화막은 결정질 태양전지 산업에서 반사방지막 과 패시베이션 층으로 널리 사용되고 있다. 또한, 수소화된 질화막은 금속 소성공정과 같은 높은 공정온도를 거친 후에도 결정질 실리콘 태양전지의 표면층으로서 충족되는 특성들이 변하지 않고 유지되어야 한다. 본 연구에서는 PECVD 장치를 이용한 수소화된 실리콘 질화막의 특성 변화에 대한 경향성을 알아보기 위하여 증착조건의 변수(온도, 증착거리, 무선주파수 전력, 가스비율 등.)들을 다양하게 가변하여 증착조건의 최적화를 찾았다. 이후 수소화된 실리콘 질화막의 전구체가 되는 사일렌(SiH4)과 암모니아(NH3) 가스비를 변화시켜가며 결정질 실리콘 태양전지에 사용되기 위한 박막의 광학 전기 화학적 그리고 표면 패시베이션 특성들을 분석하였다. 가스 비율에 따른 수소화된 실리콘 질화막의 굴절률 범위는 1.90-2.20까지 나타내었다. 결정질 실리콘 태양전지에 사용하기 위한 가장 적합한 특성은 3.6(NH3/SiH4)의 가스비율을 나타내었다. 이를 통하여 PECVD 내에서 구현 할 수 있는 가스의 혼합(SiH4+NH3+N2, SiH4+NH3, SiH4+N2)을 달리하여 박막의 광학적 및 패시베이션 특성을 분석하였다. 이후
$156{\times}156mm$ 대면적 결정질 실리콘 태양전지를 제작하여 SiH4+NH3+N2 의 가스 혼합에서 17.2%의 변환 효율을 나타내었다. -
It has been known that quantum confinement effect of CdSe nanocrystal was observed by increasing the number of deposition cycle using successive ionic layer adsorption and reaction (SILAR) method. Here, we report on thermally-induced quantum confinement effect of CdSe at the given cycle number using spin-coating technology. A cation precursor solution containing
$0.3\;M\;Cd(NO_3)_2{\cdot}4H_2O$ is spun onto a$TiO_2$ nanoparticulate film, which is followed by spinning an anion precursor solution containing$0.3\;M\;Na_2\;SeSO_3$ to complete one cycle. The cycle is repeated up to 10 cycles, where the spin-coated$TiO_2$ film at each cycle is heated at temperature ranging from$100^{\circ}C$ to$250^{\circ}C$ . The CdSe-sensitized$TiO_2$ nanostructured film is contacted with polysulfide redox electrolyte to construct photoelectrochemical solar cell. Photovoltaic performance is significantly dependent on the heat-treatment temperature. Incident photon-to-current conversion efficiency (IPCE) increases with increasing temperature, where the onset of the absorption increases from 600 nm for the$100^{\circ}C$ - to 700 nm for the$150^{\circ}C$ - and to 800 nm for the$200^{\circ}C$ - and the$250^{\circ}C$ -heat treatment. This is an indicative of quantum size effect. According to Tauc plot, the band gap energy decreases from 2.09 eV to 1.93 eV and to 1.76 eV as the temperature increases from$100^{\circ}C$ to$150^{\circ}C$ and to$200^{\circ}C$ (also$250^{\circ}C$ ), respectively. In addition, the size of CdSe increases gradually from 4.4 nm to 12.8 nm as the temperature increases from$100^{\circ}C$ to$250^{\circ}C$ . From the differential thermogravimetric analysis, the increased size in CdSe by increasing the temperature at the same deposition condition is found to be attributed to the increase in energy for crystallization with$dH=240cal/^{\circ}C$ . Due to the thermally induced quantum confinement effect, the conversion efficiency is substantially improved from 0.48% to 1.8% with increasing the heat-treatment temperature from$100^{\circ}C$ to$200^{\circ}C$ . -
최근 일본에서 일어난 지진과 쓰나미에 의한 원전 사고는 원자력의 안전성에 대한 매우 심각한 의문을 던져주었으며, 어떠한 경우에도 안전한 원자로의 필요성이 크게 대두하였다. 본 발표는 그러한 원자로로, 이러한 재난이 닥쳤을 때 핵분열 반응이 즉시 중지되는 가속기구동 원자로(accelerator-driven system)를 제시한다. 이것은 원자로를 임계치 아래로(sub-critical) 유지한 상태에서 외부에서 가속기를 이용하여 필요한 중성자를 공급하여 핵분열 반응을 유지하는 원자로로서, 재난 발생 시 가속기가 즉시 중지됨으로서 원자로 역시 즉각적으로 중지된다. 본 발표에서 그 동안 아이디어로 존재하던 이것의 타당성, 현실성, 전망 등에 대하여 설명한다.
-
Choe, Jin-Yeong;Park, Won-Ung;Jeon, Jun-Hong;Mun, Seon-U;Kim, Eun-Gyeom;Im, Sang-Ho;Han, Seung-Hui 215
급속한 산업의 발달은 심각한 환경오염 및 에너지 문제를 가져왔다. 이를 해결하기 위한 방안으로 수소에너지에 대한 관심이 증가하고 있으며, 수소에너지를 생산하는 방법 중 하나로 태양에너지를 원천으로 하는 광촉매(photocatalyst)에 대한 연구가 점차적으로 증가하고 있는 추세이다. 현재 광촉매로 가장 많이 사용되는$TiO_2$ 의 경우, 뛰어난 광활성과 저렴한 가격, 광 안정성, 화학적 안정성을 가짐에도 불구하고, 3.2 eV라는 넓은 band gap을 가지기 때문에 385 nm 이상의 긴 파장을 갖는 가시광선은 흡수할 수 없다. 또한, 광촉매 반응과정 중 recombination으로 인한 효율의 손실이 크기 때문에 이러한 문제들을 해결하기 위해 많은 연구가 진행되어 왔다. 본 연구에서는 ICP-assisted DC magnetron sputtering 방법을 이용하여 높은 결정성을 갖는$TiO_2$ 박막을 제조하였다. 제작된$TiO_2$ 박막은 높은 광촉매 특성을 나타냈으며, 또한$TiO_2$ 의 anatase phase와 rutile phase의 bilayer structure를 통하여 recombination을 감소시킴으로써 높은 효율을 갖는 광촉매를 제작하였다. 박막의 chemical state와 crystallinity를 확인하기 위하여 X-ray photoelectron spectroscopy와 X-ray diffractometer를 이용하여 분석을 수행하였으며, 물 분해 장치(water splitting device)를 제작하여 수소와 산소 생성시 흐르는 전류를 측정하여 광촉매 특성을 평가하였다. -
Park, Seong-Eun;Bae, Su-Hyeon;Kim, Seong-Tak;Kim, Chan-Seok;Kim, Yeong-Do;Tak, Seong-Ju;Kim, Dong-Hwan 216
The purpose of this work is to investigate a back surface field (BSF) on variety wafer resistivity for industrial crystalline silicon solar cells. As pointed out in this manuscript, doping a crucible grown Cz Si ingot with Ga offers a sure way of eliminating the light induced degradation (LID) because the LID defect is composed of B and O complex. However, the low segregation coefficient of Ga in Si causes a much wider resistivity variation along the Ga doped Cz Si ingot. Because of the resistivity variation the Cz Si wafer from different locations has different performance as know. In the light of B doped wafer, we made wider resistivity in Si ingot; we investigated the how resistivities work on the solar cells performance as a BSF quality. -
Solution-processed tungsten oxide thin film with thickness of about 30 nm is prepared from ammonium tungstate. This layer is introduced into the interface between the poly(3-hexylthiophene):[6,6]-phenyl-C61-butyric acid methyl ester (P3HT:PCBM) layer and the ITO electrode to be used as an electron blocking layer. The annealed tungsten oxide thin films at
$150^{\circ}C$ and$300^{\circ}C$ show amorphous phase, while the$400^{\circ}C$ -annealed tungsten oxide film shows crystalline phase. At$150^{\circ}C$ annealing temperature, the conversion efficiency is significantly improved from 0.71% to 1.42% as the condition is changed from vacuum to air atmosphere, which is related to oxidation state of tungsten in amorphous phase. For the air annealing condition, the conversion efficiency is further increased from 1.42% to 2.01% as the temperature is increased from$150^{\circ}C$ to$300^{\circ}C$ , which is mainly due to the removal of the chemisorbed water. However, a slight deterioration in photovoltaic performance is observed when the temperature is increased to$400^{\circ}C$ , which is ascribed to poor electron blocking ability due to the formation of crystalline phase. It is concluded that$W^{6+}$ oxidation state and amorphous nature in tungsten oxide interlayer is essential for blocking electron effectively from the active layer to the ITO electrode. -
Nanocrystalline
$SnO_2$ colloids are synthesized by hydrolysis of$SnCl_4{\cdot}5H_2O$ in aqueous ammonia solution. The synthesized$SnO_2$ nanoparticles with ca. 15 nm in diameter are coated on a fluorinedoped thin oxide (FTO) conductive substrate and heated at$550^{\circ}C$ . The annealed$SnO_2$ film is treated with aqueous$TiCl_4$ solution, which is sensitzied with MK-2 dye (2-cyano-3-[5'''-(9-ethyl- 9H-carbazol-3-yl)-3',3'',3''',4-tetra-n-hexyl-[2,2',5',2'',5'',2''']-quater thiophen-5-yl]). Compared to bare$SnO_2$ film, the conversion efficiency is significantly improved from 0.22% to 3.13% after surface treatment of$SnO_2$ with$TiCl_4$ , which is mainly due to the large increases in both photocurrent density from 1.33 to$9.46mA/cm^2$ and voltage from 315 to 634 mV. It is noted that little change in the amount of the adsorbed dye is detected from 1.21 for the bare$SnO_2$ to$1.28{\mu}mol/cm^2$ for the$TiCl_{4-}$ treated$SnO_2$ . This indicates that the photocurrent density increased by more than 6 times is not closely related to the dye loading concentration. From the photocurrent and voltage transient spectroscopic studies, electron life time increases by about 13 order of magnitude, whereas electron diffusion coefficient decreases by about 3.6 times after$TiCl_4$ treatment. Slow electron diffusion rate offers sufficient time for regeneration kinetics. As a result, charge collection efficiency of about 40% before$TiCl_4$ treatment is improved to 95% after$TiCl_4$ treatment. The large increase in voltage is due to the significant increase in electron life time, associated with upward shift of fermi energy. -
최근 진공 산업은 반도체 산업의 급속한 발전과 더불어 진공 산업이 핵심기술로 부각되고 있으며, 진공 산업의 발전이 고부가가치를 창출하는 산업으로 발전하고 있는 추세이다. 이에 (유)우성진공 기술연구소에서는 국내에서 개발이 전무한 소형급 600l/min. 급의 배기속도를 가지는 공랭식 건식진공펌프 개발과 더불어 중소기업 혁신기술 개발사업의 성공적인 수행으로 인해 상용화 단계에 있다. 본 연구에서는 소형(600l/min. 급) 공랭식 건식진공펌프에 개발 과정 및 성능에 대해 소개하고자 한다. 우선, 여타 건식진공펌프와는 달리 냉각방식이 수냉식이 아니라 공랭식 이라는 점에서 에너지 절감 및 설치 공간 제약이 없으며, 유지 비용을 절감할 수 있는 장점을 가지며, 국내에서는 소형급의 건식진공펌프가 없는 관계로 시장성을 높게 평가하고 있다. 소형급 공랭식 건신진공펌프의 냉각효율을 고려하여 하우징을 알루미늄 합금으로 제작을 하였으며, 냉각핀을 적절하게 배치하여 압축열을 효과적으로 방출하기 위한 구조가 될 수 있도록 설계하였고, 냉각팬에 의한 공랭효과를 극대화하기 위해 펌프 스킨을 사용하여 공기 유로를 형성토록 하였다. 또한, 루츠의 형상 및 각 단의 압축효율을 고려한 최적의 로터를 설계하기 위해 Involute Curve를 이용한 3-Lobe형 로터를 설계하였으며, 로터와 로터간의 Clearance를 유지 할 수 있도록 설계하였다. 향후 최적화된 로터 설계기술과 이형재질(알루미늄과 주철)간 열팽창이 고려된 적절한 clearance 유지기술을 적용하여 안정적인 배기속도 600l/min.와 도달진공도 0.005 torr를 가지는 소형 공랭식 건식드라이펌프를 상용화 하고자 한다. 또한 성공적인 과제 종료 및 기술 개발에 따라 건식진공펌프 시장에 신기술 개발 확산에 따른 기업들 간의 기술 경쟁력 촉진을 통한 국가 기술력 향상을 기대해 볼 수 있다.
-
최근 반도체 시장의 300 mm/450 mm wafer 급 이상의 첨단공정에서는 진공 펌프의 대용량화 및 에너지 절감을 위한 저소비 전력화 요구와 함께 공정 중 진공 펌프의 성능저하 및 이상 징후 발생 감지 혹은 예지 요구 및 예지 보수 기술이 높아지고 있는 추세이다. 이에 현재 경험에 의존하던 진공 펌프의 predictive and preventative maintenance (PPM) 주기 설정 기술이 매우 필요함을 알 수 있다. 본 연구에서는 지식경제부에서 지원하는 산업원천기술개발사업에 참여기관으로 수행하면서 한국표준과학연구원에서 주관기관으로 진행하는 스마트형 진공 배기 진단 제어 시스템 개발 과제를 통해 (유)우성진공에서 자체 개발하는 저진공 펌프에 적용 예정중인 smart형 PMS에 대해 소개하고자 한다. 진공 환경을 조성하는 핵심장치인 진공 펌프 상태는 진공 공정 환경 조성에 가장 주요한 변수이므로 이에 따른 상태 진단 기술은 진공 공정 제어 정밀도와 공정 신뢰성 확보에 필수적이며, (유)우성진공에서 자체 개발하는 드라이펌프 및 스크류펌프 등 저진공 펌프의 정밀한 실시간 상태진단을 통해 예지 보수 기술 및 신뢰성을 확보하고자 한다.
-
최근 선진국의 산업구조는 반도체, 디스플레이, IT 제품 등 고부가가치 산업으로 재편되고 있으며, 이에 따라 핵심 공정장비인 고진공펌프의 수요가 급격히 증대하고 있다. 고용량/대면적/초정밀 제품을 지향하는 개발추세에 따라 핵심 공정 장비로서 크라이오펌프의 활용도가 크게 증가하며 LCD 분야 등과 같이 수소 분자와 물 분자 등의 배기가 중요한 응용분야에 크라이오펌프의 수요가 대부분을 차지하고 있다. 또한 도래하는 한미FTA 체결에 따라 반도체, IT, 디스플레이 제조 장비용 고진공펌프의 수입이 급증할 것으로 예상되어 국내 진공업체에서도 크라이오 펌프의 개발이 진행되고 있다. 국내에서는 크라이오펌프 뿐만 아니라 핵심부품인 극저온 냉동기에 대한 기반 기술이 낙후되어 있는 실정이다. 본 연구에서는 지식경제부 지원 사업인 제조기반산업원천기술개발사업에 참여기관으로 수행하여 한국기계연구원과 급속 재생형 저진동 크라이오펌프의 기술 개발을 통해 전량 수입하는 고진공 펌프인 크라이오펌프를 국산화를 도모하고자 한다. 또한, 맥동관 냉동기는 기계적 구동부가 없어 구조가 간단하여 운전이나 보수가 용이하고, 장시간 운전에 신뢰성이 높으며 제조비용이 저렴하다. 이에 (유)우성진공에서는 연구 개발을 통해 상용화 단계에 있는 맥동관 냉동기 크라이오펌프를 소개하고자 한다.
-
위성체가 작동하는 우주환경인 고진공상태에서는 위성체의 부품에서 발생 할 수 있는 outgassing으로 인해 위성체가 오염되어 위성체의 성능이 저하될 수 있으며, 특히 이차면경(second surface mirror) 및 광학렌즈 등을 오염시킴으로써 위성체 본연의 임무수행 실패라는 결과를 초래할 수도 있다. 따라서 지상에서 위성체의 부품에 대해 고온(
$85^{\circ}C$ 이상)과 고진공($5.0{\times}10-3Pa$ 이하)의 상태를 모사하여 오염물질을 제거함으로써 outgassing의 발생을 막고, 아울러 오염근원을 검출할 수 있는 vacuum bake-out 시험이 필수적이라 할 수 있다. 이를 위해서 한국항공우주연구원 위성시험동에는 전용 bake-out 챔버가 설치되어 있으며 저진공용 dry pump와 booster pump를 이용하여 5.0 Pa의 저진공을 형성하고, 2대의 cryopump를 이용하여$5.0{\times}10-3Pa$ 이하의 고진공을 생성하게 되는데, Bake-out 챔버의 진공 배기시스템에 대하여 자세히 알아보고자 한다. -
국내에서 크라이오펌프를 생산한 지 여러해가 지나 냉동기 및 활성탄 어레이를 비롯한 대부분의 핵심 부품들을 가공하고 펌프를 조립하는 것을 자체적으로 해결하고 있지만 지금까지 펌프의 구조설계는 외국 제휴 회사에서 전수받은 것을 큰 수정없이 고수해 온 것이 현실이다. 따라서 펌프의 성능에 관한 별도의 시험과정 없이도 제공받은 성능값을 그대로 제시하는 것으로 충분했다. GVT(주)는 국내의 선도적인 크라이오펌프 전문생산 업체로서 독자적인 냉동기와 어레이 및 배플 설계에 기초한 신규 모델들을 정립하고 펌프를 생산하기 시작하였으며 다양한 크기의 표준용기를 마련하여 전 모델에 대해서 엄격한 시험검사를 시행하고 있다. 본 발표에서는 특별히 구경 200 mm UHV형 크라이오 펌프의 배기속도, 배기용량, cross-over 등 주요 배기성능 지표들을 측정한 결과 및 몬테카를로 계산을 통한 예측치와 비교한 결과를 소개한다.
-
국산 크라이오 펌프를 개발하는 사업이 1단계 3년을 지나 올해 2단계에 접어들었다. 그동안 기계연을 중심으로 맥동관형 냉동기 개발에 주력하면서 저온성능 향상에서 큰 진전을 보였고 2단계에서는 우성진공의 주도하에 펌프의 상용화를 목표로 하고 있다. 펌프는 냉동기 뿐만 아니라 활성탄 어레이와 열차폐 및 배플이 잘 설계되어야 최적의 배기성능을 나타낼 수 있다. 우선은 펌프의 목표성능을 좀 더 구체화할 필요가 있고, 각 부품들의 상세한 형상결정에 앞서 개략적인 배치설계에 대해 성능을 예측하여 목표성능과 비교하고 설계를 수정하는 것을 반복하여 전반적으로 구조설계의 최적화를 완성하는 것이 급선무이다. 본 발표에서는 이런 구조설계 최적화 작업의 처음 결과와 개발중인 크라이오 펌프의 최종 성능 목표치에 대해 소개한다.
-
Cryopump는 반도체 임플란타 공정, OLED분야, 신소재 개발, 표면분석 및 처리, 의료분야, 입자가속기, 핵융합 등 다양한 진공분야에 응용되는 고진공용 극저온펌프이다. 특히 향후로의 산업구조는 디스플레이, 반도체, IT 산업분야로 집중 재편될 것이기에, 이에 따른 핵심제조장비인 고진공 펌프의 수요가 급증할 것으로 판단된다. 그리고 이를 위한 핵심부품과 장비들의 국산화가 시급한 실정이다. 기술적인 측면에서 보자면 GVT는 미국의 Varian과 일본Ebara의 Cryopump 제조기술을 원천으로 한 회사로써 현재는 국내 유일의 G-M냉동기와 Cryopump 제조기술을 보유한 업체이다. 그리고 최근 오랫동안 정체되었던 관련 기술을 발전시켜 최적화된 한국형 G-M 냉동기 및 이를 장착한 다양한 사이즈의 고성능 Cryopump를 출시하게 되었다. 가장 큰 수확은 Cryopump의 성능을 크게 향상시켰으며 무엇보다 고객맞춤형으로 디자인할 수 있을 정도의 기술력을 확보하게 되었다는 점이다. Cryopump의 성능은 장착되는 Cryocooler(G-M냉동기)의 성능과 밀접한 관련이 있기 때문에 일차로 Cryocooler의 성능을 개선하고 이차로 이를 장착한 Cryopump의 성능을 개선하였다. 본 연구는 일차로 진행된 HP Series 2단 Cryocooler 4가지 모델 중 가장 범용인 HPM 모델과 HPS모델에 대한 제작과 성능시험에 관한 것이다. 이는 각각 기존의 ICP Series 펌프에 장착되던 Expander 535 모델 및 Expander 855 모델에 대한 설계 최적화의 결과물로써 내용은 Cryocooler에 대한 'Typical Performance Test(1st STG와 2nd STG의 온도가 각각의 Stage에 인가되는 Heat Load에 의해 그물망 형태의 그래프가 되도록 수행하는 시험법)'의 절차를 따라 수행되었다. HPM Cryocooler의 성능은 2nd STG Temp. 20K 와 1st STG Temp. 80K를 Heat Load 기준으로 하였을 경우, 각각 8.2W, 55.0W의 성능을 나타내었고 HPS Cryocooler의 성능은 2nd STG Temp. 20K 와 1st STG Temp. 72K를 Heat Load기준으로 하였을 경우, 각각 14.0W, 90.0W의 성능을 나타내었다. 1st STG Temp.를 72K로 정한 이유는 Power Supply의 용량 한계로 인해 90W이상의 Heat Load를 인가할 수 없었기 때문이다. 만약 성능 그래프의 경향성을 고려하여 1st STG Temp. 80K로 가정한다면, 각각 약 13W, 100W 정도의 성능을 가질 것으로 추정된다. 단, 본 시험에 사용된 Compressor는 GVT의 HC80Plus 모델로 내부에는 Helium용 5HP급 Scroll Type의 Compressor가 장착되어 있으며, 봉입압력 250Psig에 저압 100Psig기준, 65scfm의 유량을 가지는 압축기이다. 압축기와 Cryocooler의 조합은 1:1이었고 시험방법은 Cryocooler에 대한 GVT 자체규정에 따라 진행되었으며 밤과 낮 및 공장전체의 부하변동에 따른 냉각수 온도변화에 따른 펌프의 성능변화는 고려되지 않았다.
-
방사광 가속기의 좁은 간격 언듈레이터 진공용기를 지나는 전자의 진행을 방해하는 wakefield 효과를 최소화하기 위해서는, 진공용기의 내부 표면이 매끄럽게 제작되어야 한다. 알루미늄 진공용기의 일반 압출 직후 내부 표면 거칠기는 이러한 요구 조건을 만족하지 못하며, 다양한 표면처리를 통하여 원하는 표면 조도를 얻을 수 있다. 여기서는 일반 압출 공정만 거친 시료와 압출 이후 표면처리를 거친 시료의 내부 표면 조도를 비교하였다.
-
It is significant issue to control the unwanted transverse electric (TE) modes in the vacuum chamber for preventing the position reading noise from the beam position monitor (BPM) of storage ring. We introduce shunt structure to control the frequency distribution of TE resonance modes excited in the vacuum chambers of the Pohang Light Source II (PLS-II). The design of shunt structure is performed using the full three dimensional finite-difference time-domain (FDTD) simulation. It is verified that the sympton of the BPM noise is not oberved up to the beam current of 190 mA in the commmissioning of PLS-II.
-
제4세대 가속기용 언듈레이터 진공용기는 길이가 긴 반면에 그 단면은 매우 작고 또 정밀하게 제작되어야 한다. 이 진공용기는 알루미늄을 재료로 압출법으로 일차 모양을 만든 후에 정밀 기계가공으로 제작한다. 언듈레이터 제작을 성공적으로 수행하기 위하여서는 길이 6,000 mm에서 평탄도 0.1 mm, 두께 0.5 mm를 가지는 정밀 진공용기 제작 공정을 확보하여야 한다. 포항 가속기 연구소 진공팀에서는 이 같은 초정밀 진공용기를 제작하기 위한 정밀 압출, 초정밀 기계가공 공정을 개발하고 있으며 공정 개발용 1차 시제품을 제작하고 있다. 본 논문에서는 현재까지 수행한 공정 개발 및 그 결과를 정리하여 보고하며 앞으로의 개발 과정도 다루고자 한다.
-
7개 나라가 참여해서 공동으로 제작하고 있는 국제핵융합실험로(ITER)는 2020년경에 제작 설치가 완료될 예정이다. ITER 장치에는 6개의 시험 블랑켓 모듈(Test Blanket Module : TBM)이 장착될 예정이며, 그 중에서 한국도 1개를 독자적으로 제작해서 설치할 예정이다. 한국형 헬륨 냉각 고체형 증식(Helium Cooled Solid Breeder : HCSB) TBM이며, 한국은 ITER 참여국 중 유일하게 중성자 반사 재료를 채택한 것이 특징이다. 중성자 반사재료로는 지름 1 mm 내외의 흑연 페블에 SiC를 코팅해서 사용할 예정이다. SiC는 고온저방사화 물질로 차세대 핵융합로의 구조 재료로도 개발되고 있는 물질로, 이렇게 하면 흑연의 단점인 기계적 특성 향상뿐만 아니라, 산화나 화재 등에 대한 사고의 부담도 크게 줄일 수 있는 장점이 있다. 흑연위에 SiC를 코팅하는 방법은 여러 가지가 있으며, 그 중에서 비교적 간단한 건식 방법은 RF Sputtering, PECVD 등이 있다. 건식은 코팅방법이 간단하고 비교적 쉬운 편이지만 페블표면에 양질의 SiC 박막을 얻기가 쉽지 않은 단점이 있다. 이들 방법보다 습식법은 코팅이 까다롭지만 양질의 코팅막을 비교적 쉽게 얻을 수 있는 장점이 있다. CVD의 경우 전구체 물질로 여러 가지 물질이 사용될 수 있으며 대표적으로
$SiH_4$ ,$Si(CH_3)_4$ ,$CH_3SiCl_3$ 등이 있으며, 캐리어 가스로는$H_2$ 가 사용된다. 이렇게 얻어진 SiC 코팅페블은 흑연에 비해 파괴강도도 향상되고 마모 등에 강한 것을 확인할 수 있었다. -
반도체 공정에서 일반적으로 오염입자를 측정하는 방법은 테스트 웨이퍼를 ex-situ 방식인 surface scanner를 이용하여 분석하는 particle per wafer pass (PWP) 방식이 주를 이루고 있다. 이러한 오염입자는 반도체 수율에 결정적인 역할을 하는 것으로 알려져 있으며 반도체 선폭이 작아지면서 제어해야하는 오염입자의 크기도 작아지고 있다. 하지만, 현재 사용하는 PWP 방식은 실시간 분석이 불가능하기 때문에 즉각적인 대처가 불가능 하고 이는 수율향상에 도움이 되지 못하는 후처리 방식이다. 따라서 저압에서 오염입자를 실시간으로 측정할 수 있는 장비에 대한 요구가 늘어나고 있는 실정이다. 저압에서 나노입자를 측정할 수 있는 장비로 PBMS가 있다. PBMS는 electron gun을 이용하여 입자를 하전시킨 후 편향판을 이용하여 크기를 분류하고 Faraday cup으로 측정된 전류를 환산하여 입자의 농도를 측정하는 장비이다. 편향판에 의하여 Faraday cup으로 이동되는 입자들은 농도 차에 의한 확산현상이 발생한다. 본 연구에서는 Faraday cup 이동 시 발생하는 확산현상을 여러 크기의 Faraday cup과 polystyrene latex (PSL) 표준입자를 이용하여 분석하였다. Faraday cup을 고정 식이 아닌 이동 식으로 설계를 하여 축의 원점을 기준으로 이동시켜 가면서 입자 전류량을 측정하였으며, 이를 기준 (reference) Faraday cup의 측정량과 비교하여 효율을 계산하였다. PSL 표준 입자 100, 200 nm 크기에 대하여 cup의 크기를 바꿔 가면서 각각 평가 하였다. 그 결과 입자의 크기가 작을 수록 더 넓은 구간으로 확산되었고 크기가 작은 Faraday cup의 경우에 정밀한 결과를 얻을 수 있었다. 본 연구를 통하여 편향판을 지나면서 발생하는 입자의 확산현상에 대한 정량적 평가를 수행할 수 있었으며, 추후 PBMS 설계 시 Faraday cup 크기를 결정하고 Faraday cup array 기술을 적용하는데 유용하게 활용 될 수 있을 것으로 기대 된다.
-
나노입자가 가지는 고유한 특성이 부각되면서 이를 소자 특성 향상에 응용하고자 하는 연구가 집중적으로 이루어지고 있다. 박막에 포함된 나노입자는 메모리, 고효율 박막형 태양전지 등에 이용될 수 있는 가능성을 보여주었으며, 나노입자에 기반 하는 소자 제조에 관한 연구가 이루어지면서 플라즈마 내 발생하는 나노입자를 이용하여 패터닝 등에 적용하고자 하는 연구가 국내외에서 활발히 이루어지고 있다. 특히 플라즈마에서 발생하는 나노입자는 플라즈마 내 전기적 및 화학적 특징으로 인해 다른 입자 제조 공정과 달리 응집이 없는 균일한 입자를 제조할 수 있다. 이러한 플라즈마 내 발생 입자를 응용하기 위해서는 각각의 응용 분야에 적합한 입경 분포 제어가 요구된다. 하지만 입자 합성 시 크기분포 특성에 관한 연구는 기존의 포집 및 전자현미경을 이용한 방법으로 실시간으로 분석하기에는 한계가 있다. 따라서 본 연구에서는 저압에서 실시간으로 나노입자 분포를 측정할 수 있는 PBMS (particle beam mass spectrometer)를 이용하여, PECVD (plasma enhanced chemical vapor deposition)의 입자 생성 조건에 따라 continuous, pulse, dual pulse로 분류되는 공정 조건에서 생성되는 입자의 크기 분포를 측정하였다. 또한 그 결과를 기존의 동일한 조건에서 포집 후 SMPS (scanning mobility particle sizer)와 전자 현미경을 이용하여 분석한 결과와 비교하였다. 실리콘 나노 입자의 측정은 PBMS 장비의 전단 부분을 PECVD 장치 내부에 연결하여 진행하였다. PECVD를 이용한 실리콘 나노입자 형성의 주요 변수는 RF pulse, 가스(Ar, SiH4, H2)의 유량, Plasma power, 공정 압력 등이 있으며 각 변수를 조절하여 공정 환경을 구성하였다. 결론적으로 본 연구를 통하여 PECVD를 이용해 각각의 공정 환경에서 생성되는 실리콘 나노입자의 실시간 입경 분포 분석을 PBMS로 수행하는 것에 신뢰성이 있음을 알 수 있었으며, 그 경향을 확인할 수 있었다. 추후 지속적 연구에 의해 변수에 따른 나노입자 생성을 데이터베이스화 하여 요구되는 응용분야에 적합한 특성을 가지는 나노입자를 형성하는 조건을 정립 하는데 중요한 역할을 할 것을 기대할 수 있다.
-
Saddle field ion source는 구조가 간단하고 영구자석을 사용하지 않아 소형화에 유리하고 구조가 간단한 DC 파워서플라이를 이용하기 때문에 장치 가격이 저렴하여 다양한 분야에서 응용되고 있으며 특히 이온빔 밀링 분야에 많이 사용된다. 초기 saddle field ion source 는 대칭형의 구형이었으나 지속적인 연구 개발로 와이어형, 원판형, 원통형 등 다양한 형태의 saddle field ion source가 개발되었다. 본 연구에서는 비교적 제작이 용이하고, 구조적으로 외부간섭에 대하여 덜 민감한 원통형 saddle field ion source를 제작하였다. 초기 saddle field ion source는 이온원 내부에 saddle field를 형성하기 위하여 대칭 구조를 가지 형태로 제작되었으나, 비대칭 구조에서도 saddle field가 형성될 수 있고 비대칭 구조를 채택할 경우 한쪽으로 더 많은 이온빔을 인출할 수 있기 때문에 실제 응용면에서는 비대칭 구조가 더 유리하다. 따라서 본 연구에서는 원통형 비대칭 saddle field ion source를 제작하였으며, 제작된 이온소스는 높이가 62 mm 지름이 55 mm의 소형 이온소스였다. 제작된 원통형 saddle field ion source는 진공도와 가속전압에 따라 방전 모드 변화하였다. Saddle field ion source는 전극과 extractor의 구조에 따라 조금씩 다르지만 대체로 5x10-5 Torr ~ 5x10-4 Torr 영역에서 안정적으로 작동하였다. 이온소스 내부의 압력이 높을 경우 수십 mA 의 방전 전류가 흐르는 고전류 방전 모드로 작동하였으며 압력이 낮을 경우에는 동일한 전압에서 수 mA 의 방전 전류만 흐르는 저전류 방전 모드로 작동하였다. 압력이 더 높아질 경우 아크 방전이 발생하여 이온소스의 작동이 불안정하여 연속적인 작동이 어려웠다. 고전류 방전 모드에서는 이온빔 전류가 Child-Langmuir 방정식에 따라 Vi3/2에 비례하여 증가하는 경향을 보여주었으며 저전류 방전 모드에서는 Vi에 선형적으로 증가하였다. 가속 전압이 동일한 경우 고전류 방전 모드가 저전류 방전 모드에 비하여 더 많은 이온빔 인출이 가능하지만, 고전류 방전 모드의 경우 이온의 방출 각도가 매우 넓은 반면 저전류 방전 모드에서는 이온빔의 퍼짐이 현저히 줄어듦을 관찰할 수 있었다. 원통형 saddle field ion source는 내부 구조가 간단하기 때문에 내부 전극의 구조 변화에 따라 방전 특성 및 이온빔 인출 특성이 심하게 변동하였다. Saddle field ion source에서는 Anode에 인가되는 방전 전압이 가속 전압과 같은 역할을 하는데 가속 전압은 2~10 kV 사이에서 인가가 가능하였다. 일반적으로 동일한 방전 모드에서 진공도가 높아질수록 방전 전류의 양과 인출되는 이온의 양이 증가하는 것이 관찰되었다. 제작된 이온소스는 최적 조건에서 5 mm 인출구를 통하여 0.7 mA의 이온빔 인출이 가능하였으며, 9 mm 인출구를 사용한 경우 1 mA까지 이온빔 인출이 가능하였다.
-
반도체/디스플레이 공정의 설치 layout의 단순화와 전체 공정 cost의 저감을 위하여 대용량, 고진공 성능의 진공시스템 수요가 증대되고 있다. 이로써 고진공 펌프 국산화의 일환으로 터보분자 펌프와 크라이오 펌프 개발이 진행 중이다. 개발 시제품의 최종 상용화 단계에 진입하기 위한 목적으로 핵심부품의 진공, 기계적 특성평가, 운전상태 및 진공 특성평가, 신뢰성 확보/공정대응성 평가를 위한 관련 기술 및 장치가 개발되었다. 본 연구에서는 터보분자펌프의 공정별 gas load 다변화에 따른 기계적 안정성과 작동 신뢰성의 확인을 위해 내구성 평가 장치를 설계/제작하였다. 상용화 제품의 성능 테스트를 통한 RPM, 소비전력, 압력 그리고 온도 등의 패턴을 확인하여 내구성 평가시스템의 신뢰성을 확인하고 터보분자펌프의 성능 특성 변화를 고찰하고자 한다. 본 연구는 지경부 산업원천기술개발사업 중 "초고진공펌프 개발" 사업의 제 3 세부과제인 "고진공펌프 종합특성평가시스템 개발(과제번호: 11201044)" 및 "스마트형 진공 배기 진단 제어 시스템 개발(과제번호: 11201038)" 과제에서 수행된 연구결과의 일부임.
-
Min, Gwan-Sik;Cha, Deok-Jun;Yun, Ju-Yeong;Gang, Sang-U;Sin, Yong-Hyeon;Yun, Seok-Rae;Park, Won-Yeong;Lee, Gyu-Chan;Kim, Jin-Tae 236
QMS(Quadruple Mass Spectrometer)를 사용하여 혼합 기체의 조성비를 측정하는 기술로 He,$NF_3$ ,$CF_4$ ,$SF_6$ 가 포함된 공정에서 사용되는 가스를 사용하여 실제 조성비를 정량적으로 구하는 방법을 연구하였다. 실험을 위해 압력을$1{\times}10^{-8}Torr$ 로 배기하였고, 반복 실험을 통하여 최적의 값으로 QMS를 튜닝을 한 후 He,$NF_3$ ,$CF_4$ ,$SF_6$ 가스에 대한 감도를 구하였다. 측정된 감도 값을 바탕으로 총 10회의 반복 측정한 데이터를 이용하여 농도 값을 얻었다. 사용된 가스는 한국표준과학연구원 표준가스실에 제작한 가스이다. 실험 데이터를 이용한 농도와 실제 농도를 비교한 결과 5% 이내의 오차 범위에서 농도 측정이 가능했다. -
반도체 소자를 취급하는 반도체 산업은 여러 산업 중에서도 부가가치율이 높은 것의 하나이다. 반도체 공정은 산화막과 질화막은 각각 다양한 두께와 방법으로 제조되고 있으며 CVD, PEALD 이용한 증착 공정을 기반으로 하고 있다. 하지만 양산에서의 많은 문제 요소를 가지고 있다. 첫째, 양적인 실시간과 전구체의 정상상태를 확인 할 수 없으므로 인한 질 적인 저하등을 요소를 가지고 있으며 둘째, 양산 후 남은 전구체를 외관상의 변색, 점도 변화를 통해 변질을 확인하고 전구체를 교체함으로써 엄청난 경제적인 손실과 안정적인 공급에 어려움이 있다. 그러므로 본 연구에서는 reference 전구체와 공정에서 사용된 전구체를 이용하여 Vapor Pressure 측정과 FT-IR (Fourier transform-infrared), QMS을 이용하여 개발된 전구체의 기상 안정성 및 반응성을 실시간으로 진단하여 기존의 전구체와의 차별성을 확인하고 우수한 전구체를 선별하기 위한 연구를 진행하였다. 또한 변화에서의 분자 상태 변형을 진담함으로 인해 기업의 양산의 경제적인 손실을 줄일 수 있을 뿐만 아니라 성장 조건에 따라 전구체 박막 특성을 논의 할 수 있을 것이다.
-
현재 국내 주얼리(gold alloy) 제품을 생산함에 있어 주조 방법은 크게 대기 중 주조(흡입주조) 방법과 진공주조 방법으로 나누어진다. 국내 주얼리 제조업체의 약 90%이상이 대기 중에서 주조하는 흡입주조방법을 통해 제품을 제작하고 있고, 국외의 경우, 대다수 진공주조방법을 통해 제품을 제작하고 있다. 본 연구에서는 주얼리 제품을 생산할 때 사용되는 합금재료(master alloy)가 동일한 조건에서 주조방법을 달리하여 각각 24개씩 총 48개의 14K yellow gold alloy 제품을 제작한 후 열처리를 통해 각각의 기계적, 물리적 특성분석을 비교 분석하였다. EPMA (Electron Probe Micro Analysis)분석을 통해 합금재료 및 제품의 구성성분을 조사하였고, ICP-MS (Inductively Coupled Plasma Mass Spectroscopy)를 사용하여 성분에 대한 정량분석을 실시하였다. 용체화처리(solid solution treatment)는
$700^{\circ}C$ , 30분의 조건으로 실시하였고, 시효경화처리(age-hardening)는$200{\sim}300^{\circ}C$ 의 온도범위에서$50^{\circ}C$ 간격으로 실시하였다. 열처리 전과 후 시료의 grain 들의 배열 및 size 변화를 관찰하기 위해 식각 후 OM (optical microscope) 및 SEM (Scanning Electron Microscope)를 통해 분석하였다. 열처리 전 제품의 경도측정결과 대기 중 흡입주조방법 및 진공 주조방법을 통해 제작된 제품이 각각 119 Hv, 126 Hv로 나타났고, 용체화 처리 후 98 Hv, 92 Hv로 감소하였다. 시효경화 처리 후의 경도변화는 대기 중 흡입주조 및 진공주조방법을 통해 제작된 제품 모두$270^{\circ}C$ 에서 각각 154 HV, 166 HV로 가장 높은 경도 값을 나타내었고,$270^{\circ}C$ 이상에서는 과시효(over aging)현상으로 인해 경도 값이 다시 감소하는 경향을 나타내었다. EPMA mapping 분석을 통해 주조방법에 따라 각각 제품의 구성성분분포도를 확인하였다. 이를 통해 열처리 전 다소 불균일하게 분포되었던 성분들이 열처리 후 균일해짐을 확인할 수 있었다. -
With advancements in semiconductor device technologies, manufacturing processes are getting more complex and it became more difficult to maintain tighter process control. As the number of processing step increased for fabricating complex chip structure, potential fault inducing factors are prevail and their allowable margins are continuously reduced. Therefore, one of the key to success in semiconductor manufacturing is highly accurate and fast fault detection and classification at each stage to reduce any undesired variation and identify the cause of the fault. Sensors in the equipment are used to monitor the state of the process. The idea is that whenever there is a fault in the process, it appears as some variation in the output from any of the sensors monitoring the process. These sensors may refer to information about pressure, RF power or gas flow and etc. in the equipment. By relating the data from these sensors to the process condition, any abnormality in the process can be identified, but it still holds some degree of certainty. Our hypothesis in this research is to capture the features of equipment condition data from healthy process library. We can use the health data as a reference for upcoming processes and this is made possible by mathematically modeling of the acquired data. In this work we demonstrate the use of recurrent neural network (RNN) has been used. RNN is a dynamic neural network that makes the output as a function of previous inputs. In our case we have etch equipment tool set data, consisting of 22 parameters and 9 runs. This data was first synchronized using the Dynamic Time Warping (DTW) algorithm. The synchronized data from the sensors in the form of time series is then provided to RNN which trains and restructures itself according to the input and then predicts a value, one step ahead in time, which depends on the past values of data. Eight runs of process data were used to train the network, while in order to check the performance of the network, one run was used as a test input. Next, a mean squared error based probability generating function was used to assign probability of fault in each parameter by comparing the predicted and actual values of the data. In the future we will make use of the Bayesian Networks to classify the detected faults. Bayesian Networks use directed acyclic graphs that relate different parameters through their conditional dependencies in order to find inference among them. The relationships between parameters from the data will be used to generate the structure of Bayesian Network and then posterior probability of different faults will be calculated using inference algorithms.
-
Semiconductor industry has been taking the advantage of improvements in process technology in order to maintain reduced device geometries and stringent performance specifications. This results in semiconductor manufacturing processes became hundreds in sequence, it is continuously expected to be increased. This may in turn reduce the yield. With a large amount of investment at stake, this motivates tighter process control and fault diagnosis. The continuous improvement in semiconductor industry demands advancements in process control and monitoring to the same degree. Any fault in the process must be detected and classified with a high degree of precision, and it is desired to be diagnosed if possible. The detected abnormality in the system is then classified to locate the source of the variation. The performance of a fault detection system is directly reflected in the yield. Therefore a highly capable fault detection system is always desirable. In this research, time series modeling of the data from an etch equipment has been investigated for the ultimate purpose of fault diagnosis. The tool data consisted of number of different parameters each being recorded at fixed time points. As the data had been collected for a number of runs, it was not synchronized due to variable delays and offsets in data acquisition system and networks. The data was then synchronized using a variant of Dynamic Time Warping (DTW) algorithm. The AutoRegressive Integrated Moving Average (ARIMA) model was then applied on the synchronized data. The ARIMA model combines both the Autoregressive model and the Moving Average model to relate the present value of the time series to its past values. As the new values of parameters are received from the equipment, the model uses them and the previous ones to provide predictions of one step ahead for each parameter. The statistical comparison of these predictions with the actual values, gives us the each parameter's probability of fault, at each time point and (once a run gets finished) for each run. This work will be extended by applying a suitable probability generating function and combining the probabilities of different parameters using Dempster-Shafer Theory (DST). DST provides a way to combine evidence that is available from different sources and gives a joint degree of belief in a hypothesis. This will give us a combined belief of fault in the process with a high precision.
-
From the combined studies of STM and synchrotron photoemission, it has been found that a
$CaF_2$ molecule is dissociated to Ca and F atoms on the$Si(114)-2{\times}1$ held at$500^{\circ}C$ at the initial adsorption stage. The Ca atoms form isolated and unique shapes of silicide molecules as shown in Fig. (a), while the F atoms are desorbed from the surface. On the other hand, beyond a$CaF_2$ coverage of 0.3 monolayer, as shown in Fig. (b), in addition to these silicide molecules, a 1-D facet [composed of (113) and (115) faces] adjacent to an etch pit has been observed, and F atoms are also detected from photoemission. These results imply that F atoms act as an etchant on Si(114) and CaF is adsorbed selectively on the (113) face of this facet. From the present studies, it has been concluded that, an insulating$CaF_2$ layer like that on Si(111) cannot be formed on Si(114), but a CaF-decorated nanofacet with a high aspect-ratio can be grown. -
Self-assembled monolayers (SAMs) prepared by aromatic thiols on gold surfaces have much larger potential for electronic device applications due to their electronic properties. In this study, the formation and structures of SAMs prepared by benzenethiol (BT), toluenethiol (TT), 2-fluorobenzenethiol (2-FBT), 3-fluorobenzenethiol (3-FBT), 4-fluorobenzenethiol (4-FBT), 4-chlorobenzenethiol (4-CBT), 4-fluorobenzenemethanethiol (4-FBMT), and 4-chlorobenzenemethanethiol (4-CBMT) on Au(111) were examined using scanning tunneling microscopy (STM) and Kelvin probe (KP) to explore the structure and electronic interface properties of eight differently substituted aromatic thiol SAMs on Au(111). And these values are compared with gas phase dipole moments computed by quantum chemical calculations for individual thiol molecules. It was revealed that all eight thiol-molecules form uniform SAMs on Au(111) at
$75^{\circ}C$ compared to lower solution temperature by STM observation. The work function change obtained in the KP measurements and calculated molecular dipole moments have the linear relationship while the 4-FBMT and 4-CBMT deviate from this tendency. -
Polymer memory devices have attracted considerable attention because of their advantages such as low cost potential, good scalability, flexibility, simplicity in structure, and large capacity for data storage. Metal/poly (4,4'-aminotriphenylene hexafluoroisopropylidenediphthalimide) (6F-TPA PI)/metal system has been found to show an electrical bi-stable behavior. Here, we show a novel set-up of 6F-TPA PI/Al sample in which holes are injected by photoelectron emission process instead of direct charge carrier injection via metal electrode. In this process, an irreversible electrical phase transition of 6F-TPA PI is found, leading to a write-once-read-many (WORM) behavior. The photoelectron spectroscopy results measured before and after the switching process revealed that the irreversible electrical phase transition of 6F-TPA PI is attributed to the chemical modification of the carbonyl group in phthalimide moiety.
-
Syntheses of oxide supported metal catalysts by wet-chemical routes have been well known for their use in heterogeneous catalysis. However, uniform deposition of metal nanoparticles with controlled size and shape on the support with high reproducibility is still a challenge for catalyst preparation. Among various synthesis methods, arc plasma deposition (APD) of metal nanoparticles or thin films on oxide supports has received great interest recently, due to its high reproducibility and large-scale production, and used for their application in catalysis. In this work, Au and Pt nanoparticles with size of 1-2 nm have been deposited on titania powder by APD. The size of metal nanoparticles was controlled by number of shots of metal deposition and APD conditions. These catalytic materials were characterized by x-ray diffraction (XRD), inductively coupled plasma (ICP-AES), CO-chemisorption and transmission electron microscopy (TEM). Catalytic activity of the materials was measured by CO oxidation using oxygen, as a model reaction, in a micro-flow reactor at atmospheric pressure. We found that Au/
$TiO_2$ is reactive, showing 100% conversion at$110^{\circ}C$ , while Pt/$TiO_2$ shows 100% conversion at$200^{\circ}C$ . High activity of metal nanoparticles suggests that APD can be used for large scale synthesis of active nanocatalysts. We will discuss the effect of the structure and metal-oxide interactions of the catalysts on catalytic activity. -
Smart catalyst design though novel catalyst preparation methods can improve catalytic activity of transition metals on reducible oxide supports such as titania by enhancement of metal oxide interface effects. In this work, we investigated Pt nanoparticles/titania catalysts under CO oxidation reaction by using novel preparation methods in order to enhance its catalytic activity by optimizing metal oxide interface. Arc plasma deposition (APD) and metal impregnation techniques are employed to achieve Pt metal deposition on titania supports which are prepared by multi-target sputtering and Sol-gel techniques. In order to tailor metal-support interface for catalytic CO oxidation reaction, Pt nanoparticles and thin films are deposited in varying surface coverages on sputtered titania films using APD. To assess the role of oxide support at the interface, APD-Pt is deposited on sputtered and Sol-gel prepared titania films. Lastly, characteristics of APD-Pt process are compared with Pt impregnation technique. Our results show that activity of Pt nanoparticles is improved when supported over Sol-Gel prepared titania than sputtered titania film. It is suggested that this enhanced activity can be partly ascribed to a very rough titania surface with the higher free metal surface area and higher number of sites at the interface between the metal and the support. Also, APD-Pt shows superior catalytic activity under CO oxidation as compared to Pt impregnation on sputtered titania support. XPS results show that bulk oxide is formed on Pt when deposited through impregnation and has higher proportion of oxidized Pt in the form of
$Pt^{2+/4+}$ oxidation states than Pt metal. APD-Pt shows, however, mild oxidation with large proportion of active Pt metal. APD-Pt also shows trend of increasing CO oxidation activity with number of shots. The activity continues to increase with surface coverage beyond 100%, thus suggesting a very rough and porous Pt films with higher active surface metal sites due to an increased surface area available for the reactant CO and$O_2$ molecules. The results suggest a novel approach for systematic investigation into metal oxide interface by rational catalysts design which can be extended to other metal-support systems in the future. -
기존형광등보다 에너지소비가 적고, 수명이 길다는 장점을 가진 LED소자는 조명분야뿐만 아니라 선박 및 해양플랜트시장에까지 적용분야가 확대되고 있다. 그러나 LED소자의 수명연장 및 제품신뢰성을 위해서 방열에 관한 연구가 필수적이며 특히, 해양환경적용을 위해서는 내부식성을 요구하는 방열 재료개발에 대한 연구가 필요하다. 일반적으로 방열판소재로 사용되는 알루미늄의 경우 열전도도가 우수하며, 대기 중에서 쉽게 생기는 자연산화막보다 내부식특성을 향상시키기 위해 현재 국내 외의 표면처리 방법으로 전기화학적 방법을 이용한 Anodizing기술을 적용하고 있다. 하지만, Anodizing에 사용되는 질산과 황산액을 처리하는 과정에서 유독물질을 발생시킴으로 유해물질사용제한 등 국제적으로 환경규제가 강화되고 있어 Anodizing기술의 적용이 제한적인 단점이 있다. 본 연구에서는 친환경적 기술인 Plasma Immersion Ion Implantation (PIII)방식을 사용하여 알루미늄표면에
$Al_2O_3$ 을 형성하였다. 최적의 산화막증착 조건을 찾기 위해 Gas Flow양, Pulse Voltage, 공정온도, 시간 등을 변수로 실험을 진행하였다. SIMS (Secondary ion mass spectroscopy)를 통해$Al_2O_3$ 박막두께 및 Oxygen의 정량분석을 하였으며, Anodizing처리된 알루미늄시편과 열전도특성과 내부식특성을 비교하기 위해 각각 Hot Disk 열전도율측정기와 Salt water tester chamber를 사용하였다. -
인산염을 기초로 한 다양한 촉매 기질의 합성과 더불어, 촉매활성를 가지는 여러 가지 전이 금속을 이러한 기질에 도입한 다양한 이종상 촉매가 개발되어 왔다. 우리는 phosphoric acid 와 zirconyl chloride을 이용하여 간단한 방법으로
${\alpha}$ -zirconium phosphate (Zr(HPO4)2.H2O)을 합성하였다. Phosphoric acid의 농도 변화에 따른 crystallinity의 변화를 XRD를 통해 분석하였고, FE-SEM을 통하여 형태를 확인 하였다. 특히, 합성한 각각의 생성물을 다양한 종의 amine을 이용하여 intercalation 반응을 수행하였다. 이를 XRD, TEM을 통하여 결정 구조 변화를 확인 하였고, 더 나아가 잠재적 촉매로서의 응용성을 연구할 예정이다. -
The interaction of hydrogen with ZnO single crystal surfaces, ZnO(0001) and ZnO(000-1), has been investigated using a temperature programmed desorption (TPD) technique. Both surfaces do not interact with molecular hydrogen. When the ZnO(0001) is exposed to atomic hydrogen at 370 K, hydrogen is adsorbed in the surface and desorption takes place at around 460 K and 700 K. In ZnO(000-1), the desorption peaks are observed at around 440 K and 540 K. In both surfaces, as the atomic hydrogen exposure is further increased, the intensity of the low-temperature peak reaches maximum but the intensity of the high-temperature peak keeps increasing. In ZnO(000-1), the existence of hydrogen bonding to the surface O atoms and the bulk hydrogen has been confirmed by using X-ray photoelectron spectroscopy (XPS). When the Zn(0001) surface is exposed to atomic hydrogen at around 200 K, a new
$H_2$ desorption peak has been observed at around 250 K. The intensity of the desorption feature at 250 K is much greater than that of the desorption feature at 460 K. This low-temperature desorption feature indicates hydrogen is bonded to surface Zn atoms. We will report the effect of the ZnO structure on the adsorption and bulk diffusion of hydrogen. -
Recently, multiferroic materials have attracted much attention due to their fascinating fundamental physical properties and potential technological applications in magnetic/ferroelectric data storage systems, quantum electromagnets, spintronics, and sensor devices. Among single-phase multiferroic materials,
$BiFeO_3$ , in particular, has received considerable attention because of its very interesting magnetoelectric properties for application to spintronics. Enhanced ferromagnetism was found by Fe-site ion substitution with magnetic ions. In this study,$BiFe_{1-x}Ni_xO_3$ (x=0 and 0.05) bulk ceramic compounds were prepared by solid-state reaction and rapid sintering. High-purity$Bi_2O_3$ ,$Fe_3O_4$ and NiO powders were mixed with the stoichiometric proportions, and calcined at$450^{\circ}C$ for 24 h to produce$BiFe_{1-x}Ni_xO_3$ . Then, the samples were directly put into the oven, which was heated up to$800^{\circ}C$ and sintered in air for 20 min. The crystalline structure of samples was investigated at room temperature by using a Rigaku Miniflex powder diffractometer. The Raman measurements were carried out with a Raman spectrometer with 514.5-nm-excitation Ar+-laser source under air ambient condition on a focused area of$1-{\mu}m$ diameter. The field-dependent magnetization and the temperature-dependent magnetization measurements were performed with a vibrating-sample magnetometer. The x-ray diffraction study demonstrates the compressive stress due to Ni substitution at the Fe site.$BiFe_{0.95}Ni_{0.05}O_3$ exhibits the rhombohedral perovskite structure R3c, similar to$BiFeO_3$ . The lattice constant of$BiFe_{0.95}Ni_{0.05}O_3$ is smaller than of$BiFeO_3$ because of the smaller ionic radius of Ni3+ than that of Fe3+. The field-dependent magnetization of$BiFe_{0.95}Ni_{0.05}O_3$ exhibits a clear hysteresis loop at 300 K. The magnetic properties of$BiFe_{0.95}Ni_{0.05}O_3$ were improved at room temperature because of the existence of structurally compressive stress. -
A lot of studies are undergoing on the magneto-optical (MO) properties of patterned magnetic systems for the reason that they have potential application to information technology such as ultrahigh-speed computing. Moreover, they can be considered as the future candidates for high-density MO storage devices. Not only the technical aspects, but there have been also tremendous interests in studying their properties related to the fundamental physics. The MO Kerr-rotation effects (both in reflected and the diffracted modes) and the magnetic force microscopy (MFM) are very useful techniques to investigate the micromagnetic properties of such periodic structures. Hence, in this study, we report on the MO properties of bilayered Cobalt (Co)/ nickel (Ni) micro-patterned anti-dot arrays. Such a ferromagnetic structure was made by sequentially depositing co (40 nm)/Ni (5 nm) bilayer on a Si substrate. The anti-dot patterning with hole diameter of
$1{\mu}m$ was done only on the upper Co layer using photolithography technique, while the Ni underlayer was kept uniform. The longitudinal Kerr rotation (LKR) of the zeroth- and the first-order diffracted beams were measured at an incidence of$30^{\circ}$ by using a photoelastic modulator method. The external magnetic field was applied perpendicularly to the reflected and the diffracted beams using an electromagnet capable of a maximum field of${\pm}5$ kOe. Significantly, it was observed that the LKR of the first-order diffracted beam is nearly 4 times larger than that of the zeroth-order beam. The simulated results for the hysteresis loops matched qualitatively well with the experimentally obtained ones. In conjunction with the LKR, we also investigated the magnetic-domain structure by using a MFM system, which were analyzed to elucidate the origin of the enhanced MO rotation. -
It is widely accepted that short exciton diffusion lengths of organic semiconductors with respect to the film thickness limit the charge (hole and electron) separation before excitons recombination in organic photovoltaic (OPV) cells. Therefore the efficient absorption of incident light within the thin active organic layer is of great importance to improve the power conversion efficiency (PCE) of the cells. In this work, we fabricated 2-dimensionally (2D) nano-patterned poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) (PEDOST:PSS) layers using capillary phenomenon and nano-imprinting technology at the scale of several hundred nanometers. This 2D nano-patterned PEDOT:PSS layer exerted photonic crystal effect such as redirection of light paths and variation of light intensity at specified wavelengths. It is also expected that the consequently alternated light pass lengths and intensities change the absorption properties of zinc phthalocyanine (ZnPc) thin films grown on top of the nano-patterned PEDOT:PSS layer. The influence of conductivity and thickness of the PEDOT:PSS layer on the absorption properties of ZnPc thin films were also investigated.
-
Seo, Ok-Gyun;Hwang, Jae-Seong;Song, Da-Hyeon;Lee, Ji-Yeon;Choe, Jeong-Won;Lee, Su-Ung;Gang, Hyeon-Cheol;No, Do-Yeong 253
The effects of oxidation on the order-disorder transition in NiPt bimetallic alloy crystal have been investigated using in-situ synchrotron x-ray scattering technique. The temperature dependence of the crystal structure and the order parameter were measured during in-situ heating and cooling under vacuum and oxygen environments. The order-disorder transition temperature of NiPt alloy crystals in vacuum was between$615^{\circ}C$ and$627^{\circ}C$ . On the other hand under oxygen environment, the transition temperature decreases by about$31^{\circ}C$ after the oxidation. The change of the transition temperature can be explained by the formation of NiO crust on the surface of NiPt crystal, which alters the composition of the Ni and Pt atoms. Since the transition temperature depends sensitively on the Ni-Pt composition, the transition temperature changes as Ni atoms diffuse out to form NiO. -
최근 친환경 에너지원 및 에너지 저감기술을 바탕으로 한 자동차 부품산업이 재편되고 있다. 그 중, 극한의 산화전해질 환경에서 견뎌야하는 연료전지 분리막 소재와, 자동차 연비향상을 위한 엔진소재 개발 경쟁이 가열되는 상황이다. 이러한 소재에는 공통적으로 고 내식성과 내 마모성의 특성이 요구되는데, 스테인레스강은 이러한 조건에 적합한 소재이다. 왜냐하면, 사용분위기에 의해 산화막이 두꺼워지고 이로 인해 저항이 증가하는 현상 때문에 연료전지 부품에 질화를 하여 이런한 현상이 일어나지 않으면서 내식성은 유지하기 때문이다. 하지만, 표면경도가 낮아 내 마모성 저하로 부품의 수명을 떨어뜨리는 단점이 있다. 따라서, 고 내식성 유지하되, 표면경도는 향상하는 기술이 필요한데, S-phase 과고용 질화기술은 이러한 문제를 해결할 것으로 보여진다. 하지만, 이러한 층의 형성에도 불구하고, 스테인레스강 자체 소재제작 과정에서의 품질문제 및 가공경화로 인한 문제와 더불어 질화처리 후 표면계질의 석출상이나 크랙형성으로 인해 내식성은 오히려 저감되는 문제를 지니고 있다. 이에 대한 대안으로, 표면 질화처리 후 침탄 공정을 추가 도입하였다. 따라서, 본 연구 에서는 기존 질화공정에서 내식성 저하원인에 대한 분석 및 고찰하고, 또한 새롭게 제안된 질화 침탄 기술을 통해 질소뿐만 아니라 탄소원자의 침입으로 내식성 저하를 방지하는 동시에 표면경도 향상하는 새로운 연구결과를 보여주고자 한다.
-
DLC (Diamond Like Carbon) 박막은 높은 경도, 낮은 마찰계수, 내화학성 등의 우수한 트라이볼로지적 특성을 가지고 있기 때문에 다양한 산업분야에서 적용되고 있다. 하지만 강재에 대한 밀착력과 내열성은 단점으로 부각되어왔다. 이에 본 연구는 그런 단점을 보완하고자 PECVD(Plasmas Enhanced Chemical Vapor Deposition) 방법으로 DLC박막에 여러 가지 첨가원소(F,N,O)를 사용하여 증착시킨 후 그에 따른 기계적 특성을 평가하였다. DLC박막의 구조는 Raman Spectra을 통해, Sp3 (like diamond) peak와 Sp2 (like graphite) peak의 혼재 여부를 분석하였고, FE-SEM을 이용하여 막의 표면 및 단면을 관찰하였다. 스크래치 테스트를 통해 DLC박막의 밀착력을 측정하였으며, 볼 온 디스크 타입의 Tribo-meter을 이용하여 마찰계수 변화를 관찰하였다. 또한 나노인덴터를 이용하여 미소경도를 측정하였다. 그 결과 첨가원소에 따라 기계적 특성이 각각 다르게 나타났으며, DLC막이 가지고 있는 장점인 여러 분야에 적용시킬 수 있는 방안을 마련하고자 하였다.
-
Mun, Dae-Yong;Park, Jae-Hyeong;Han, Dong-Seok;Gang, Yu-Jin;Seo, Jin-Gyo;Yun, Don-Gyu;Sin, So-Ra;Park, Jong-Wan 256
Cu가 기존 배선물질인 Al을 대체함에 따라 resistance-capacitance delay와 electromigration (EM) 등의 문제들이 어느 정도 해결되었다. 그러나 지속적인 배선 폭의 감소로 배선의 저항 증가, EM 현상 강화 그리고 stability 악화 등의 문제가 지속적으로 야기되고 있다. 이를 해결하기 위한 방법으로 Cu alloy seed layer를 이용한 barrier 자가형성 공정에 대한 연구를 진행하였다. 이 공정은 Cu 합금을 seed layer로 사용하여 도금을 한 후 열처리를 통해$SiO_2$ 와의 계면에서 barrier를 자가 형성시키는 공정이다. 이 공정은 매우 균일하고 얇은 barrier를 형성할 수 있고 별도의 barrier와 glue layer를 형성하지 않아 seed layer를 위한 공간을 추가로 확보할 수 있는 장점을 가지고 있다. 또한, via bottom에 barrier가 형성되지 않아 배선 전체 저항을 급격히 낮출 수 있다. 합금 물질로는 초기 Al이나 Mg에 대한 연구가 진행되었으나, 낮은 oxide formation energy로 인해 SiO2에 과도한 손상을 주는 문제점이 제기되었다. 최근 Mn을 합금 물질로 사용한 안정적인 barrier 형성 공정이 보고 되고 있다. 하지만, barrier 형성을 하기 위해 300도 이상의 열처리 온도가 필요하고 열처리 시간 또한 긴 단점이 있다. 본 실험에서는 co-sputtering system을 사용하여 Cu-V 합금을 형성하였고, barrier를 자가 형성을 위해 300도에서 500도까지 열처리 온도를 변화시키며 1시간 동안 열처리를 실시하였다. Cu-V 공정 조건 확립을 위해 AFM, XRD, 4-point probe system을 이용하여 표면 거칠기, 결정성과 비저항을 평가하였다. Cu-V 박막 내 V의 함량은 V target의 plasma power density를 변화시켜 조절 하였으며 XPS를 통해 분석하였다. 열처리 후 시편의 단면을 TEM으로 분석하여 Cu-V 박막과$SiO_2$ 사이에 interlayer가 형성된 것을 확인 하였으며 EDS를 이용한 element mapping을 통해 Cu-V 내 V의 거동과 interlayer의 성분을 확인하였다. PVD Cu-V 박막은 기판 온도에 큰 영향을 받았고, 200도 이상에서는 Cu의 높은 표면에너지에 의한 agglomeration 현상으로 거친 표면을 가지는 박막이 형성되었다. 7.61 at.%의 V함량을 가지는 Cu-V 박막을 300도에서 1시간 열처리 한 결과 4.5 nm의 V based oxide interlayer가 형성된 것을 확인하였다. 열처리에 의해 Cu-V 박막 내 V은$SiO_2$ 와의 계면과 박막 표면으로 확산하며 oxide를 형성했으며 Cu-V 박막 내 V 함량은 줄어들었다. 300, 400, 500도에서 열처리 한 결과 동일 조성과 열처리 온도에서 Cu-Mn에 의해 형성된 interlayer의 두께 보다 두껍게 성장했다. 이는 V의 oxide formation energy가 Mn 보다 작으므로 SiO2와의 계면에서 산화막 형성이 쉽기 때문으로 판단된다. 또한,$V^{+5}$ 이온 반경이$Mn^{+2}$ 이온 반경보다 작아 oxide 내부에서 확산이 용이하며 oxide 박막 내에 여기되는 전기장이 더 큰 산화수를 가지는 V의 경우 더 크기 때문으로 판단된다. -
The SERS spectra of 4-aminobenzenethiol (ABT) have served as the "probe" molecule, which have helped spectroscopists to build up the electromagnetic (EM) and chemical (CHEM) enhancement mechanisms. In particular, the b2-peaks (9b, 3, and 19b) of the SERS spectra of ABT have been attributed to arise from the vibronic charge-transfer (CT) between Au or Ag surface and the ABT. Quite recently, however, Tian and co-workers [1] claimed that the b2-peaks are not the CT-enhanced spectra of ABT. Instead, these peaks arise from the 4,4'-dimercaptoazobenzenes (DMABs) that are produced by the oxidative coupling of two ABTs. Their claim is under intense debate currently. Herein, we studied spatially and temporally resolved SERS spectra of ABTs on Ag thin film (thickness of 10 nm), to investigate such claim. Herein, we present a series of additional evidences that strongly support that the b2 intensities of ABTs do not arise from the CT-enhancement: (1) the b2-peaks can be locally "activated" (i. e. turned on) irreversibly with focused laser radiation; (2) the TOF-SIM spectrometry on the activated region show depletion of ABT-Ag+ ions; and finally (3) the spatially resolved FT-IR spectra of the activated region show two pronounced peaks at 1377 cm-1 and 1460 cm-1, both of which can be assigned to the stretching mode of N=N bond. While the result does not disprove the existence of CT or CHEM enhancement in general, the results do show that previous interpretations of the spectra of ABTs should be re-interpreted.
-
Mo-Cu 합금은 열전도도, 전기전도도가 우수하고 합금조성에 따라 열팽창계수의 조절이 가능하여 반도체소재, 방열소재, 접점소재 등에 적용가능성이 높은 재료로 주목받고 있다. 또한 상태도 상에서 고용도가 전혀 없기 때문에 박막을 제작하였을 경우, 나노 복합체 형성이 용이하고 질소 분위기에서는 MoN-Cu로 상분리가 가능하여 하드상과 소프트상의 물성을 동시에 보유한 박막 제작이 가능하다. 또한 고온에서 산화반응에 의해 생기는
$MoO_3$ ,$CuO_3$ 와 같은 준안정상의 산화물들은 육방정계 구조(HCP)를 가지며 전단특성이 우수하여 자동차 저마찰 코팅재료로써 많은 연구가 진행되고 있다. 반면, Mo-Cu 는 상호간에 고상은 물론 액상에서도 고용도가 전혀 없기 때문에 일반적인 방법으로는 합금화 또는 복합화가 어렵다. 또한 Mo-Cu 박막을 제작할 경우 복수의 타겟을 이용해야 하기 때문에 성분조절과 구조적 제어가 불리하고 공정의 복잡화라는 단점을 가지고 있으며 추가적으로 다른 원소를 첨가하여 3원계, 4원계 이상의 박막을 형성하는 것에 한계가 있다. 따라서 본 연구에서는 위와 같은 문제점을 해결하기 위하여 상호간의 고용도가 없는 재료의 합금화가 용이한 기계적 합금화법(Mechanical Alloying)을 이용하여 Mo-Cu 합금분말을 제조하였고, 준안정상태의 구조의 유지가 가능한 방전 플라즈마 소결법(Spark Plasma Sintering)을 이용하여 합금타겟을 제작하였다. Mo-Cu 박막은 제작된 합금타겟을 사용하여 DC 스퍼터링 공정으로 제작하였다. Mo-Cu 박막의 공정조건으로는 타겟조성, 공정분위기, 가스 비율로 정하여 실험을 진행하였다. 제작된 박막은 자동차 코팅재료로써의 적용가능성을 보기 위해서 내열성, 내식성, 내마모성의 특성을 평가하였다. -
No, Sang-Su;Choe, Eun-Hye;Samuel, T.K.;Yun, Jae-Sik;Jo, Yang-Rae;Na, Sa-Gyun;Lee, Yeon-Seung 260
최근 IT산업의 급속한 발달로 모바일 제품과 반도체 및 IC 패키지 등의 전자제품의 소형화, 경량화 및 고성능화되어 가고 있다. 따라서 반도체 공정에서 단위소자의 고속화를 구현하기 위한 금속배선공정에 사용되는 금속재료가 최근에 최소 선폭을 갖는 디바이스에서는 구리를 배선 재료로 전환하고, 향후에는 모든 디바이스가 구리를 주요 배선재료로 사용할 것으로 예측되고 있다. 반도체 소자 공정 중 시료 표면 위에 형성되는 오염물은 파티클, 유기오염물, 금속 불순물 그리고 자연 산화막으로 나눌 수 있다. 구리 표면에 생성되는 부식생성물의 종류에는 CuO,$Cu_2O$ ,$Cu(OH)_2$ ,$CuCO_3{\cdot}Cu(OH)_2$ 와 같은 생성물들이 있다. 이러한 부식생성물이 구리박막 표면에 형성이 되면 성장된 구리박막의 특성을 저하시키게 된다. 이러한 다양한 오염물들을 제거하기 위해서 여러 가지 전처리 공정에 대한 연구가 보고되고 있다. 본 연구에서, 스퍼터 방식으로 구리를 증착한 웨이퍼 (Cu/Ti/Si) 를 대기 중에 노출시켜 자연 산화막을 성장시키고, 이 산화막과 대기로부터 흡착된 불순물을 제거하기 위해 계면 활성제인 TS-40A와$NH_4OH$ 수용액을 사용하여 이들 수용액이 구리 표면층에 미치는 영향에 대해 조사 분석하였다. 사용된 TS-40A는 알칼리 탈지제로서 웨이퍼 표면의 유기물을 제거하는 역할을 하며,$NH_4OH$ 는 구리를 제거하는 부식액으로 산업현장에서 널리 사용되고 있다. 다양한 표면 전처리 조건에 따른 구리박막 표면의 형상 및 미시적 특성변화를 SEM과 AFM을 이용하여 관찰하였고, 표면의 화학구조 및 성분 변화를 관찰하기 위해 XPS를 측정하였으며, 전기적 특성변화를 관찰하기 위해 4-point prove를 사용하여 박막의 면저항을 측정하였다. -
Choe, Eun-Hye;No, Sang-Su;Samuel, T.K.;Yun, Jae-Sik;Jo, Yang-Rae;Na, Sa-Gyun;Lee, Yeon-Seung 261
반도체 공정에서 단위소자의 고속화를 구현하기 위한 금속배선공정에 사용되는 금속재료가 최근에 Al에서 구리로 전환됨에 따라, 향후에는 모든 디바이스가 구리를 주요 배선재료로 사용할 것으로 예측되고 있다. 이러한 구리 배선재료의 도입은 미세화와 박막화라는 관점에서 습식 방법임에도 불구하고 전기도금 방법이 반도체 구리 배선공정에 적용되는 획기적인 변화를 이끌어냈다. 이에 전기도금 방법으로 생산된 구리박막에 대한 요구사항이 증가되고 있다. 전기도금으로 구리박막을 성장시킴에 있어 도금 전해액, 유기첨가제, Anode 물질의 변화는 전착된 구리 박막의 미세구조 및 화학적 구조와 전착률, 비저항 등의 물리적 전기적 특성을 다양하게 변화시킬 수 있다. 본 연구에서는 Anode 물질 변화에 따라 Anode 표면에 형성된 불순물막(Passivation layer) 및 전착된 구리박막의 특성을 조사하였다. Anode는 soluble type과 insoluble type으로 나누어 실험을 진행하였다. Anode 물질 변화에 따른, 구리 박막의 물리적 특성을 조사하기 위하여 XPS (X-ray Photoelectron Spectroscopy)로 화학조성 및 불순물에 대해 분석하였다. 그리고 FE-SEM (Field Emission Scanning Electron Microscope)를 이용하여 전착박막의 두께를 조사 하고 AFM (Atomic Force Microscope)을 이용하여 표면 거칠기를 측정하였다. 또한 전기적 특성을 조사하기 위해 4-point probe를 사용하여 구리 전착박막의 표면저항(sheet resistance)을 측정하였다. -
Modifications of graphenes have been studied for catalytic applications due to their advantages such as high surface area, conductivity and thermal stability. In this research, individual graphene oxide (GO) sheets were exfoliated from graphite using Hummers and Offeman method. Pd nano-particles were deposited on the GO surface using Pd2+ ion exchange where hydroxyl groups on the GO act as nucleation sites of Pd nanoparticles and their dispersions. The thermal treatments of the Pd-GO in H2 flow produced Pd-Graphene nanocomposites. Their catalytic performances in Sonogashira reaction were investigated. Morphological and chemical structures of the GO, Pd-GO, and Pd-Graphene were investigated using FT-IR, XRD, TEM, STEM, and XPS. The catalytic performances have been investigated using microwave reactor.
-
An, Si-Hyeon;Park, Cheol-Min;Park, Hyeong-Sik;Song, Gyu-Wan;Choe, U-Jin;Choe, Jae-U;Jang, Gyeong-Su;Kim, Seon-Bo;Jang, Ju-Yeon;Lee, Jun-Sin 263
본 연구는 실리콘 표면에 형성된 pyramid 구조의 크기와 각도, aspect ratio에 따른 반사도, 흡수율 최적화에 관한 연구이다. Atlas device simulation을 이용하여 표면에 형성된 pyramid의 각도는$54.74^{\circ}$ 에서$71.56^{\circ}$ 가변하였으며 pyramid height은 5에서$20{\mu}m$ 크기로 가변하여 반사도와 흡수율 변화와 상관관계를 분석하였다. 특히 표면 반사도 감소와 실리콘 기판의 흡수율 증가에 가장 큰 영향을 미치는 표면구조의 인자는 pyramid 각도로 나타났으며, 또한 표면의 pyramid 각도 증가에 따라 표면적도 증가하는 결과를 얻을 수 있었다. 본 연구의 표면 구조의 형상에 따른 반사도와 흡수율 최적화 및 표면적 증가에 대한 결과를 태양전지에 적용할 시 단락전류 향상을 통한 효율 향상을 기대할 수 있을 것이다. -
As an environment-friendly alternative energy resource, ethanol may be used to obtain hydrogen, a clean energy source. Thus, studies on catalytic reactions involving ethanol have been studied to understand the underlying principles in the reaction mechanism using various oxide-supported catalysts. Among them, Au-based catalysts have shown a superior activity in producing hydrogen gas. In the present study, Au/
$TiO_2$ catalysts were prepared by deposition-precipitation method to understand their catalytic activities toward ethanol and acetaldehyde with increasing gold loading, especially at the very low Au loading regime. A commercially available$TiO_2$ (Degussa P-25) was employed and the Au loading was varied to 0, 0.1, 0.5, and 1.0 wt% respectively. The catalysts showed characteristic x-ray diffraction (XRD) features at$2{\theta}=78.5^{\circ}$ that could be assigned to the presence of gold nanoparticles. Its reactivity measurements were performed under a constant flow of ethanol and acetaldehyde at a flow rate of${\sim}0.6{\mu}mol/sec$ and the substrate temperature was slowly raised at a rate of 0.2 K/sec. We observed that the overall reactivity of the catalysts increased with increasing Au loading along with selectivity favoring dehydrogenation to product hydrogen gas. In addition, we disclosed various reaction channels involving competitive reaction paths such as dehydrogenation, dehydration, and condensation. In addition, subsequent reactions of acetaldehyde obtained from dehydrogenation of ethanol, were found to occur and produce butene, crotonaldehyde, furan, and benzene. Based on the results, we proposed overall reaction pathways of such reaction channels. -
The adsorption of molecular
$NH_3$ on rutile$TiO_2(110)-1{\times}1$ surfaces was investigated using a temperature-programmed desorption (TPD) technique combined with a molecular beam apparatus. A quantitative investigation into the TPD spectra of$NH_3$ was made for$NH_3$ adsorbed on two kinds of rutile$TiO_2(110)-1{\times}1$ surfaces with the oxygen vacancy ($V_O$ ) concentration of ~0% (p-$TiO_2(110)$ ) and ~5% (r-$TiO_2(110)$ ), respectively. On both surfaces, non-dissociative adsorption of$NH_3$ was inferred from a quantitative analysis on the amount of adsorbed$NH_3$ and those desorbed. With increasing coverage, the monolayer desorption feature shifted from 400 K toward lower temperatures until it saturates at 160 K, suggesting a repulsive nature in the interaction between$NH_3$ molecules. At the very low coverage regime, the desorption features were found to extend up to 430 K and 400 K on p-$TiO_2(110)$ and p-TiO(110), respectively. As a result, the saturation coverage of monolayer of$NH_3$ was higher on the p-$TiO_2(110)$ surface than on the p-TiO(110) by about 10%. The desorption energy ($E_d$ ) of$NH_3$ obtained by inversion of the Polanyi-Wigner equation indicated that the difference between the$E_d$ 's of$NH_3$ (that is,$E_d(on\;p-TiO_2(110)$ ) -$E_d$ (on p-TiO(110)) was 14 kJ/mol at${\theta}(NH_3)=0$ and decreased to 0 as the coverage approached to a monolayer. The observed adsorption behavior of$NH_3$ was interpreted using an interaction model between$NH_3$ and surface defects on$TiO_2$ such as VO's and$Ti^{3+}$ interstitials. -
Ni films with a thickness of 700-800 nm were deposited on carbon fiber layers using electroless deposition, and surface structures and chemical properties of these films with various annealing temperatures (300, 600 and
$900^{\circ}C$ ) were studied.$600^{\circ}C$ -annealing under atmospheric conditions resulted in formation of porous surface structures with a mean pore size of ~100 nm, whereas the other samples showed non-porous surface structures.$600^{\circ}C$ -annealed Ni film showed much higher reactivities for toluene adsorption and CO oxidation comparing to other non-porous surfaces. -
$TiO_2$ -Ni inverse catalysts were prepared using atomic layer deposition (ALD) process and catalytic$CO_2$ reforming of methane (CRM) reaction over catalysts (either bare Ni or$TiO_2$ coated-Ni particles) were performed using a continuous flow reactor at$800^{\circ}C$ .$TiO_2$ -Ni inverse catalyst showed higher catalytic reactivity at initial stage of CRM reactions at$800^{\circ}C$ comparing to bare Ni catalysts. Moreover, catalytic activity of$TiO_2$ /Ni catalyst was kept high during 13 hrs of the CRM reactions at$800^{\circ}C$ , whereas deactivation of bare Ni surface was started within 1hr under same conditions. The results of surface analysis using SEM, XPS, and Raman showed that deposition of graphitic carbon was effectively suppressed in a presence of$TiO_2$ nanoparticles on Ni surface, thereby improving catalytic reactivity and stability of$TiO_2$ /Ni catalytic systems. We suggest that utilizing decoration effect of metal catalyst with oxide nanoaprticles is of great potential to develop metal-based catalysts with high stability and reactivity. -
Adsorption and desorption of toluene from bare and
$TiO_2$ -coated silica with a mean pore size of 15 nm was studied using breakthrough curves and temperature programmed desorption. Thicknesses of$TiO_2$ films prepared by atomic layer deposition on silica were < 2 nm, and ~ 5 nm, respectively. For toluene adsorption, both dry and humid conditions were used.$TiO_2$ -thin film significantly improved toluene adsorption capacity of silica under dry condition, whereas desorption of toluene from the surface as a consequence of displacement by water vapor was more pronounced for$TiO_2$ -coated samples with respect to the result of bare ones. In the TPD experiments, silica with a thinner$TiO_2$ film (thickness < 2 nm) showed the highest reactivity for toluene oxidation to$CO_2$ in the absence and presence of water. We show that the toluene adsorption and oxidation reactivity of silica can be controlled by varying thickness of$TiO_2$ thin films. -
수산화인회석(Hydroxyapatite)는 뼈와 이빨의 무기물 주성분으로서, 칼슘과 인산염으로 구성된다. 우리는 수산화인회석의 합성에 용융열 합성법(molten salt method), 수열 합성법(hydrothermal method), 용매열 합성법(solvothermal method)을 사용하였으며, pH 조절과 각기 다른 용매를 사용하여 다양한 형태의 수산화인회석을 합성하였다. TEM과 SEM을 이용하여 크기와 모양이 제어된 수산화인회석임을 확인할 수 있었다. 합성된 수산화인회석을 이용하여, 전이금속 Ruthenium cation을 Hydroxyapatite 표면에 ion-exchange 반응을 통하여 도입하였으며, ICP를 통하여 Ru 함양을 정량하였다. 합성된 Ruthenium Hydroxyapatite (Ru-HAP)를 이용하여 dimethylamine borane를 통한 수소 발생을 확인하였다.
-
최근에 cobalt-phosphate는 물을 분해하는 전기화학적 촉매로서 활발한 연구가 진행되고 있다. 우리는 간단히 염기의 양을 조절함으로서 cobalt-phosphate의 형태를 제어하였다. 염기의 양이 증가함에 따라 두께가 10 nm 이하의 얇고 입자 작은 판 모양에서 점점 두껍고 큰 판의 모양으로 변해감을 확인 할 수 있었다. 이들을 sodium-borohydride를 이용한 수소 발생실험의 촉매로 사용하였을 때 두께가 10 nm 이하의 입자가 작은 판 모양에서 높은 촉매 활성을 확인 할 수 있었다.
-
Bulk Metallic Glasses (BMGs or amorphous alloy) exhibit high strength and good corrosion resistance. Applications of thin films and micro parts of BMGs have been used a lot since its inception in the research of BMGs. However, Application and fabrication of BMGs are limited to make structural materials. Thin films of BMGs which is sputtered on the surface of structural materials by sputtering process is used to improve limits about application of BMGs. In order to investigate the difference of properties between designed alloys and thin films, we identified that thin films deposited on the surface that have the characteristic of the amorphous films and the composition of designed alloys. Zr-Cu (Cu=30, 35, 38, 40, 50 at.%) and Zr-Cu-Al (Al=10 at.% fixed, Cu=26, 30, 34, 38 at.%) alloys were fabricated with Zr (99.7% purity), Cu (99.997% purity), and Al (99.99% purity) as melting 5 times by arc melting method before rods 2mm in diameter was manufactured. In order to analyze GFA (Glass Forming Ability), rods were observed by Optical Microscopy and SEM and
$T_g$ ,$T_x$ , ($T_x$ is crystallization temperature and$T_g$ is the glass transition temperature) and Tm were measured by DTA and DSC. Powder was manufactured by Gas Atomizer and target was sintered using powder in large supercooled liquid region ($=T_x-T_g$ ) by SPS(Spark Plasma Sintering). Amorphous foil was prepared by RSP process with 5 gram alloy button. The composition of the foil and sputtered thin film was analyzed by EDS and EPMA. In the result of DSC curve, binary alloys ($Zr_{62}Cu_{38}$ ,$Zr_{60}Cu_{40}$ ,$Zr_{50}Cu_{50}$ ) and ternary alloys ($Zr_{64}Al_{10}Cu_{26}$ ,$Zr_{56}Al_{10}Cu_{34}$ ,$Zr_{52}Al_{10}Cu_{38}$ ) have$T_g$ except for$Zr_{70}Cu_{30}$ and$Zr_{60}Al_{10}Cu_{30}$ . The compositions with$T_g$ made into powders. Figure shows XRD data of thin film showed similar hollow peak. -
최근 스마트 윈도우, 자가세정(Self-Cleaning), 김서림방지(Anti-Fogging), 디스플레이 표시장치, 대전방지 코팅 등 다각적으로 활용이 가능한 PTFE (Ploytetrafluoroethylene)를 Sol-gel, Sputtering, Spin-Coating, CVD (Chemical vapor deposition)방법을 이용하여 낮은 표면에너지와 나노사이즈의 표면 거칠기를 가지는
$150^{\circ}$ 이상의 초-발수성 표면에 대한 많은 연구가 진행되고 있다. 본 실험에서는 영구자석을 이용한 고밀도 플라즈마로 높은 점착성과, 균일한 박막 및 대 면적 공정이 가능한 RF-magnetron sputtering방법을 이용하여 Plasma etching으로 표면적의 거칠기와 낮은 표면에너지를 만든 뒤, 발수특성을 가진 PTFE를 증착하여 접촉각 변화와 구조적 및 광학적 특성을 측정하였다. AFM (Atomic Force Microscope)측정결과 100 w에서 가장 높은 1.7 nm의 RMS(Root mean square)값이 측정되었고, 접촉각 측정결과 Plasma etched glass는 25 w에서 125 w로 증가함에 따라 친수성을 나타내었으며, 100 w에서 가장 낮은$15^{\circ}$ 의 접촉각을 나타내었다. PTFE박막을 증착하였을 때는 100 w에서$150^{\circ}$ 의 초발수 특성을 나타내었고, 투과율 측정 결과 85%이상의 높은 투과율을 나타내었다. Plasma etching을 이용한 PTFE 발수 특성은 비가 오면 자동으로 이물질이 씻겨 내리는 자동차 유리등의 개발이 가능하고, 높은 투과율이 요구되는 액정표시장치(LCD)같은 차세대 대형 디스플레이의 표면 코팅에 사용이 가능 할 것이라 사료된다. 본 연구는 중소기업청에서 지원하는 2011년도 산학연 공동기술개발 지원사업의 연구수행으로 인한 결과물임을 밝힙니다. -
In-depth analysis by secondary ion mass spectrometry (SIMS) is very important for the development of electronic devices using multilayered structures, because the quantity and depth distribution of some elements are critical for the electronic properties. Correct determination of the interface locations is critical for the calibration of the depth scale in SIMS depth profiling analysis of multilayer films. However, the interface locations are distorted from real ones by the several effects due to sputtering with energetic ions. In this study, the determination of interface locations in SIMS depth profiling of multilayer films was investigated by Si/Ge and Ti/Si multilayer systems. The original SIMS depth profiles were converted into compositional depth profiles by the relative sensitivity factors (RSF) derived from the atomic compositions of Si-Ge and Si-Ti alloy reference films determined by Rutherford backscattering spectroscopy. The thicknesses of the Si/Ge and Ti/Si multilayer films measured by SIMS depth profiling with various impact energy ion beam were compared with those measured by TEM. There are two methods to determine the interface locations. The one is the feasibility of 50 atomic % definition in SIMS composition depth profiling. And another one is using a distribution of SiGe and SiTi dimer ions. This study showed that the layer thicknesses measured with low energy oxygen and Cs ion beam and, by extension, with method of 50 atomic % definition were well correlated with the real thicknesses determined by TEM.
-
Jang, Jong-Shik;Hwang, Hye-Hyen;Kang, Hee-Jae;Min, Hyung-Sik;Han, Myung-Sub;Suh, Jung-Ki;Cho, Kyung-Haeng;Chung, Yong-Duck;Kim, Je-Ha;Kim, Kyung-Joong 275
The relative composition of$Cu(InGa)Se_2$ solar cells is one of the most important measurement issues. However, quantitative analysis of multi-component alloy films is difficult by surface analysis methods due to severe matrix effect. In this study, quantitative depth profiling analysis of CIGS films was investigated by secondary ion mass spectrometry (SIMS). The compositions were measured by SIMS using the alloy reference relative sensitivity factors derived from the certified compositions and the total counting numbers of each element. The compositions measured by SIMS were linearly proportional to those by inductively coupled plasma-mass spectrometry (ICP-MS) using isotope dilution method. In this study, the quantification measured by ICP-MS method is compared with the composition calculated by SIMS depth profiles with AR-RSFs obtained from the reference. The SIMS depth profile of CIGS thin films according to the manufacturing condition was converted into compositional depth profile. -
The interface morphology of organic active layers is known to play a crucial role in the performance of organic photovoltaic (OPV) cells. Especially, a controlled nanostructure with a large contact area between electron donor (D) and acceptor (A) layers is necessary to improve the power conversion efficiency (PCE) of the cells since the short exciton diffusion lengths in organic semiconductors limit the charge (hole and electron) separation before excitons recombination. In this work, we developed simple solvent treating methods to fabricate a nanostructured DA interface and applied them to enhance the PCE of ZnPc/C60 based small molecule OPV cells. Interestingly, it was observed that the solvent treatment on the donor layer prior to the deposition of the acceptor layer resulted in a significant decrease in PCE, which was due to an existence of undesirable voids at the DA interface. Instead, the solvent vapor treatment after the DA bilayer formation led to densely packed and well dispersed DA contacts. Consequently, 3-fold enhancement of PCE as compared to the untreated bilayer cell was accomplished.
-
PCDTBT (Poly[N-9''-hepta-decanyl-2,7-carbazole-alt-5,5-(4',7'-di-2-thienyl-2',1',3'-benzothiadiazole)]) is an attractive material as a semiconducting polymer for organic thin film transistor (OTFT) and organic solar cell (OSC). High power conversion efficiency (~6%) under simulated AM 1.5G solar illumination of bulk-heterojunction solar cell with PCDTBT and [6,6]-phenyl C60 butyric acid methyl ester (PC61BM) blend was reported. In OSC, it is known that the band alignment at the interface between donor and acceptor is critical. Therefore, we studied the interfacial electronic structures of PCDTBT and PC61BM. The polymers are deposited by electro-spray on gold and In-situ x-ray and ultraviolet photoelectron spectroscopy measurements revealed the interfacial electronic structures. We obtained the energy level alignment between two materials and the different interface formation was observed with different deposition order.
-
최근 폴리이미드(Polyimide) 고분자 물질을 기판으로 하는 플렉시블 전자소자 구현에 관한 연구가 활발히 진행 되고 있다. 폴리이미드는 수분 흡수율이 1% 이하인 소수성 물질로서 폴리이미드 기판 위 전극 형성에 있어 전극 물질이 분리되는 현상이 발생하게 된다. 따라서 본 연구에서는 소수성의 표면 성질을 갖는 폴리이미드 기판의 Asher 처리를 통한 표면 최적화에 대한 실험을 진행하였다. 유리기판 위에 액상 폴리이미드를
${\sim}10{\mu}m$ 두께로 Spin coating 한 후$120^{\circ}C$ hot plate에서의 soft bake와$200^{\circ}C$ ,$320^{\circ}C$ 의 furnace에서의 단계적 cure 과정을 통해 표면의 defect을 최소화하였다. Microwave Asher 장비를 이용하여 폴리이미드 막에 10초, 15초, 20초 동안 asher 처리를 한 후 Atomic Force Microscopy (AFM) 장비로 시간에 따른 폴리이미드 기판 표면의 변화를 확인하였다. AFM 확인 결과 10 초의 공정 조건에서 가장 우수한 표면 morphology를 보였으며, 이는 표면의 탄소와 이물질을 제거하기 위해 사용되는 asher 처리 시간이 상대적으로 증가함에 따라 폴리이미드 막의 탄소 성분이 제거 되면서 표면의 형상이 최적화 이상으로 변화하기 때문이다. 본 실험은 폴리이미드를 기반으로 하는 플렉시블 전자소자 구현에 있어 전극 및 소자 제작에 크게 기여할 것으로 판단된다. -
In order to estimate the average migration lengths of
$H_3O^+$ ions in amorphous ice, we conducted experiments of reactive ion scattering (RIS), low energy sputtering (LES), and reflection absorption IR spectroscopy (RAIRS) with an ultra-high vacuum (UHV) chamber. Dopped water-ice films were grown on the clean surface of Ru single crystal and analyzed with RIS, LES and RAIRS methods. The population changes of probe molecules, which were buried at a controlled distance from the surface, were monitored by those methods so that we can mesure the migration efficiencies. From the measured efficiencies, we evaluated the average migration lengths. This result is expected to give the information about the dynamics of proton in water-ice film. -
We examined ammonia diffusion on the surface of amorphous ice film through the measurement of decreasing residual quantity of
$NH_3$ molecules compared to$H_2O$ . The populations of$NH_3$ molecules on the surface of amorphous ice were monitored by using the techniques of temperature programmed reactive ion scattering (TPRIS) method. The ratio of intensity between ammonia and water was examined as a function of time at controlled temperature. When ammonia molecules were externally added onto an ice film at a temperature of 80 K, ammonia coverage with regard to ice was 0.12-0.16 ML. The intensity of ammonia molecules on the surface of ice decreased as time increased and the extent of decreased intensity of ammonia increased as controlled temperature increased. Moreover, energy barrier was estimated to be$51kJmol^{-1}$ on amorphous ice film. The results of the experiment indicate that ammonia molecules have a property of vertical diffusion into amorphous ice and the energy barrier of ammonia diffusion into bulk of ice is higher than that of hydrogen bonding. -
연꽃잎 효과(Lotus effect)라 불리는 자가 세정 효과(self cleaning effect)는 연꽃이 항상 깨끗한 상태를 유지하는 것이 관찰되면서 꾸준히 관심에 대상이 되어 왔었다. 자가 세정 효과는 접촉각
$150^{\circ}$ 이상의 초소수성 표면에서 구현이 가능하며 이런 표면을 일상생활부터 산업분야까지 응용하고자 하는 많은 노력들이 있었다. 물질의 친수성 또는 소수성은 표면의 거칠기(roughness)와 표면에너지(surface energy)의 두 가지 특성에 의해 결정된다. 하지만 낮은 표면에너지 물질을 사용해도 접촉각$150^{\circ}$ 이상의 초소수성 표면을 얻긴 힘들며, 표면의 거칠기를 증가시켜야 한다. PTFE (polytetrafluoroethylene)는 낮은 표면에너지를 가진 소수성 물질로 bulk일 경우 접촉각이 약$108^{\circ}$ 이지만 거친 표면을 가진 박막으로 만들 경우 접촉각이$150^{\circ}$ 이상의 값을 가지는 초수수성 표면이 가능한 물질이다. 특히, 초소수성 표면 이외에 우수한 내열성 및 내화학성 특성을 가지고 있어 디스플레이 및 태양전지 등의 자가세정(self cleaning) 보호막으로써 응용이 기대되고 있다. 본 연구에서는 HFPO (hexafluoropropylene)를 원료 가스로 이용하여, Si(100)과 유리 기판위에 Cat-CVD (Catalytic Chemical Vapor Deposition)법으로 PTFE 박막을 증착하였다. 텅스텐(W)을 촉매로 사용하였으며, 촉매온도가$850^{\circ}C$ 이상인 조건에서 접촉각이$150^{\circ}$ 이상인 초소수성 PTFE 표면을 쉽게 얻을 수 있었다. 특히 본 연구에서는 제막압력을 300 mTorr에서 700 mTorr까지 변화시켜 가며 유리와 Si 기판위에 증착하였다. Cat-CVD 제막압력을 변화시켜가며 증착된 PTFE 박막의 접촉각을 측정한 결과, 제막압력이 300 mTorr일 때 glass와 Si 기판위에 증착된 PTFE박막 표면에서의 접촉각은 각각 133,$117^{\circ}$ 였지만, 제막압력이 400 mTorr이상일 땐$150^{\circ}$ 이상의 높은 접촉각을 갖는 초소수성 표면을 얻을 수 있었다. -
일반 금속은 부식에 약하다는 단점을 보완하기 위해 개발된 스테인레스 스틸은 내식성이 필요로 하는 다양한 분야에서 이용되고 고크로뮴을 포함한 오스테나이트 스테인레스 스틸은 일반적으로 엔지니어링 재료로 사용되고 있다. 하지만 오스테나이트 스테인레스 스틸은 낮은 표면 경도와 지지용량으로 인해 내마모특성이 필요한 제품에는 사용이 미약한 수준이다. 현재 이러한 내마모특성을 높이기위해 오스테나이트 스테인레스 스틸은 이온질화와 이온주입등의 방법을 사용하여 표면 특성을 향상시키고자 연구되고 있다. 본 연구에서는 저진공 하에서 플라즈마를 이용하여 시편에 질화층과 Nitrogen Supersaturated Austenite층(S-phase)을 형성하여 경도와 인성을 향상시키고, 형성된 S-phase층의 두께에 따른 내식성, 내열성 특성을 확인하였다. 그리고 스테인레스 계열 시편의 질화시 나타나는 CrN층과 비교하였다. 특성 확인을 위한 시편은 약
$400{\sim}500^{\circ}C$ 사이의 공정온도로 질소와 수소가스를 혼합하여 플라즈마를 형성하고 약 4시간동안의 공정을 통해 제작하였다. 제작된 시편의 경도와 조직, S-phase층의 두께를 분석하고 CrN층의 형성여부를 확인하였다. 이와 더불어 공정압력과 가스비의 변화에 따른 실험을 진행하여 질화특성을 확인하고자 하였다. -
금속 물질이 나노 크기 정도로 축소 된다면, 물질의 특성은 종종 유용한 방향으로 변한다. 특히 나노 결정 금속은 에너지 재료의 촉매 물질로 주목을 받아왔다. 지금까지는 일정한 크기를 갖는 나노 결정 제조 기술과 크기의 의존성 등 기초적인 연구가 매우 활발히 이루어지고 있다. 지금까지의 제조 기술은 화학적 물리적 증착 방법을 주로 이용하였는데, 최근 고휘도 빛을 이용하여 금속 나노 촉매를 생산하는 효율적인 방법이 소개되고 있다. 본 논문에서는 Ag 박막의 Ag 나노 결정으로의 변화에 대하여 소개한다. E-beam evaporation에 의해 증착된 Ag 박막을 UV에 노출하면 표면 형태는 평탄한 표면에서 나노결정 형태로 점진적으로 변화한다. 본 논문에서는 Ag 나노 결정의 변화를 SEM과 AFM을 이용하여 고찰한 내용을 발표할 예정이다.
-
In this study,
$V_2O_5/TiO_2$ catalyst was measured reactivity of ethanol when vanadia ratio was increasing. First,$V_2O_5/TiO_2$ catalyst was prepared to the increasing vanadia ($VO_x$ ) ratio as 0.2, 1, 10 wt%. And we were used X-ray diffraction (XRD), then not appear markedly peak to pure vanadia about XRD analysis. So we were decided vanadia that was evenly dispersed on$TiO_2$ . Result about temperature-programmed reduction (TPR) analysis was obtained 3 reactions that was dehydrogenationfrom obtained to acetaldehyde, dehydration from obtained to ethylene, condensation from obtained to diethyl ether. If vanadia ratio was increasing in$V_2O_5/TiO_2$ , reactions temperature of ethanol was known lower. And condensation into diethyl ether is quenched away with increasing vanadia loading. In addition, competition between reductive dehydration and oxidative dehydrogenation occurs, while the selectivity toward dehydrogenation is favored with increasing vanadia loading. -
본 논문에서는 HBr, O2 gas를 사용하여 나노급 반도체 디바이스에 응용되는 실리콘 트렌치 패턴의 건식 식각시 중요한 인자중의 하나인 RIE (Reactive Ion Etching) Lag현상에 관하여 연구하였다. 실험에서 사용된 식각 장치는 유도 결합 플라즈마(Inductively Coupled Plasma) 식각 장치로써, Source Power및 기판에 인가되는 Bias power 모두 13.56 MHz로 구동되는 장치이며, Source Power와 Bias Power 각각에 펄스 플라즈마를 인가할 수 있도록 제작 되어있다. HBr과 O2 gas를 사용한 트렌치 식각 중 발생하는 식각 부산물인 SiO는 프로파일 제어에 중요한 역할을 함과 동시에, 표면 산화로 인해 Trench 폭을 작게 만들어 RIE lag를 심화시킨다. Br은 실리콘을 식각하는 중요한 라디칼이며, SiO는 실리콘과 O 라디칼의 반응으로부터 형성되는 식각 부산물이다. SiO가 많으면, 실리콘 표면의 산화가 많이 진행될 것을 예측할 수 있으며, 이에 따라 RIE lag도 나빠지게 된다. 본 실험에서는 Continuous Plasma와 Bias Power의 펄스, Source Power의 펄스를 각각 적용하고, 각각의 경우 Br과 SiO 라디칼의 농도를 Actinometrical OES (Optical Emission Spectroscopy) tool을 사용하여 비교하였다. 두 라디칼 모두 Continuous Plasma와 Bias Power 펄스에 의해서는 변화가 없는 반면, Source Power 펄스에 의해서만 변화를 보였다. Source Power 값이 증가함에 따라 Br/SiO 라디칼 비가 증가함을 알 수 있었고, 표면 산화가 적게 형성됨을 예측할 수 있다. 이 조건의 경우, Continuous Plasma대비 Source Power 펄스에 의하여 RIE lag가 30.9 %에서 12.8 %로 현격히 개선된 결과를 얻을 수 있었다. 또한, 식각된 실리콘의 XPS 분석 결과, Continuous Plasma대비 Source Power 펄스의 경우 표면 산화층이 적게 형성되었음을 확인할 수 있었다. 따라서, 본 논문에서는 식각 중 발생한 Br과 SiO 라디칼을 Source Power펄스에 의한 제어로 RIE lag를 개선할 수 있으며, 이러한 라디칼의 변화는 Actinometrical OES tool을 사용하여 검증할 수 있음을 보여준다.
-
Kim, Jong-Gi;Lee, Sung-Hoon;Lee, Kyu-Min;Na, Hee-Do;Kim, Young-Jae;Ko, Dae-Hong;Sohn, Hyun-Chul 286
Reset-first resistive switching mechanism based on reduction reaction in HfO2-x with oxygen drift-diffusion was studied. we first report that the indirect evidence of local filamentary conductive path formation in bulk HfO2 film with local TiOx region at Ti top electrode formed during forming process and presence of anion-migration at interface between electrode and HfO2 during resistive switching through high resolution transmission electron microscopy (HRTEM), electron disperse x-ray (EDX), and electron energy loss spectroscopy (EELS) mapping. Based on forming process mechanism, we expected that redox reaction from Ti/HfO2 to TiOx/HfO2-x was responsible for an increase of initial current with increasing the post-annealing process. First-reset resistive switching in above$350^{\circ}C$ annealed Ti/HfO2 film was exhibited and the redox phenomenon from Ti/HfO2 to TiOx/HfO2-x was observed with high angle annular dark field (HAADF) - scanning transmission electron microscopy (STEM), EDX and x-ray photoelectron spectroscopy. Therefore, we demonstrated that the migration of oxygen ions at interface region under external electrical bias contributed to bipolar resistive switching behavior. -
In this study, we investigated that the resistance switching characteristics of W-doped NbOx films with increasing W doping concentration. The W-doped NbOx based ReRAM devices with a TiN/W-doped NbOx/Pt/Ti/SiO2 were fabricated on Si substrates. The 50 nm thick W-doped NbOx films were deposited by reactive dc magnetron co-sputtering at
$400^{\circ}C$ and oxygen partial pressure of 35%. Micro-structure of W-doped NbOx films and atomic concentration were investigated by XRD, TEM and XPS, respectively. The W-doped NbOx films showed set/reset resistance switching behavior at various W doping concentrations. The process voltage of set/reset is decreased and whereas the initial current level is increased with increasing W doping concentration in NbOx films. The change of resistance switching behavior depending on doping concentration was discussed in terms of concentration of metallic tungsten of oxygen of W-doped NbOx. -
Choe, Seung-Hun;Park, Jung-Jin;Lee, Jong-Geun;Choe, Jeong-Gyu;Kim, Jin-Ha;Lee, Dong-Min;Jeong, Ui-Cheon;Chae, Jin-Gyeong;Jeong, Myeong-Hyo;Son, Yeong-Ho 289
터치패널은 키보드나 마우스와 같은 입력장치를 사용하지 않고, 스크린에 손가락, 펜 등을 접촉하여 입력하는 방식이다. 누구나 쉽게 입력할 수 있는 장점으로 인해 기존에는 현금인출기, 키오스크 등 공공분야에 주로 많이 사용되어 왔으나, 최근의 터치스크린은 휴대폰, 게임기, 네비게이션, 노트북 모니터 등 개인정보기기의 입력장치로 활용분야가 넓어져가고 있다. 최근의 정전용량 방식의 터치패널은 디스플레이 패널 위에 올여지는 형태의 Add on type이며, 테블렛의 출현으로 터치패널의 사이즈가 커지면서 인듐산화물 투명성 전도막의 두께가 두꺼워지고, 이로 인하여 광학적 특성인 투과율이 저하되는 문제가 발생하여 투과율을 높여주기 위한 새로운 전도박막 제조방법이 요구되는 상황이다. 현재의 고글절 산화물(TiO2)과 저굴절 산화물(SiO2)의 적층형태의 저반사 특성의 다층막은 주로 플라즈마 보조의 전자빔 증착기를 이용하여 제조되기 때문에, 저반사 특성이 우수하지만 대면적 크기의 대량생산에는 적합하지가 않다. 그리고 태양전지의 에너지 변환효율도 태양전지로 흡수되는 태양광의 량에 크게 의존하기 때문에, 태양전지로 흡수되는 태양광 량을 높이기 위하여 태양전지의 가장 위층에 혹은 모듈 제작시 커버유리의 내부에 저반사 특성을 갖는 박막을 코팅한다. 특히 박막태양전지의 경우는 대면적의 유리위에 저반사 코팅을 해야 한다. 본 연구에서는 In-line magnetron sputtering system을 사용하여 소다라임 유리 기판 위에 고글절 산화물(Nb2O5)과 저굴절 산화물(SiO2)의 2층 적층형태의 "SiO2/Nb2O5/SiO2/Nb2O5/SLG" 다층 박막을 증착하고, 저반사의 광학적 특성을 하였고, 이를 논하고자한다. 일반적으로 빛이 투과되는 투명한 기판이 공기층에 노출되어있을 경우에 기판의 양면에서 공기층과의 계면에서 각각 4%의 반사율 즉, 총 8%의 반사율을 갖는데, 본 연구의 다층 박막에서는 530에서 540nm 파장 영역에서 투과율은 95% 이상, 반사율은 4.8% 이하이었다. 이 결과는 터치패널과 박막태양전지 시장의 Needs에 대응할 수 있기 때문에 산업의 응용측면에서 매우 중요한 연구 성과를 얻었다고 말할 수 있다. (본 연구는 지식경제부 사업화연게기술개발 연구지원금으로 일부 이루어졌음). -
Son, Yeong-Ho;Lee, Jong-Geun;Choe, Seung-Hun;Choe, Jeong-Gyu;Kim, Jin-Ha;Lee, Dong-Min;Jeong, Ui-Cheon;Chae, Jin-Gyeong;Park, Jung-Jin;Jeong, Myeong-Hyo 290
터치패널은 키보드나 마우스와 같은 입력장치를 사용하지 않고, 스크린에 손가락, 펜 등을 접촉하여 입력하는 방식이다. 누구나 쉽게 입력할 수 있는 장점으로 인해 기존에는 현금인출기, 키오스크 등 공공분야에 주로 많이 사용되어 왔으나, 최근의 터치스크린은 휴대폰, 게임기, 네비게이션, 노트북 모니터 등 개인정보기기의 입력장치로 활용분야가 넓어져가고 있다. 기존 터치패널은 유리 기판 위에 ITO박막(투명전도막)을 진공코팅하여 사용하여 왔지만, 최근 터치패널은 경량화를 고려하여 PET 필름 기판 위에 ITO 박막을 진공코팅하여 사용하고 있다. PET 필름의 유연성 때문에 ITO 코팅된 필름을 PC 혹은 강화유리 위에 OCA 물질을 이용하여 다시 고정하여야 한다. 이때 터치패널 제작시 생산공정이 늘어나 생산성이 떨어지고, 터치패널의 광투과율도 떨어지는 2차적인 문제가 발생한다. 이를 해결코자하는 터치페널 업체의 Needs가 있고, 최근에 이를 해결하기 위하여 PC, 강화유리 그리고 COP 기판 위에 ITO 박막을 직접 진공코팅하는 공정개발이 진행되고 있다. ITO 박막은 진공코팅 중에 열을 가하여 결정화를 이루어야 하는데, PC, 강화유리 그리고 COP 기판의 열에 약한 특성을 고려하여, 열을 가하지 않고 ITO 박막을 진공 코팅하여야 한다. 이러한 ITO 박막의 진공코팅 공정에는 In-line magnetron sputtering system이 사용된다. 본 연구에서는 In-line magnetron sputtering system을 사용하여 강화유리 기판위에 정전용량방식 터치패널용 패턴 인비저블 ITO 투명전도막을 제작하고 그 특성을 조사하였다. ITO 박막의 면저항은 230O hm/cm2, 최고 광투과율은 90.96%(@541-543nm), 그리고 550 nm에서 광투과율은 90.45%로 ITO 박막 코팅 전후에 투과율 차이가 0.4임을 확인하였다. 정전용량 방식의 터치패널에서는 ITO 박막 코팅 전후에 투과율 차이가 1 이하의 특성, 즉 패턴 인비저블의 특성을 필요로 하는데, 이는 ITO 박막 패턴후에 패턴이 보이지 않게 하기 위해서이며, 이러한 시장의 Needs를 고려하면 본 연구에서 매우 중요한 연구 성과를 얻었다고 말할 수 있다. 그리고 면저항 기준 150에서 230Ohm/cm2 사이 여러 종류의 ITO 투명전도막을 제작하고 그 특성을 조사하여, 이를 논하고자한다. (본 연구는 지식경제부 사업화연게기술개발 연구지원금으로 일부 이루어졌음). -
태양광 발전산업에서 현재 주류인 결정 실리콘 태양전지의 변환효율은 꾸준히 향상되고 있으나, 태양전지의 가격이 매년 서서히 하강되고 있는 실정에서 결정질 실리콘 가격의 상승 등으로 부가가치 창출에 어려움이 있으며, 생산 원가를 낮출 수 있는 태양전지 제조기술로는 2세대 태양전지로 불리는 박막형이 현재의 대안이며, 특히 에너지 변환 효율과 생산 원가에서 장점이 있는 것이 CIGS 박막 태양전지로 판단된다. 화합물반도체 베이스인 CIGS 박막태양전지는 연구실에서는 세계적으로 20.3% 높은 효율을 보고하고 있으며, 모듈급에서도 13% 효율로 생산이 시작되고 있다. 국내에서도 연구실 규모뿐만 아니라 대면적(모듈급) CIGS 박막 태양전지 증착용 장비, 제조공정 등의 기술개발이 진행되고 있다. CIGSe2를 광흡수층으로 하는 CIGSe2 박막 태양전지의 구조는 여러 층의 단위박막(하부전극, 광흡수층, 버퍼층, 상부투명전극)을 순차적으로 형성시켜 만든다. 이중에 하부전극은 Mo 재료을 스퍼터링 방법으로 증착하여 주로 사용한다. 하부전극은 0.24 Ohm/cm2 정도의 전기적 특성이 요구되며, 주상조직으로 성장하여야 하며, 고온 안정성 확보를 위하여 기판과의 밀착성이 좋아야하고 또한 레이저 패턴시 기판에서 잘 떨어져야 하는 특성을 동시에 가져야 한다. 그리고 CIGSe2의 광흡수층 제조시 셀렌화 공정에서 100 nm 이하의 MoSe2 두께를 갖도록 해야하며, 이는 CIGSe2 박막태양전지의 Rs 값을 줄여 Ohmic 접촉을 향상시키는데 기여한다. 본 연구에서는 CIGSe2 박막태양전지에서 요구되는 하부 전극 Mo 박막의 제작과 CIGSe2 박막태양전지 전체공정에 적용후의 MoSe2/Mo 박막특성에 대해서 연구결과들을 논하고자 한다. (본 연구는 경북그린에너지프론티어기업발굴육성사업 연구지원금으로 이루어졌음).
-
Son, Yeong-Ho;Park, Jung-Jin;Choe, Seung-Hun;Kim, Jin-Ha;Lee, Dong-Min;Choe, Jeong-Gyu;Jeong, Ui-Cheon;Chae, Jin-Gyeong;Lee, Jong-Geun;Jeong, Myeong-Hyo 292
현재 투명전극은 주로 ITO를 사용하고 있으며, ITO는 인듐산화물(In2O3)과 주석산화물(SnO2)이 9대 1의 비율로 혼합된 화합물로 인듐이 주성분이다. 따라서 ITO 사용량의 증가는 인듐의 수요 증가를 이끌어 2003년 이후 인듐 잉곳의 가격이 급등하였다. LCD에 응용되는 금속재료의 가격추이를 비교해보면, 인듐이 가장 큰 변화를 보이고 있으며, 2005년 인듐 가격은 2002년 대비 1,000% 이상 상승하였다가 2007년 이후 500%p 하락하여 2008년 2월 22일 기준으로 톤당 49만 달러에 거래되고 있다. 같은 기간 동안 알루미늄의 가격은 76.6% 상승하였으며 구리는 394%, 주석은 331% 상승하였다. 이러한 인듐의 가격 상승폭은 동일한 기간 동안 다른 금속 재료와 비해 매우 크며, 단위 질량당 가격도 20배 이상 높은 수준이다. ITO의 주성분인 인듐의 이러한 가격의 급등 및 향후 인듐의 Shortage 예상으로 인해 ITO 대체재 확보의 필요성이 증가되고 있다. 태양광 발전산업에서 현재 주류인 결정질 실리콘 태양전지의 변환효율은 꾸준히 향상되고 있으나, 태양전지의 가격이 매년 서서히 하강되고 있는 실정에서 결정질 실리콘 가격의 상승 등으로 고부가 가치 산업유지에 어려움이 있으며, 생산 원가를 낮출 수 있는 태양전지 제조기술로는 2세대 태양전지로 불리는 박막형이 현재의 대안으로 자리매김하고 있으며, 박막태양전지 산업분야가 현재의 정부정책 지원 없이 자생력을 갖추고 또한 시장 경쟁력을 확보하기 위해서는 박막태양전지 개발과 더불어 저가의 재료개발도 시급한 상황이다. 본 연구에서는 In-line magnetron sputtering system을 사용하여 소다라임 유리기판 위에 박막태양전지용 투명전도성 ZnO(Al) 박막을 제작하였고, 특히 이 박막은 n-형 반도체 특성을 가져야하기 때문에 홀이동도와 개리어농도의 상관관계 및 박막의 두께, 광투과율 특성, 온도 의존성을 조사하였고, 이를 논하고자 한다. (본 연구는 중소기업청의 기술혁신개발사업 연구지원금으로 이루어졌음). -
마그네트론 스퍼터링으로 Al과 Al 합금(Al-3wt%Si, Al-10wt%Si) 박막을 코팅하였다. 기판은 냉연강판과 Si 웨이퍼를 사용하였으며 알코올과 아세톤으로 초음파 세척 후 진공용기에서 플라즈마 청정을 실서히였다. 시편 청정이 끝나면 기판은 0, 30, 45, 60, 90도의 다양한 각도로 고정시켜 Al과 Al 합금 박막을 코팅하였다. 빗각 증착 기술(Oblique Angle Deposition: OAD)은 입사증기가 기판과 수직하지 않게 기울여 코팅하는 방법으로 조직을 다양하게 제어할 수 있다. 빗각으로 코팅한 순수한 Al 박막의 경우, 동일한 두께의 박막보다 반사율 및 표면조도, 내식성이 향상되는 결과를 얻었다. 따라서 본 연구에서는 빗각 및 Si 함유량이 반사율 및 표면조도, 내식성에 미치는 영향을 비교 분석하였다. 기판의 위치에 따른 변화를 관찰하기 위해 시편은 좌, 우, 중간으로 구분하여 분석하였다. 단일층 박막의 경우 타겟과의 거리에 따른 두께 편차가 발생하였으며 이러한 두께 편차를 해결하기 위한 방법으로 동일한 각도를 유지하며 반대 방향으로 회전시켜 다층구조로 박막을 제조하였다. Si 함유량 및 빗각에 따른 반사율 및 표면조도를 분석하였으며 내식성 평가를 위한 염수 분무 테스트도 실시하여 각각의 공정 변수에 따른 결과를 비교 분석하였다.
-
본 연구에서는 마그네트론 스퍼터를 이용한 경사 코팅법으로 질화 티타늄을 합성하였으며, 온도, 시편 인가전압, 외부 자기장 등 여러 코팅 조건에 따른 박막의 특성변화를 평가하였다. 스퍼터 소스에 장착된 타겟은 6"의 Ti 타겟을 사용하였으며, 시편과 타겟간의 거리는 약 10 cm, 시편은 Si-wafer와 SUS를 사용하였다. 시편을 진공용기에 장착하고 진공배기를 실시한 후 Ar 가스를 진공용기 내로 공급하여 시편에 전압을 인가한 후 플라즈마를 발생시켜 청정을 실시하였다. 플라즈마 청정이 끝나면 질소유량 (5~60 sccm), 온도 (
$0{\sim}300^{\circ}C$ ), 시편 인가전압 (0~100 V), 외부 자기장 (0~3 A) 등 여러 공정변수를 변화시키며 코팅하였다. 질화 티타늄의 두께는 약$1.5{\mu}m$ 로 동일하게 코팅하였다. 그 결과 온도와 시편 인가전압은 각각$200^{\circ}C$ 와 100 V 일 때 가장 높은 경도를 보였으며, 외부 자기장의 변화는 경도에 큰 영향을 미치지 않았다. 코팅 변수의 변화에 따른 질화 티타늄 박막의 색차, 경도, 조도, 반사도, 마모도 등의 물성 변화를 분석하였으며, 본 연구에서 얻어진 결과를 이용하여 공정변수 제어를 통한 원하는 특성을 가진 TiN 박막을 쉽게 형성할 수 있을 것으로 예상된다. -
본 연구에서는 아크방전을 이용한 질화 티타늄의 합성 과정 중에서, 시편 청정 공정변수를 변화시킴에 따라 계면에서의 미세조직 변화와 코팅층의 물성을 평가하였다. 아크 소스에 장착된 타겟은
$120mm{\Phi}$ , 99.5 %의 티타늄 타겟을 사용 하였고, 시편과 타겟 간의 거리는 약 30 cm이며, 시편은 SUS를 사용하였다. 시편을 진공용기에 장착하고 진공배기를 실시한 후 Ar 가스 분위기에서 시편에 전압을 인가한 후 아크를 발생시켜 약 5분간 시편 청정을 실시하였다. 이 시편 청정 과정에서 시편 인가전압을 0~1,000 V로 변화시켰고 시편 정청이 끝나면 시편에 인가된 전압을 차단하고 코팅하였다. 질화 티타늄의 두께는 약$3{\mu}m$ 로 동일하게 코팅하였다. 시편 인가전압 변화에 따라 시편청정 공정 시 계면에서 티타늄층이 코팅되거나 모재 내부까지 침투하는 현상을 관찰하였다. 시편청정 공정변수 변화에 따른 질화 티타늄의 코팅을 통해 계면의 미세조직과 성분의 변화를 주사전자현미경, 투과전자현미경 이미지와 에너지 분산분광기 (Energy Dispersive Spectroscopy ; EDS)를 통해 확인하였으며 나노인덴터를 이용해 경도, 탄성계수 등의 물성변화를 측정하였다. 본 연구에서 얻어진 결과를 이용하여 시편 청정 공정 제어를 통한 다양한 물성변화가 가능 할 것으로 예상된다. -
LCD panel 검사를 위한 Probe unit은 대형 TV 및 모바일용 스마트폰을 중심으로 각광을 받고 있는 소모성 부품으로 최근 pitch의 미세패턴화가 급속히 진행되고 있다. 본 연구에서는 Slit Wafer 제작 공정을 최적화하기 위해 25 um pitch의 마스크를 설계, 제작하였다. 단공과 장공을 staggered 형태로 배열하여 25 um/25 um line/space pitch로 설계하였다. 또한 단위실험을 위해 직접 25 um pitch로 설계하여, 동일한 실험조건을 적용하여 최적 조건을 찾고자 하였다. 반응변수는 Etch rate 및 profile angle로 결정하였으며, 약 200~400 um 에칭된 slit의 상단과 하단의 폭, 그리고 식각깊이를 SEM 측정사진을 통해 정한 후 etch rate 및 profile angle을 결정하였다. 인자는 식각속도 및 wall의 각도를 결정하는 식각 및 passivation 가스의 유량, chamber 압력(etching/passivation), 식각시간 등으로 정하였으며, 이들의 최대값과 최소값 2 수준으로 실험계획을 설계하였다. 식각 조건에 따라 8회의 실험을 수행하였다. 가스의 유량은 SF6 400 sccm, C4F8 400 sccm, 식각 싸이클 시간은 5.2~10.4 sec, passivation 싸이클시간 4 sec로 하였으며, 압력은 식각시 7.5 Pa, passivation 시 10 Pa로 할 경우가 가장 sharp하게 나타났다. Coil power 와 platen power는 각각 2.6 KW, 0.14 KW로 하였으며, 최적화를 위한 인자의 값들은 이 범위에서 조절하였다. 이러한 인자의 조건 조절을 통해 etch rate는 5.6 um/min~6.4 um/min,
$88.9{\sim}89.1^{\circ}$ 의 profile angle을 얻을 수 있었다. -
양자점은 공간적으로 세 방향 모두 전하의 운동을 제한하는 0차원 구조로 불연속적인 상태 밀도를 가진다. 이런 양자점의 특성은 광통신용 소자, 레이저 다이오드 등과 같은 광학 및 전자 장치에 응용될 수 있기 때문에 많은 주목을 받아 활발히 연구되어 왔다. 본 연구에서는 MBE 장비를 이용하여 GaAs 기판위에 InAs 양자점을 성장시키는 동안 As의 공급을 임의로 차단시켜 양자점 형성 조건을 변화시킨 시료들의 광학적 특성을 Photoluminescence (PL) 와 Time-resolved PL (TRPL) 실험을 이용하여 분석하였다. GaAs (001) 기판 위에 GaAs buffer layer를
$610^{\circ}C$ 에서 성장한 후,$470^{\circ}C$ 에서 As 공급 조건 변화에 따른 InAs 양자점을 성장하였다. 양자점을 성장한 후 GaAs cap layer를$610^{\circ}C$ 에서 성장하였다. InAs 양자점 시료들은 In을 20초 공급하는 동안 As의 공급과 차단을 각각 1초, 2초, 3초의 일정한 간격으로 반복하였다. 10 K에서 각각의 시료들의 PL을 측정한 결과 As 공급과 차단을 2초씩 반복한 T2시료에서 PL 세기가 가장 좋게 나타났으며, 3초씩 반복한 T3시료에서 가장 나쁘게 나타났다. PL 피크는 공급과 차단을 1초씩 반복한 T1 시료가 1.23 eV, T2 시료가 1.24 eV, T3 시료가 1.26 eV에 나타났으며, As의 차단시간이 증가함에 따라 PL 피크가 높은 에너지로 이동함을 보였다. 발광파장에 따른 PL 소멸은 파장이 증가함에 따라 점차 느려지다가 PL 피크 근처에서 가장 느린 소멸곡선을 보이고, 파장이 더 증가하였을 때 점차 빠르게 소멸하였다. As 공급 조건의 변화에 따라 InAs 양자점의 크기와 밀도, 모양 등이 변하는 것을 Atomic Force Microscope (AFM) image를 통하여 확인하였으며, PL과 TRPL을 이용하여 InAs 양자점의 광학적 특성을 분석하였다. -
현재 반도체 나노구조는 단전자 트랜지스터, 레이저, LED, 적외선 검출기 등과 같은 고효율 광전자 소자에서의 응용을 위해 활발한 연구가 진행 되고 있다. 이러한 응용 분야를 위한 다양한 종류의 나노구조 성장이 광범위하게 시도 되고 있지만 주로 III-V 족 화합물 반도체에 대한 연구가 주를 이룬 반면 II-VI 족 화합물 반도체에 대한 연구는 아직 미흡하다. 하지만 II-VI 족 화합물 반도체는 III-V 족 화합물 반도체와 비교했을 때 더 큰 엑시톤 결합에너지(exciton binding energy)를 가지는 우수한 특성을 보이고 있으며 이러한 성질을 가지는 II-VI 족 화합물 반도체 중에서도 넓은 에너지 갭을 가지는 CdTe 양자점은 녹색 영역대의 광전자 소자로서 활용되고 있다. 본 연구에서는 분자 선속 에피 성장법(molecular beam epitaxy; MBE)과 원자 층 교대 성장법(atomic layer epitaxy; ALE)으로 CdTe 두께에 따른CdTe/ZnTe 나노구조의 광학적 특성을 연구하였다. 광루미네센스(photoluminescence; PL)를 통해 CdTe/ZnTe 나노구조에서 CdTe 두께에 따른 에너지 밴드와 열적 활성화 에너지를 관찰하였다. 또한 시분해 광루미네센스(Time-resolved PL)를 통해 CdTe 두께에 따른 CdTe/ZnTe 나노구조의 운반자 동역학을 조사하였다. 저온 광루미네센스 측정 결과 CdTe 두께가 증가할수록 각 샘플의 피크는 더 낮은 에너지 영역대로 이동하는 것을 관찰할 수 있다. 1.2 에서 2.0 ML로 증가할 때 광 루미네센스의 작은 적색편이를 관찰할 수 있는데, 이는 CdTe 양자우물에서 양자점으로의 구조적인 전이가 일어남에 따라 구속효과가 증가하였기 때문이다. 또한 2.0 에서 3.6 ML까지 CdTe 두께가 증가할 때 측정된 적색편이 현상은 양자점의 사이즈 증가함에 따른 것이다. 마지막으로 3.6 에서 4.4 ML로 CdTe 두께가 증가할 때 큰 적색편이 현상을 볼 수 있는데 이는 CdTe 양자점에서 양자세선으로의 구조적 전이에 따라 구속효과가 증가하였기 때문이다. 온도 의존 광루미네센스(Temperature-dependent PL) 측정 결과 1.2 와 3.0 ML 두께의 CdTe/ZnTe 나노구조에서 구속된 전자의 열적 활성화 에너지가 18 과 35 meV로 관찰되었다. 3.0 ML CdTe/ZnTe 나노구조에서 가장 큰 열적 활성화 에너지를 갖는 것은 양자점의 균일도가 좋아지고 저차원 나노구조로의 구조적 전이가 일어나면서 운반자 구속효과에 다른 쿨롱 상호작용이 증가하였기 때문이다.
-
프로그래머블 스위치는 프로그래머블 로직 디바이스 내에서 사용자의 프로그래밍에 따라 로직 블록과 배선을 연결하거나 차단하는 기능을 수행하는 전자소자이다. 기존의 프로그래머블 스위치는 상변화 특성을 보이는 칼코겐화물을 이용하는데, 상변화 재료만을 이용하는 스위치는 전기신호 누설의 문제점을 가지고 있다. 이러한 문제점을 해결하기 위하여 본 연구에서는 프로그래머블 스위치의 활성물질로서 상변화 재료 및 문턱(threshold) 스위칭 특성을 보이는 칼코겐화물을 포함하는 다층구조를 제안하고, 다층구조에 적용 가능한 칼코겐화물 합금 특성을 보고한다. RF magnetron sputtering 방식을 이용하여 doped GeSbTe 박막을 증착하고 온도에 따른 면저항 및 표면 형상 변화를 관찰하였다. Doped GeSbTe는 기존의 GeSbTe 상변화 재료와는 뚜렷하게 구분되는 면 저항 및 표면 형상 변화를 나타내었다. 이러한 결과로부터 doped GeSbTe 합금 박막은 다층구조 프로그래머블 스위치의 활성물질로 사용이 가능하다는 사실을 확인할 수 있었다.
-
지구 온난화와 화석 연료의 고갈이 심각해지면서 청정 에너지원으로서 신재생에너지에 대한 관심이 더욱 고조되고 있다. 신재생에너지 분야의 핵심기술의 하나인 태양전지의 여러 응용분야 중에서 건물 일체형 태양전지의 발전 가능성이 특히 높게 평가되고 있다. Si 계 박막 태양전지 내에 금속 산화물 계 선택적 투과막을 적용하면 선택적으로 적외선영역을 광흡수층으로 반사시키므로 건물 일체형 태양전지에 적용이 가능한 높은 변환효율의 투명 태양전지를 제조할 수 있다. 최근 연구 결과에 의하면 AlTiO 선택적 투과막의 투과율은 표면 평탄도에 의존하며, 타겟에 인가되는 전력을 감소시킴으로써 reactive co-sputtering 시 발생하는 아크 방전을 억제하면 AlTiO 박막의 평탄도가 개선된다는 사실이 알려져 있다. 본 연구에서는 AlTi single 타겟을 이용하여 AlTiO 박막을 형성함으로써 박막 표면을 더욱 개선시켜 가시광선 영역의 투과율을 향상시킨 결과를 보고한다.
-
Kim, Dong-Uk;Lee, Dong-Uk;Jo, Seong-Guk;Kim, Eun-Gyu;Lee, Se-Won;Jeong, Seung-Min;Jo, Won-Ju 302
최근 비 휘발성 메모리 시장의 확대와 수요가 많아지면서, 비휘발성 메모리 소자의 제작에 대한 연구가 활발히 진행되고 있다. 특히, 실리사이드 나노입자를 적용한 소자는 현 실리콘 기반의 반도체 공정의 적용이 용이하다. 따라서 본 연구에서는 실리사이드 계열의 화합물 중에서 일함수가 4.63 eV인 Vanadium silicide (V3Si) 나노입자 메모리소자를 제작하여 전기적 특성과 열 안정성에 대하여 알아보았다. p-Si기판에 약 6nm 두께의 SiO2 터널층을 건식 산화 방법으로 성장시킨 후 V3Si 나노입자를 제작하기 위해서 V3Si 금속박막을 스퍼터링 방법으로 4 nm~6 nm의 두께로 터널 절연막 위에 증착시켰다. 그리고 컨트롤 절연막으로 SiO2를 초고진공 스퍼터를 이용하여 50 nm 증착하였고, 급속 열처리 방법으로 질소 분위기에서$800^{\circ}C$ 의 5초 동안 열처리하여 V3Si 나노 입자를 형성하였다. 마지막으로 200 nm두께의 Al을 증착하고, 리소그래피 공정을 통하여 채널 길이와 너비가 각각$2{\mu}m$ ,$5{\mu}m$ ,$10{\mu}m$ 를 가지는 트랜지스터를 제작하였다. 제작된 시편의 V3Si 나노입자의 크기와 균일성은 투과 전자 현미경으로 확인하였고, 후 열처리 공정 이후 V3Si의 존재여부의 확인을 위해서 X-ray 광전자 분광법의 표면분석기술을 이용하여 확인하였다. 소자의 전기적인 측정은 Agilent E4980A LCR meter, 1-MHz HP4280A와 HP 8166A pulse generator, HP4156A precision semiconductor parameter analyzer을 이용하여 측정온도를$125^{\circ}C$ 까지 변화시키면서 전기적인 특성을 확인하였다. 본 연구에서는 온도에 선형적 의존성을 가지는 전하누설 모델인 T-model 을 이용하여 나노입자 비휘발성 메모리소자의 전하누설 근원을 확인한 후, 메모리 소자의 동작 특성과의 물리적인 연관성을 논의하였다. 이를 바탕으로 나노입자 비휘발성 메모리소자의 열적안정성을 확보하고 소자 특성향상을 위한 최적화 구조를 제안하고자 한다. -
Diamond-like carbon (DLC)은 낮은 마찰력과 높은 내마모성 및 내식성등과 같은 우수한 물성을 가지고 있다. 따라서, DLC 박막은 다양한 응용분야에 적용이 가능한 코팅이다. 특히, DLC 박막의 낮은 마찰력과 고경도 특성은 자동차 산업 및 금형과 같은 저마찰 및 내마모성 향상에 기여할 수 있는 매력적인 박막 코팅으로 각광받고 있다. 그러나 DLC 박막의 높은 잔류응력과 다른 기판의 화학적 친화력을 감소시키는 탄소-탄소 결합의 불안정성 때문에 금속소재와의 낮은 접합력으로 인하여 그 응용에 어려움을 격고 있다. DLC 박막의 접합력 향상을 위하여 모재에 활성 스크린 플라즈마 질화 장비를 사용하여 금속 시편에 질화처리를 하였다. 질화처리후 CVD법으로 DLC 박막을 증착하였으며, 박막의 특성은 나노 인덴테이션, 마이크로 라만 스펙트로스코피 그리고 주사전자현미경에 의해 측정되었다. 활성 스크린 질화 장비에 의해 처리된 시편의 특성변화는 GDS, XRD 및 마이크로 비커스 경도계를 이용하여 관찰하였다. 박막과 모재와의 밀착력은 스크래치 테스트에 의해 측정 하였으며, 질화층이 형성됨으로 인해 모재의 상구조와 경도의 변화가 생겼고, 이로 인해 DLC박막과 모재의 밀착력이 상승하였음을 알 수 있었다.
-
CIGS(CuInGaSe2) 태양전지의 후면전극(Back contact)으로 널리 사용되는 Mo 박막은 낮은 면저항, 높은 반사율, 광흡수층 Na-path 제공 등의 조건이 요구된다. 일반적으로 Mo 박막 제작은 DC 마그네트론 스퍼터링 방법이 가장 널리 사용되며, 제작조건에 따라 태양전지 효율에 강한 영향을 미치는 것으로 보고되고 있다. 본 연구에서는 DC 마그네트론 스퍼터링 시 기판에 이온빔(Ion-beam)을 동시 조사하는 이온 빔 스퍼터링 증착(Ion-beam sputter deposition)법으로 Mo 박막을 제작하였다. 제작된 박막의 전기적 및 광학적 특성은 4-point probe, UV-Vis-NIR spectrometer로 각각 조사하였으며 Na-path 제어를 위한 구조적 특성은 XRD, FE-SEM으로 분석하였다. 분석결과에 따르면 기존 DC 마그네트론 스퍼터링 방법보다 상대적으로 더 치밀한 구조와 높은 반사율을 가지는 박막이 제작됨을 알 수 있었다. Mo 박막의 최적조건은 DC power 300 W, Ion-gun power 50 W, Ar flow rate 20 sccm 였다.
-
The organic-inorganic hybrid polymer thin films were deposited using the gas phase method which known as molecular layer deposition (MLD). Titaniumchloride (TiCl4) and 1,4-phenylenediamine (PD) were used as monomers to deposit hybrid polymer. Self-terminating nature of TiCl4 and PD reaction were demonstrated by growth rate saturation versus precursors dosing time. Infrared spectroscopic and X-ray photoelectron spectroscopy were employed to determine the chemical composition and state of hybrid polymer thin films. Layer by layer growth was showed by increasing UV-VIS absorption peak of hybrid polymer thin films.
-
본 연구에서는 태양전지 소자의 온도에 따른 전류-전압(I-V) 특성 변화를 통해 태양전지 다이오드의 전기적 특성을 분석하였다. 상온 조건의 경우 공핍층 영역(SCR)과 준중성 영역(QNR)에서 각각 3.02와 1.76의 이상 계수 값을 보였으며, 온도가 300 K에서 500 K으로 상승함에 따라 SCR 영역에서는 감소하는 경향을, QNR 영역에서는 증가하는 경향을 보였다. 이는 온도 상승에 따른 공핍층 영역에서의 캐리어 흐름 증가와 대면적 공정 과정에서의 오염물 침투 및 dangling bond 등의 결함으로 인한 bulk 에서의 캐리어 재결합에 따른 것으로 판단된다. 또한 텍스처링 공정에 따른 태양전지 소자의 접합면 균일성 확인을 위한 I-V 측정 결과 SCR 영역에서는 40.87%의 평균 전류 분산을, QNR 영역에서는 10.59%의 평균 전류 분산을 보였다. 이는 텍스처링 공정으로 형성된 접합면에서의 피라미드 구조가 원인이 되는 것으로 판단되며, 전체 다이오드 전류 흐름에 영향을 주게 된다. 이러한 공정 과정에서의 결함 및 접합 구조로 인해 태양전지 다이오드는 일반 다이오드에 비해 비이상적인 전기적 특성을 보이게 된다.
-
현재 태양전지 시장은 결정질 태양전지가 주류를 차지하고 있으며 이중 상대적으로 재료비가 저렴한 다결정 실리콘 기반의 고효율 태양전지 제작에 대한 연구가 활발히 진행되고 있다. 이에 본 실험에서는 표면 텍스처링 방법에 따른 태양전지 소자의 특성 변화에 대한 실험을 진행하였다. 일반적으로 다결정 태양전지의 경우 산성용액을 이용한 표면 텍스처링을 실시하는데 이 경우 표면에 형성된 텍스처 구조는 산성용액의 등방성 식각으로 인해 반구(Hemisphere) 형태의 구조를 띄게 된다. 이는 표면에서의 광흡수율을 떨어뜨려 태양전지 소자의 효율을 저해하는 원인이 된다. 따라서 본 연구에서는 다결정 실리콘 태양전지의 효율 향상을 위해 레이저를 이용한 차세대 텍스처링 방법에 대한 연구를 진행하였다. 우선 355 nm 파장의 Ultra-Violet (UV) 레이저를 소자 표면에 조사함으로써
$10{\mu}m$ 의 dot diameter와 depth를 갖는 honey comb 배열의 hole을 형성하였다. 이후 산성용액에 담가 레이저 공정 후의 slag를 제거해 최종적으로 피라미드 형태의 구조를 형성하였다. Suns_Voc 효율 측정 결과 산성용액을 이용한 텍스처링의 경우 개방 전압이 611 mV, 곡선인자가 81%, 효율이 17.32%로 각각 측정되었다. 반면, 레이저 텍스처링의 경우에서는 개방전압이 631 mV, 곡선인자가 83%, 효율이 18.33%로 용액 텍스처링 방법보다 우수한 특성을 보였다. 이는 UV 레이저 텍스처링을 통해 형성된 피라미드 형태의 표면 구조에서의 광흡수율이 산성용액을 이용한 방법보다 우수함을 말하며, 따라서 태양전지의 주요 파라미터가 향상된 결과를 보였다. 본 실험에서는 레이저 텍스처링을 통한 태양전지 제작에 대한 방법을 제시하며, 향후 고효율의 다결정 태양전지 제작에 있어 기여 할 것으로 판단된다. -
현재 결정질 태양전지 제작에 있어 공정 단가 및 재료비 절감을 위해 실리콘 웨이퍼의 두께가 점점 얇아지는 추세이며, 이에 따른 장파장 영역 흡수 손실을 감소시키기 위한 방안으로 후면 패시베이션에 관한 연구가 활발히 진행되고 있다. 후면 패시베이션층으로는 SiO2, SiNx, a-Si:H, SiOxNy 등의 물질이 사용되고 있으며, 본 연구에서는 SiO2/SiNx/SiO2 (ONO)의 삼중막 구조를 패시베이션층으로 하여 SiNx 단일막 구조와의 열처리 온도에 따른 소수캐리어 수명(
${\tau}eff$ ), 후면 재결합속도(Seff), 확산거리(LD) 등의 파라미터 변화를 비교하였다. 증착 직후와$350^{\circ}C$ 에서의 Forming Gas Annealing (FGA), 그리고$800^{\circ}C$ 의 고온에서의 fast firing 후의 각각의 파라미터 변화를 관찰하였다. 증착 직후 SiNx 단일막과 ONO 삼중막의 소수캐리어 수명은 각각$108{\mu}s$ 와$145{\mu}s$ 를 보였다. 후면 재결합속도는 65 cm/s와 44 cm/s를 보였으며, 확산거리는 각각$560{\mu}m$ 와$640{\mu}m$ 를 나타내었다. FGA와 firing 열처리 후 세 파마미터는 모두 향상된 값을 보였으며 최종 firing 처리 후 단일막과 삼중막의 소수캐리어 수명은 각각$196{\mu}s$ 와$212{\mu}s$ 를 보였다. 또한 후면 재결합속도는 28 cm/s와 24 cm/s를 보였으며, 확산거리는 각각$750{\mu}m$ 와$780{\mu}m$ 를 보여 ONO 삼중막 구조의 경우에서 보다 우수한 특성을 보였다. 본 실험을 통해 SiNx 단일막보다 ONO 패시베이션 구조에서의 열적안정성이 우수함을 확인하였으며, 또한 ONO 패시베이션 구조는 열적 안정성뿐 아니라 n-type 도핑을 위한 Back To Back (BTB) 도핑 공정 시 후면으로 의 도펀트 침투를 막는 차단 층으로서의 역할도 기대할 수 있다. -
Heteroepitaxial growth remains as one of the continuously growing interests, because the heterogeneous crystallization on different substrates is a common feature in the fabrication processes of many semiconductor materials and devices, such as molecular beam epitaxy, pulsed laser deposition, sputtering, chemical bath deposition, chemical vapor deposition, hydrothermal synthesis, vapor phase transport and so on [1,2]. By using the R.F. sputtering system, ZnO thin films were deposited on graphene 4 and 6 mono layers, which is grown on 400 nm and 600 nm
$SiO_2$ substrates, respectively. The ZnO thin layer was deposited at various temperatures by using a ZnO target. In this experimental, the working power and pressure were$3{\times}10^{-3}$ Torr and 50 W, respectively. The base pressure of the chamber was kept at a pressure around$10^{-6}$ Torr by using a turbo molecular pump. The oxygen and argon gas flows were controlled around 5 and 10 sccm by using a mass flow controller system, respectively. The structural properties of the samples were analyzed by XRD measurement. The film surface and carrier concentration were analyzed by an atomic force microscope and Hall measurement system. The surface morphologies were observed using field emission scanning electron microscope (FE-SEM). -
Kim, Min-Su;Nam, Gi-Woong;Kim, So-A-Ram;Lee, Dong-Yul;Kim, Jin-Soo;Kim, Jong-Su;Son, Jeong-Sik;Leem, Jae-Young 310
ZnO thin films were grown on porous silicon (PS) by plasma-assisted molecular beam epitaxy (PA-MBE). The optical properties of the ZnO thin films grown on PS were studied using room-temperature, low-temperature, and temperature-dependent photoluminescence (PL). The full width at half maximum (FWHM) of the near-band-edge emission (NBE) from the ZnO thin films was 98 meV, which was much smaller than that of ZnO thin films grown on a Si substrate. This value was even smaller than that of ZnO thin films grown on a sapphire substrate. The Huang-Rhys factor S associated with the free exciton (FX) emission from the ZnO thin films was found to be 0.124. The Eg(0) value obtained from the fitting was 3.37 eV, with${\alpha}=3.3{\times}10^{-2}eV/K$ and${\beta}=8.6{\times}10^3K$ . The low- and high-temperature activation energies were 9 and 28 meV, respectively. The exciton radiative lifetime of the ZnO thin films showed a non-linear behavior, which was established using a quadratic equation. -
Boron carbide (B-C) 박막은 높은 경도, 열적 안전성, 화학적 안전성이 우수한 하드 코팅 소재로 사용되고 있다. 우수한 특성을 가지는 B-C 박막에 대한 연구는 B4C 비전도성 타겟을 이용하여 RF Sputtering 법으로 증착 공정변수에 대해서 박막의 물성에 관해 일부 연구자들이 진행하였으나, Pulsed dc margnetron sputtering 법으로 증착 공정변수에 대한 물성의 연구는 미진하였다. 반면에, DLC 박막은 우수한 특성을 가지는 하드 코팅 소재이나 400도 이상에서는 내열성이 떨어지는 단점을 가지고 있다. 연구에서는 B-C 박막의 내열성이 우수한 특성을 이용하여 DLC 박막의 내열성을 높이기 위한 목적으로 B-C 박막과 DLC 박막을 다층막으로 제조함으로서 DLC 박막을 구조적으로 안정화를 시키고자 하였다. 그리고 비전도성 B4C 타겟으로 Pulsed dc 마그네트론 스퍼터링법을 이용하여 증착기술을 개발하기 위해서 공정압력과 인가전력에 따른 B-C 박막을 제조하여 그 물성을 조사하였고, B-C/DLC 다층막을 제조하여 DLC 박막의 내열성을 증가시키고자 하였다. B-C 박막과 B-C/DLC 다층막의 경도와 탄성율은 나노인덴테이션과 마이크로 비커스를 이용하였으며, 박막의 성장구조와 박막의 구조를 조사하기 위해 SEM과 FTIR 및 XRD 을 이용하여 측정하였다.
-
Suk, Jae-Kwon;Gautam, Sanjeev;Song, Jin-Ho;Lee, Jae-Yong;Kim, Jae-Yeoul;Kim, Joon-Kon;Song, Jong-Han;Chae, Keun-Hwa 312
Magnesium oxide has become focus for research activities due to its use in magnetic tunnel junctions and for understanding of do ferromagnetism. Theoretical investigations on such type of system indicate that the presence of defects greater than a threshold value is responsible for the magnetic behaviour. It has also been shown experimentally that by decreasing the film thickness and size of nanoparticles, enhancement/increase in magnetization can be achieved. Apart from the change in dimension, swift heavy ions (SHI) are well known for creating defects and modifying the properties of the materials. In the present work, we have studied the irradiation induced effects in magnesium oxide thin film deposited on quartz substrate via X-ray absorption spectroscopy (XAS). Magnesium oxide thin films of thickness 50nm were deposited on quartz substrate by using e-beam evaporation method. These films were irradiated by 200 MeV Ag15+ ion beam at fluence of$1{\times}10^{11}$ ,$5{\times}10^{11}$ ,$1{\times}10^{12}$ ,$3{\times}10^{12}$ and$5{\times}10^{12}ions/cm^2$ at Nuclear Science Centre, IUAC, New Delhi (India). The grain size was observed (as studied by AFM) to be decreased from 37 nm (pristine film) to 23 nm ($1{\times}10^{12}ions/cm^2$ ) and thereafter it increases upto a fluence of$5{\times}10^{12}ions/cm^2$ . The electronic structure of the system has been investigated by X-ray absorption spectroscopy (XAS) measurements performed at the high energy spherical grating monochromator 20A1 XAS (HSGM) beamline in the National Synchrotron Radiation Research Center (NSRRC), Taiwan. Oxides of light elements like MgO/ZnO possess many unique physical properties with potentials for novel application in various fields. These irradiated thin films are also studied with different polarization (left and right circularly polarized) of incident x-ray beam at 05B3 EPU- Soft x-ray scattering beamline of NSRRC. The detailed analysis of observed results in the wake of existing theories is discussed. -
Yeo, Chang-Su;Lee, Gwan-Ho;Kang, Hee-Kyoung;Lee, Kyung-Hee;Yu, Byung-Yong;Song, Jong-Han;Chae, Kuen-Hwa 313
ZnO and$SnO_2$ , well-known wide direct band-gap semiconductors, have been considered as the most promising functional materials due to their highly sensitive gas sensing and excellent optical properties. ZnO/$SnO_2$ epitaxial hetrostructure exhibited unique luminescence properties in contrast with individual tetra-pod ZnO and$SnO_2$ nanostructures. Polycrystalline$SnO_2$ -based samples$Zn_xSn_{1-x}O_2$ (x=0, 0.01, 0.03, 0.05) were prepared by solid state reaction and eco-friendly hydrothermal techniques. Scanning electron microscopy equipped with electron dispersive x-ray spectra confirms the formation of near stoichiometric$Zn_xSn_{1-x}O_2$ nanorods of diameter ~10 nm. X-ray diffraction analysis revealed the rutile structure, except for x=0.07, which may have a small part of$Zn_2SnO_4$ as a secondary phase. -
The dilute magnetic semiconductors (DMS) have been developed to multi-functional electro-magnetic devices. Specially, the Si based DMS formed by ion implantation have strong advantages to improve magnetic properties because of the controllable effects of carrier concentration on ferromagnetism. In this study, we investigated the deep level states of Fe- and Co-ions implanted Si wafer during rapid thermal annealing (RTA) process. The p-type Si (100) wafers with hole concentration of
$1{\times}10^{16}cm^{-3}$ were uniformly implanted by Fe and Co ions at a dose of$1{\times}10^{16}cm^{-2}$ with an energy of 60 keV. After RTA process at temperature ranges of$500{\sim}900^{\circ}C$ for 5 min in nitrogen ambient, the Au electrodes with thickness of 100 nm were deposited to fabricate a Schottky contact by thermal evaporator. The surface morphology, the crystal structure, and the defect state for Fe- and Co- ion implanted p-type Si wafers were investigated by an atomic force microscopy, a x-ray diffraction, and a deep level transient spectroscopy, respectively. Finally, we will discuss the physical relationship between the electrical properties and the variation of defect states for Fe- and Co-ions implanted Si wafer after RTA. -
반도체와 금속배선의 확산을 방지하기 위한 확산방지막의 필요성이 대두되고 있으며, 이에 대한 연구는 많은 연구 그룹에서 진행중에 있다. 하지만 이러한 연구의 대부분은 전기적, 결정학적 특성에 대하여 안전성 및 재료학적 연구에 국한되어 진행되어졌다. 본 연구그룹은 텅스텐(W)을 질화시킨 W-N 확산방지막에 대하여 연구를 진행하였고, 역시 결정학적 특성에 대한 열적인 안전성을 주로 연구하였으나, 본 연구에서는 W-N 박막의 나노영역에 대한 기계적 특성 평가에 주안점을 두어 W-N 박막의 stress를 nano-indenter 기법을 이용하여 측정하고자하였다. 특히 공정시간의 단축 효과 등의 이유로 박막의 두께를 감소시키는 현재 추세에 맞춰 더 얇은 W-N 확산방지막을 제작하였으며, 이에 대한 분석을 실시하였다. W-N 확산방지막은 Ar(Argonne),
$N_2$ (nitrogen) 총유량을 40 sccm으로 고정하여, 질소 유입 조건을 0, 0.5, 1 sccm 으로 변화시켜 Si (silicon) (100) 기판 위에 rf (radio-frequency) magnetron sputter를 이용하여 증착하였다. 이때 W-N 박막의 두께를 30, 100 nm로 달리하여 증착하였으며, 증착된 박막은 질소 분위기$600^{\circ}C$ 에서 30분간 열처리하였다. 증착된 시료는 nano-indent를 통하여 표면으로부터 10 nm 부근의 극 표면 물성을 측정하였다. 측정 결과,$N_2$ 가스의 유량을 0.5 sccm 흘려주면서 증착한 W-N 박막이$N_2$ 가스를 흘려주지 않은 W 박막과 비교하여 압축응력을 덜 받아 비교적 열에 대하여 안정적임을 확인하였다. 또 30 nm 두께의 W-N 박막이 100 nm 두께의 W-N 박막보다 더 기계적으로 안정적인 상태임을 확인하였다. -
현재의 반도체 산업에서 Hafnium oxide와 Hafnium silicates같은 high-k 물질은 CMOS gate와 DRAM capacitor dielectrics로 사용하기 위한 대표적인 물질에 속한다. MOSFET (metal oxide semiconductor field effect transistor)구조에서 gate length는 16 nm 이하로 계속 미세화가 연구 중이고, 또한 gate는 기존구조에서 Multi-gate구조로 다변화가 일어나고 있다. 이를 통해 게이트 절연막은 그 구조와 활용범위가 다양해지게 될 것이다. 동시에 leakage current와 dielectric break-down을 감소시키는 연구가 중요해지고 있다. 그러나 나노 영역에서의 기계적 특성에 대한 연구는 전무한 상태이다. 따라서 복잡한 회로 공정, 다양한 Multi-gate 구조, 신뢰도의 향상을 위해서는 유전박막 물질자체와 계면에서의 물리적, 기계적인 특징의 측정이 상당히 중요해지고 있다. 이에 본 연구는 Nano-indenter의 통해 경도(Hardness)와 탄성계수(Elastic modulus) 등의 측정을 통하여 시료 표면의 나노영역에서의 기계적 특성을 연구하고자 하였다.
$HfO_2$ 게이트 절연막은 rf magnetron sputter를 이용해 Si (silicon) (100)기판위에 박막형태로 증착하였고, 이후 furnace에서 질소분위기로 온도(400, 450,$500^{\circ}C$ )를 달리하여 20분 열처리를 하였다. 또한 Weibull distribution을 이용해 박막의 characteristic value를 계산하였으며, 실험결과 열처리 온도가$400^{\circ}C$ 에서$500^{\circ}C$ 로 증가함에 따라 경도와 탄성계수는 7.4 GPa에서 10.65 GPa으로 120.25 GPa에서 137.95 GPa으로 각각 증가하였다. 이는 재료적 측면으로 재료의 구조적 우수성이 증가된 것으로 판단된다. -
현재 폭넓게 이용되고 있는 STI (Shallow Trench Isolation) 공정에서 active edge 부분에 발생하는 기생 transistor의 subthreshold hump 특성을 제어하는 연구가 활발히 이루어지고 있다. 일반적으로 STI 공정을 이용하는 MOSFET에서 active edge 부분의 얇게 형성된 gate oxide, sharp한 active edge 형성, STI gap-fill 공정 중에 생기는 channel dopant out-diffusion은 subthreshold hump 특성의 주된 요인이다. 이와 같은 문제점을 해결하기 위해 active edge rounding process와 channel dopant compensation의 implantation을 이용하여 subthresold hump 특성 개선을 연구하였다. 본 연구는 STI 공정에 필요한 wafer와 phosphorus를 함유한 wafer를 한 chamber 안에서 auto-doping하는 방법을 이용하여 subthresold hump 특성을 구현하였다. phosphorus를 함유한 wafer에서 빠져나온 phosphorus가 STI 공정중인 wafer로 침투하여, active edge 부분의 channel dopant인 boron 농도를 상대적으로 낮춰 active edge 부분의 가 감소하고 leakage current를 증가시킨다. transistor의 channel length, gate width이고, wafer#No가 클수록 phosphorous를 함유한 wafer까지의 거리는 가까워진다. wafer #01은 hump 특성이 없고, wafer#20은 에서 심한 subthreshold hump 특성을 보였다. channel length 고정, gate width를 ~으로 가변하여 width에 따른 영향을 실험하였다. active 부분에 대한 SCM image로 확인된 phosphorus에 의한 active edge 부분의 boron 농도 감소와 gate width vs curve에서 확인된 phosphorus에 의한 감소가 narrow width로 갈수록 커짐을 확인하였다.
-
III-V 화합물 태양전지는 실리콘, CdTe, CIGS, 염료, 및 유기 등 다른 태양전지에 비해 1sun 상 30% 이상의 고효율을 갖고 있고 앞으로도 계속 증가할 수 있는 가능성을 갖고 있다. 그 이유는 직접천이형 밴드갭, 높은 이동도 등의 고성능 물질특성과 더불어 3족과 5족의 비율을 조절함으로써 같은 결정구조를 갖고 에너지 밴드갭이 다른 물질들을 만들기에 용의하여, 태양전지 스펙트럼의 넓은 영역을 흡수할 수 있는 장점이 있기 때문이다. 그러나, 셀자체의 물질이 실리콘에 비하여 고가이므로, 고성능이 요구되는 우주 인공위성등에 적용이 되었지만, 2000년대 이후로 집광에 적용가능한 태양전지의 연구를 거듭하여 2005년부터는 값싼 프레넬 렌즈를 이용하여 1sun에 비해 500배 해당하는 빛을 셀에 집광하여 보다 효율을 증가시킴으로써 지상발전용에도 적용가능한 셀을 형성하게 되었다. 더불어 태양전지의 효율을 증가시키기 위한 개선된 구조적 변화의 시도도 많이 이루어지고 있다. 최근 보고에 의하면 실리콘 태양전지의 표면에 texture 또는 나노 구조를 주어 높은 흡수율과 낮은 반사율을 갖게 함으로써 효율을 증가시키는 사례가 많아지고, III-V 화합물 태양전지도 texturing에 의해 증가된 효율을 발표한바 있다. 본 연구에서는 태양전지의 효율을 증가시키기 위하여 III-V 화합물 태양전지 표면에 micro-hole array texture 구조를 형성한 후 나노 particle을 이용한 나노 texture 구조를 형성하였다. Photo-lithography와 chemical wet etching으로 micro-hole array texture 구조를 형성하였으며 micro-hole의 직경은
$5{\sim}20{\mu}m$ , hole과 hole의 간격은$3{\sim}15{\mu}m$ 로 다양하게 변화를 주었다. 형성된 micro-hole array texture 구조위에 수십 nm 크기의 particle을 만들어 chemical wet etching으로 나노 texture 구조를 형성하였다. 태양전지 표면에 texture 구조가 있는 경우와 없는 경우에 각각 효율을 측정, 비교 분석하였다. -
Kim, Dae-Guk;Sin, Jeong-Uk;O, Gyeong-Min;Kim, Seong-Heon;Lee, Yeong-Gyu;Jo, Seong-Ho;Nam, Sang-Hui 321
의료용 방사선 장비는 초기의 아날로그 방식의 필름 및 카세트에서 진보되어 현재는 디지털 방식의 DR (Digital Radiography)이 널리 사용되며 그에 관한 연구개발이 활발히 진행되고 있다. DR은 크게 간접방식과 직접방식의 두 분류로 나눌 수 있는데, 간접방식은 X선을 흡수하면 가시광선으로 전환하는 형광체(Scintillator)를 사용하여 X선을 가시광선으로 전환하고, 이를 Photodiode와 같은 광소자로 전기적 신호로 변환하여 방사선을 검출하는 방식을 말하며, 직접 방식은 X선을 흡수하면 전기적 신호를 발생 시키는 광도전체(Photoconductor)를 사용하여 광도전체 양단 전극에 고전압을 인가한 형태를 취하고 있는 가운데, X선이 조사되면 일차적으로 광도전체 내부에서 전자-전공쌍(Electron-hole pair)이 생성된다. 이들은 광도전체 양단의 인가되어 있는 전기장에 의해 전자는 +극으로, 전공은 -극으로 이동하여 아래에 위치한 Active matrix array을 통해 방사선을 검출하는 방식이다. 본 연구에서는 직접방식 X-ray 검출기에서 활용되는 a-Se을 ITO (Indium Thin oxide) glass 상단에 Thermal evaporation증착을 이용하여 두께$50{\mu}m$ , 33 넓이로 증착 시킨 다음, a-Se상단에 Sputtering증착을 이용하여 ITO를 11 cm, 22 cm,$2.7{\times}2.7cm$ 넓이로 증착시켜 상하부의 ITO를 Electrode로 이용하여 직접방식의 X-ray검출기 샘플을 제작하였다. 제작 과정 중 a-Se의 Thermal evaporation증착 시, 저진공$310^{-3}_{Torr}$ , 고진공$2.210^{-5}_{Torr}$ 에서 보트의 가열 온도를 두 번의 스텝으로 나누어 증착 시켰다. 첫 번째 스텝$250^{\circ}C$ , 두 번째 스텝은$260^{\circ}C$ 의 조건으로 증착하여 보트 내의 a-Se을 남기지 않고 전량을 소모할 수 있었으며, 스텝간의 온도차를$10^{\circ}C$ 로 제어하여 균일한 박막을 형성 할 수 있었다. Sputtering증착 시, 저진공$2.510^{-3}$ , 고진공$310^{-5}$ 에서 Ar,$O_2$ 를 사용하여 100 Sec간 플라즈마를 생성시켜 ITO를 증착하였다. 제작된 방사선 각각의 검출기 샘플 양단의 ITO에 500V의 전압을 인가하고, 진단 방사선 범위의 70 kVp, 100 mA, 0.03 sec 조건으로 X-ray를 조사시켜 ITO넓이에 따른 민감도(Sensitivity)와 암전류(Dark current)를 측정하였다. 측정결과 민감도(Sensitivity)는 X-ray샘플의 두께에 따른$1V/{\mu}m$ 기준 시, 증착된 ITO의 넓이가 11 cm부터 22 cm,$2.7{\times}2.7cm$ 까지 각각$7.610nC/cm^2$ ,$8.169nC/cm^2$ ,$6.769nC/cm^2$ 로 22 cm 넓이의 샘플이 가장 높은 민감도를 나타내었으나, 암전류(Dark current)는$1.68nA/cm^2$ ,$3.132nA/cm^2$ ,$5.117nA/cm^2$ 로 11 cm 넓이의 샘플이 가장 낮은 값을 나타내었다. 이러한 데이터를 SNR (Signal to Noise Ratio)로 합산 하였을 시 104.359 ($1{\times}1$ ), 60.376($2{\times}2$ ), 30.621 ($2.7{\times}2.7$ )로 11 cm 샘플이 신호 대 별 가장 우수한 효율을 나타냄을 알 수 있었다. 따라서 ITO박막의 면적이 클수록 민감도는 우수하나 그에 따른 암전류의 증가로 효율이 떨어짐을 검증 할 수 있었으며, 이는 ITO면적이 넓어짐에 따른 저항의 증가로 암전류에 영향을 끼침을 할 수 있었다. 본 연구를 통해 a-Se의 ITO 박막 면적에 따른 전기적 특성을 검증할 수 있었다. -
We present ferroelectricity of Bi-doped ZnO film probed by piezoresponse force microscopy (PFM), which is one of the Scanning Probe Microscopy techniques. Perovskite ferroelectrics are limited to integration of devices into semiconductor microcircuitry due to hard adjusting their lattice structure to the semiconductor materials. Transition metal doped ZnO film is one of the candidate materials for replacing the perovskite ferroelectrics. In this study, ferroelectric characteristics of the Bi-doped ZnO grown by pulsed laser deposition were probed by PFM. The polarization switching and patterning of the ZnO films were performed by applying DC bias voltage between the AFM tips and the films with varying voltages and polarity. The PFM contrast before and after patterning showed clearly polarization switching for a specific concentration of Bi atoms. In addition, the patterned regions with nanoscale show clearly the local piezoresponse hysteresis loop. The spontaneous polarization of the ZnO film is estimated from the local piezoresponse based on the comparison with LiNbO3 single crystals.
-
Lee, Seon-Yeong;Denny, Yus Rama;Gang, Hui-Jae;Heo, Seong;Jeong, Jae-Gwan;Lee, Jae-Cheol;Chae, Hong-Cheol 324
투명전도체(Transparent Conducting Oxides: TCOs)는 일반적으로 면저항이$103{\Omega}/sq$ 이하로 전기가 잘 통하며, 가시광선영역인 380~780 nm에서의 투과율이 80% 이상이고, 3.2eV 이상의 밴드갭을 가지는 재료로써, 전기전도도와 가시광선영역에서 투과성이 높아 전기적, 광학적 재료로 관심을 받아 다년간 연구대상이 되어오고 있다. 현재 가장 널리 사용되고 있는 투명전도체(Transparent Conducting Oxides: TCOs) 소재로는 Indium Tin Oxide (ITO)가 가장 각광받고 있지만, Indium의 가격상승과 박막의 열처리를 통해 저항이 증가하는 단점을 가지고 있어 이를 대체 할 새로운 소재 개발이 필요한 상황이다. 그러므로 투명전도체 소재 개발에 있어서 가장 중요한 연구과제는 Indium Tin Oxide(ITO)의 단점을 개선시키고 안정된 고농도의 In-Zn-Sn-O(ITZO) 박막을 성장시키는 것이다. 본 연구에서는 RF스퍼터링법에 의하여 Si wafer에 In-Zn-Sn-O(IZTO)를$350{\AA}$ 만큼 증착시키고, 1시간 동안$300^{\circ}C$ ,$350^{\circ}C$ ,$400^{\circ}C$ 로 각각 열처리 하였다. 박막의 전자적, 광학적 특성은 XPS(X-ray Photoelectron Spectroscopy), REELS(Reflection Electron Energy Loss Spectroscopy)를 이용하여 연구하였다. XPS측정결과, ITZO박막은 In-O, Sn-O and Zn-O의 결합을 가지고 있고, 박막의 열처리를 통해$400^{\circ}C$ 에서 Zn2p의 피크가 가장 크게 나타나는 반면 In3d와 Sn3d는 열처리를 했을 때가 Room Temperature에서 보다 피크가 작아지는 것을 확인하였다. 이는$400^{\circ}C$ 에서 Zn가 표면에 편석됨을 나타낸다. 그리고 REELS를 이용해 Ep=1500 eV에서의 밴드갭을 얻어보면, 밴드갭은$3.25{\pm}0.05eV$ 로 온도에 크게 변화하지 않았다. 또한 QUEELS -Simulation에 의한 광학적 특성 분석 결과, 가시광선영역인 380nm~780nm에서의 투과율이 83%이상으로 투명전자소자로의 응용이 가능하다는 것을 보여주었다. -
1차원구속 반도체인 nanowires (NWs)는 전기적, 광학적으로 일반 bulk구조와 다른 특성을 가지고 있어서 현재 많은 연구가 되고 있다. 일반적으로 NWs는 Au 등의 금속 촉매를 이용하여 성장을 하게 되는데 이때 촉매가 오염물로 작용을 해서 결함을 만들어서 bandgap내에 defect level을 형성하게 된다. 본 연구는 Si (111) 기판 위에 GaAs NWs 와 InAs NWs를 촉매를 이용하지 않고 성장 하였다. vapour-liquid-solid (VLS)방법으로 성장하는 GaAs NWs는 Ga의 droplet을 이용하게 되는데 Ga이 Si 기판위에 자연 산화막에 존재하는 핀홀(pinhole)로 이동하여 1차적으로 Ga droplet 형성하고 이후 공급되는 Ga과 As은 SiO2 보다 GaAs와 sticking coefficient 가 좋기 때문에 Ga drolept을 중심으로 빠른 선택적 성장을 하게 되면서 NWs로 성장을 하게 된다. 반면에 InAs NWs를 성장 할 시에 droplet 방법으로 성장을 하게 되면 NWs가 아닌 박막 형태로 성장을 하게 되는데 이것으로 InAs과 GaAs의
$SiO_2$ 와의 sticking coefficient 의 차이를 추측을 할 수 있다. InAs NWs는 GaAs NWs는 달리 native oxide를 이용하지 않고 InAs 과 Si 사이의 11.5%의 큰 lattice mismatch를 이용한다. 이종의 epitaxy 방법에는 크게 3종류 (Frank-van der Merwe mode, Stranski-Krastanov mode, Volmer-Weber mode)가 있는데 각기 다른 adatom 과 surface의 adhesive force로 나누어지게 된다. 이 중 Volmer-Weber mode epitaxy는 adatom 의 cohesive force가 surface와의 adhesive force보다 큰 경우 성장 되는 방식으로 InAs NWs 는 이 방식을 이용한다. 즉 droplet을 이용하지 않는 vapour-solid (VS) 방법으로 성장을 하였다. 이 때 In 의 migration을 억제하기 위해서 VLS mode 의 GaAs NWs 보다 As의 공급을 10배 이상 하였다. FE-SEM 분석 결과 GaAs NWs는 Ga droplet을 확인 할 수 있었고 InAs NWs는 droplet이 존재하지 않았다. GaAs와 InAs NW는 density와 length가 V/III가 높을수록 증가 하였다. -
ZnS를 합성하는 방법 중 thioacetamide (TAA)를 녹인 물에 ZnO template를 넣어서 황화시키는 방법이 있다. 이 방법은 실험과정이 간편할 뿐만 아니라 그 반응양의 조절도 용이해 ZnS-ZnO core-shell 구조나 ZnS hollow 구조 등을 만드는데 널리 사용되고 있다. 그러나 다양한 형태의 ZnS 구조체 합성에 관한 연구는 활발한 반면, ZnS의 상형성 과정이나 구조 변화와 같은 ZnO의 황화 과정 기구에 관한 연구는 매우 미비한 실정이다. ZnS는 기본적으로 저온에서는 cubic sphalerite 구조를, 고온에서는 hexagonal wurtzite 구조를 안정상으로 가진다. 또한, 8H나 15R 등과 같은 다양한 polytype 구조도 존재한다. 그러나 다양한 구조에서 비슷한 면간거리가 존재하기 때문에 결정구조의 분석이 어려운 실정이다. 이러한 비슷한 면간거리를 가지는 ZnS 등의 결정구조 분석에 있어 원자배열을 직접적으로 관찰할 수 있는 투과전자현미경 (TEM, transmission electron microscopye)을 이용한 연구는 큰 강점을 가진다. 본 연구에서는 다공성 ZnO 막을 황화시켜 형성된 ZnS 막의 미세구조 특성을 분석하였다. 다공성 ZnO 막은 패턴된 Si (111) 기판 위에 스핀코팅법을 이용하여 4,000 rpm의 속도로 증착되었으며 ZnO 결정화를 위해 150 도와 500도에서 각각 drying과 후열처리를 수행하였다. 이렇게 만들어진 ZnO 막을 TAA를 녹인 물에 넣어 48 시간 동안 반응시켰고 최종적으로 ZnS 막을 생성하였다. 다공성 ZnS 막의 미세구조를 분석하기 위해 주사전자현미경 (SEM, scanning electron microscope), X-선 회절분석기 (XRD, x-ray diffractometer), 그리고 투과전자현미경을 이용하였으며, 정확한 결정구조 분석을 위하여 결정구조 시뮬레이션을 병행하였다.
-
사파이어는 우수한 광학적, 물리적, 화학적 특성을 가지고 있는 물질 중의 하나이며, 청색 발광특성을 나타내는 GaN와 격자상수, 열팽창 계수가 가장 유사할 뿐만 아니라 가격도 상대적으로 저렴하기 때문에 GaN 성장을 위한 기판으로 사용될 수 있다. 실제로 사파이어는 프로젝터와 전자파 장치, 군사용 장비 등 다양한 분야에 응용되고 있으며, 발광 다이오드(LED)를 위한 기판으로 활용됨으로써 그 수요가 급격히 증가하고 있다. 그러나 사파이어 결정의 성장 중에 생길 수 있는 전위(dislocation)와 적층결함(stacking fault) 등의 결정 결함들은 결정 내에 존재하여 역학적, 전기적 성질에 큰 영향을 미칠 수 있다. 특히 사파이어가 청색 발광소자의 기판으로 사용되는 경우, 사파이어 기판 내부의 결정 결함은 증착되는 박막 특성에 영향을 미치게 된다. 따라서 사파이어의 보다 나은 응용을 위해서는 결정 결함에 대한 평가기술과 결함의 형성 메커니즘 등에 대한 이해가 필요하다. 특히, 결함의 정량적 평가 기술의 개발은 사파이어의 상용화에 중요한 핵심요소 중 하나이다. 결정을 산이나 염기 등을 이용하여 화학적 식각을 하게 되면 분자나 원자 간의 결합이 약한 부분이나 높은 에너지 상태에 있는 부분부터 반응을 하게 되는데, 이러한 반응을 통해 결정의 표면에 형성되는 것을 에치 피트(etch pit)라고 한다. 일반적으로 결정 내에 존재하는 전위는 높은 에너지 상태이므로, 이러한 에치 피트는 전위와 관련되어 있다. 따라서 사파이어 결정과 같은 결정질 물질은 표면의 식각을 통하여 관찰되는 에치 피트 등의 형상이나 반응성 등을 평가하여 결정 특성을 연구할 수 있다. 본 연구는 화학적 식각법으로 사파이어 결정의 특성을 평가하기 위하여 진행하였다. 사파이어 결정의 식각을 위하여 다양한 산-염기 용액들이 사용되었다. 식각 용액의 종류에 따른 사파이어 결정의 식각거동을 연구하고, 표면에 나타나는 형상을 연구하여 사파이어 결정의 구조적 특성을 파악하였다. 특히, 에치 피트 형성거동의 시간 및 온도 의존성에 관한 연구를 진행하였다.
-
Jeon, Seong-Chan;Gong, Dae-Yeong;Jeong, Dong-Geon;Choe, Ho-Yun;Kim, Bong-Hwan;Jo, Chan-Seop;Lee, Jong-Hyeon 328
$SF_6$ gas는 반도체 및 디스플레이 제조공정 중 Dry etch과정에서 널리 사용되는 gas로 자연적으로 존재하는 것이 아닌 사용 목적에 맞춰 인위적으로 제조된 gas이다. 디스플레이 산업에서$SF_6$ gas가 사용되는 Dry etch 공정은 주로${\alpha}$ -Si,$Si_3N_4$ 등 Si계열의 박막을 etch하는데 사용된다. 이러한 Si 계열의 박막을 식각하기 위해서는 fluorine, Chlorine 등이 사용된다. fluorine계열의 gas로는$SF_6$ gas가 대표적이다. 하지만$SF_6$ gas는 대표적인 온실가스로 지구 온난화의 주범으로 주목받고 있다. 세계적으로 온실가스의 규제에 대한 움직임이 활발하고, 대한민국은 2020년까지 온실가스 감축목표를 '배출전망치(BAU)대비 30% 감축으로' 발표하였다. 따라서 디스플레이 및 반도체 공정에는 GWP (Global warming Potential)에 적용 가능한 대체 가스의 연구가 필요한 상황이다. 온실가스인$SF_6$ 를 대체하기 위한 방법으로 GWP가 낮은$C_3F_6$ 가스를 이용하여$Si_3N_4$ 를 Dry etching 방법인 RIE (Reactive Ion Etching)공정을 한 후 배출되는 가스를 측정하였다. 4인치 P-type 웨이퍼 위에 PECVD (Plasma Enhanced Chemical Vapor Deposition)장비를 이용하여$Si_3N_4$ 를 200 nm 증착하였고, Photolithography공정을 통해 Patterning을 한 후 RIE공정을 수행하였다. RIE는 Power : 300 W, Flow rate : 30 sccm, Time : 15 min, Temperature :$15^{\circ}C$ , Pressure : Open과 같은 조건으로 공정을 수행하였다. 그리고 SEM (Scanning Electron Microscope)장비를 이용하여 Etching된 단면을 관찰하여 단차를 확인하였다. 또한 Etching 전후 배출가스를 포집하여 GC-MS (Gas Chromatograph-Mass Spectrophotometry)를 측정 및 비교하였다. Etching 전의 경우에는$N_2$ ,$O_2$ 등의 가스가 검출되었고,$C_3F_6$ 가스를 이용해 etching 한 후의 경우에는$C_3F_6$ 계열의 가스가 검출되었다. -
RF magnetron sputtering법을 이용하여 사파이어, 유리 기판위에 ZnO박막을 기판온도를 달리하여 증착하여 ZnO박막의 구조적, 광학적 특성을 조사하였다. 또한, RTA (Rapid Thermal Annealing)를 이용하여 ZnO박막의 열처리 효과도 확인하였다. XRD (X-ray diffraction)결과 사파이어 기판에서는 상온에서, 유리 기판에서는
$400^{\circ}C$ 일 때 ZnO박막의 가장 좋은 특성을 나타냈다. UV-vis spectrometer측정 결과 가시광선 영역에서 사파이어 기판에서는 80%가 넘는 투과율을 유리 기판에서는 90%가 넘는 투과율을 보였다. 열처리 후 XRD peak의 세기가 높아졌고, 반치폭도 감소함을 보여 ZnO박막의 결정성이 향상되었다. 그리고 밴드갭의 변화도 관찰되었다. -
Lee, Dong-Myeong;An, Ho-Myeong;Seo, Yu-Jeong;Kim, Hui-Dong;Song, Min-Yeong;Jo, Won-Ju;Kim, Tae-Geun 331
With the NAND Flash scaling down, it becomes more and more difficult to follow Moore's law to continue the scaling due to physical limitations. Recently, three-dimensional (3D) flash memories have introduced as an ideal solution for ultra-high-density data storage. In 3D flash memory, as the process reason, we need to use poly-Si TFTs instead of conventional transistors. So, after combining charge trap flash (CTF) structure and poly-Si TFTs, the emerging device SONOS-TFTs has also suffered from some reliability problem such as hot carrier degradation, charge-trapping-induced parasitic capacitance and resistance which both create interface traps. Charge pumping method is a useful tool to investigate the degradation phenomenon related to interface trap creation. However, the curves for charge pumping current in SONOS TFTs were far from ideal, which previously due to the fabrication process or some unknown traps. It needs an optimization and the important geometrical effect should be eliminated. In spite of its importance, it is still not deeply studied. In our work, base-level sweep model was applied in SONOS TFTs, and the nonideal charge pumping current was optimized by adjusting the gate pulse transition time. As a result, after the optimizing, an improved charge pumping current curve is obtained. -
분자선 에피탁시(molecular beam epitaxy)를 이용하여 GaAs 기판에 성장한 InAs 양자점(QDs: quantum dots)은 성장 온도, 압력, As/In의 공급비 등의 성장 조건에 따라 다른 변수(parameter)를 갖는다. 따라서 성장변수에 따라 양자점의 모양과 크기, 밀도가 달라져 균일한 양자점 형성에 어려움이 있어 많은 연구가 진행되고 있다. 예를 들면 In-interruption 법으로 성장한 양자점의 특성이 S-K mode (Stranski-Krastanov mode)로 성장한 양자점에 비해 광학적 특성이 향상되었다. 본 연구에서는 In pre-deposition (IPD) 법으로 성장한 InAs/GaAs 양자점의 광학적 특성을 PL(photoluminescence)와 TRPL (time-resolved PL)을 이용하여 분석하였다. InAs QDs 시료들은 In과 As 공급시간을 각각 1초와 19초 (QD1), 2초와 18초 (QD2), 3초와 17초 (QD3)로 조절하여 성장하였으며, In이 공급되는 시간 동안 As shutter를 차단하여 As 공급을 중단하였다. In과 As의 차단 없이 S-K mode로 성장한 시료를 기준시료로 사용하였다 (QD0). AFM (atomic force microscope) 측정결과, In 공급시간이 1초에서 2초로 증가할 때, 양자점의 밀도와 종횡비(aspect ratio)가 증가하였고, 양자점의 균일도가 증가하였다. 그러나 QD3 시료는 QD1 시료에 비해 밀도와 종횡비, 균일도가 감소하였다. 10 K에서 PL 피크는 In 공급 시간이 증가할 때, 970 nm에서 1020 nm로 적색편이 하였고 반치폭 (FWHM: full width at half maximum)은 75 meV에서 85 meV로 증가하였다. QD2 시료의 PL 피크 에너지가 가장 낮았고, 가장 강한 PL 세기를 보였다. IPD 시간이 증가함에 따라 PL 피크에서 측정한 PL 소멸은 점차 빨라졌다. IPD 기법으로 성장한 양자점의 빠른 PL 소멸은 양자점 밀도와 종횡비 향상에 의한 파동함수 중첩의 증가와 구속 에너지 증가에 의한 것으로 설명된다.
-
$Co_3O_4$ 계열 스피넬(spinel) 전이금속 산화물$TCo_2O_4$ (T = 3d 전이금속)는 화학적 촉매, 센서, 이차전지, 연료전지 등으로의 응용성에 기인하여 최근 주목을 받고 있으며, 특정 응용분야와 관련하여 그 박막 시료 제작 및 물리적, 화학적 성질들에 대한 세밀한 연구의 필요성이 제기되고 있다. 본 연구에서는 졸-겔 방법을 이용하여$TCo_2O_4$ 박막이$Al_2O_3$ (0001) 기판 위에 균일한 두께로 제작될 수 있는 최적 조건을 찾고자 하였으며, 후열처리 조건 변화에 따르는 박막의 구조적 성질 변화를 조사하였다. 후열처리는 공기 중에서 이루어졌으며 온도${\sim}800^{\circ}C$ 에서 최적 결정성을 갖는 다결정 박막이 얻어졌다. 또한, 박막에 작은 시간(~10 min) 동안의 전자선(electron beam) 조사를 통한 다결정 박막의 형성도 관측되었다.$TCo_2O_4$ 박막들에 대한 X-ray diffraction, X-ray photoelectron spectroscopy, spectroscopic ellipsometry 측정들을 수행하여 그 구조적, 광학적 성질을 조사 하였다. -
Transparent oxide semiconductors have recently attracted much attention as channel layer materials due to advantageous electrical and optical characteristics such as high mobility, high stability, and good transparency. In addition, transparent oxide semiconductor can be fabricated at low temperature with a low production cost and it permits highly uniform devices such as large area displays. A variety of thin film transistors (TFTs) have been studied including ZnO, InZnO, and InGaZnO as the channel layer. Recently, there are many studies for substitution of Ga in InGaZnO TFTs due to their problem, such as stability of devices. In this work, new quaternary compound materials, tantalum-indium-tin oxide (TaInSnO) thin films were fabricated by using co-sputtering and used for the active channel layer in thin film transistors (TFTs). We deposited TaInSnO films in a mixed gas (O2+Ar) atmosphere by co-sputtering from Ta and ITO targets, respectively. The electric characteristics of TaInSnO TFTs and thin films were investigated according to the RF power applied to the
$Ta_2O_5$ target. The addition of Ta elements could suppress the formation of oxygen vacancies because of the stronger oxidation tendency of Ta relative to that of In or Sn. Therefore the free carrier density decreased with increasing RF power of$Ta_2O_5$ in TaInSnO thin film. The optimized characteristics of TaInSnO TFT showed an on/off current ratio of$1.4{\times}108$ , a threshold voltage of 2.91 V, a field-effect mobility of 2.37 cm2/Vs, and a subthreshold swing of 0.48 V/dec. -
높은 효율의 InGaN/GaN 전광소자는 현대 조명 산업에 필수적인 역할을 하고 있다. 전광소자의 효율을 높이는 데에는 여러가지 한계들이 있다. 예를 들면 높은 전류에서의 효율 저하, GaN의 전위결함에 의한 비발광 재결합의 발생 등이 있다. 이러한 한계를 극복하고자 InGaN/GaN 전광소자의 효율을 높이기 위해 사파이어 기판의 표면을 거칠게 바꾸는 방법, 무분극 전광소자, 표면 플라즈몬 등 여러가지 많은 방법들이 개발되고 있다. 본 실험에서는 유기금속화학증착 방법을 이용하여 사파이어 기판위에 Si이 도핑된 n-type GaN를 3.0 um 증착 하였고 그 위에는 9층의 양자 우물 층을 쌓았다. 마지막으로 위층은 Mg 이 도핑된 p-type GaN를 200 nm 증착 하여 소자를 형성하였다. 포토리소그래피 공정과 에칭공정을 통하여 7 um 인 선 패턴을 가진 시료를 완성하였다. 투과 전자 현미경의 측정 결과 맨 위층인 p-GaN의 에칭된 깊이는 175 nm 이였다. 금속박막을 증착하기 위해 열증착 방법으로 금과 은의 박막을 두께를 달리하여 0~40 nm증착 하였다. 금과 은의 두께에 따른 광발광 측정 결과 은(Ag)박막만 40 nm 일 경우 금속박막이 없는 시료보다 광발광 효율이 7배 증가하였고 금 10 nm와 은 30 nm 인 경우에는 3.5배 증가하였다. 또한 패턴의 폭에 따른 광발광 증가를 알아보고 광발광 증가가 일어나기 위한 최적의 패턴조건을 알고자 폭을 5, 10 um 달리하였고, 원자간 힘 현미경과 전자현미경을 이용하여 에칭된 패턴의 폭과 두께를 확인하였다. 본 실험을 통해 금과 은박막에 의한 표면플라즈몬 효과와 광발광 효율증대에 대해 토의할 것이다.
-
유기물/무기물 하이브리드 나노 복합체를 사용하여 제작한 비휘발성 유기 메모리 소자는 공정의 간편성과 휘어짐이 가능한 장점을 가지고 있어 많은 연구가 활발히 진행되고 있으나 대부분의 좋은 전기적 성능을 갖는 소자에 포함되는 나노 입자는 독성을 가지거나 가격이 비싸다는 단점을 갖고 있다. 인체진화적이며 가격이 저렴한 나노입자를 이용한 비휘발성 메모리 소자에 대한 전기적 성능의 안정성에 대한 연구는 미미한 상황이다. 이에 본 연구에서는 인체친화적
$CuInS_2(CIS)$ -ZnS 코어-쉘 나노 입자가 분산되어 있는 poly (methylmethacrylate) (PMMA) 박막을 사용하여 비휘발성 메모리 소자를 제작하여 전기적 성능과 안정성에 대한 연구를 하였다. 인체친화적 CIS-ZnS 나노입자를 포함한 PMMA 용액을 Al 하부전극을 가진 p-Si (100) 기판 위에 스핀코팅 방법으로 균일하게 도포 하였다. 남아 있는 용매를 완전히 제거하기 위해 열을 가해 CIS-ZnS 나노입자가 분산되어 있는 PMMA 나노 복합체를 형성하였다. CIS-ZnS 나노입자를 포함한 PMMA 박막 위에 금속 마스크를 사용하여 Al 상부전극을 열 증착 방법으로 형성하여 비휘발성 메모리 소자를 완성하였다. 정전용량-전압 (C-V) 측정을 하여 평탄 전압 이동을 관찰하였고, CIS-ZnS 나노입자의 역할을 알아보기 위해 나노입자가 없는 PMMA 박막을 갖는 소자를 제작하여 동일한 조건에서 C-V 측정을 하였다. 소자의 안정성을 알아보기 위해 평탄 전압-유지 시간 (Vth-t) 측정을 수행하였다. Vth-t 측정은 CIS-ZnS 나노입자가 전하 포획 장소로 사용할 수 있는 것과 전기적 안정성을 갖고 있는 것을 확인하였다. C-V와 Vth-t 측정결과 및 에너지 대역도를 사용하여 CIS-ZnS 나노입자가 분산되어 있는 PMMA 박막을 포함한 나노 복합체를 사용하여 제작한 이용한 비휘발성 메모리 소자에서 전하수송 메커니즘을 설명하였다. -
Jo, Seul-Ki;Roh, Ji-Hyung;Lee, Kyung-Joo;Song, Sang-Woo;Park, Jae-Ho;Shin, Ju-Hong;Yer, In-Hyung;Park, On-Jeon;Moon, Byung-Moo 337
Dye sensitized solar cell (DSSC) having high efficiency with low cost was first reported by Gr$\ddot{a}$ tzel et al. Many DSSC research groups attempt to enhance energy conversion efficiency by modifying the dye, electrolyte, Pt-coated electrode, and$TiO_2$ films. However, there are still some problems against realization of high-sensitivity DSSC such as the recombination of injected electrons in conduction band and the limited adsorption of dye on$TiO_2$ surface. The surface of$TiO_2$ is very important for improving hydrophilic property and dye adsorption on its surface. In this paper, we report a very efficient method to improve the efficiency and stability of DSSC with nano-structured$TiO_2$ . Atmospheric plasma system was utilized for nitrogen plasma treatment on nano-structured$TiO_2$ film. We confirmed that the efficiency of DSSC was significantly dependent on plasma power. Relative in the$TiO_2$ surface change and characteristics after plasma was investigated by various analysis methods. The structure of$TiO_2$ films was examined by X-ray diffraction (XRD). The morphology of$TiO_2$ films was observed using a field emission scanning electron microscope (FE-SEM). The surface elemental composition was determined using X-ray photoelectron spectroscopy (XPS). Each of plasma power differently affected conversion efficiency of DSSC with plasma-treated$TiO_2$ compared to untreated DSSC under AM 1.5 G spectral illumination of$100mWcm^{-2}$ . -
유기물을 이용하여 제작한 비휘발성 메모리 소자는 저전력으로 구동하고 공정이 간단할 뿐만아니라 구부림이 가능한 소자를 만들 수 있다는 장점 때문에 많은 연구가 진행되고 있다. 비록 다양한 유기물 나노 클러스터를 포함한 고분자 박막을 사용한 비휘발성 메모리 소자에 대한 연구가 많이 진행되었으나 [6,6]- phenyl-C85 butyric acid methyl ester (PCBM) 나노 클러스터가 고분자 박막에 분산되어 있는 메모리 소자의 휘어짐에 따른 전기적 특성의 변화에 대한 것은 연구되지 않았다. 본 연구에서는 스핀코팅 방법으로 PCBM 나노 클러스터가 polymethyl methacrylate (PMMA) 박막에 분산되어 있는 소자를 제작하여 휘어짐에 따른 전기적 특성의 변화에 대한 관찰을 수행하였다. 소자를 제작하기 위해서 PCBM 나노 클러스터와 PMMA를 클로로벤젠에 용해시킨 후에 초음파 교반기를 사용하여 PCBM 나노 클러스터와 PMMA가 고르게 섞인 용액을 형성하였다. 전극이 되는 Indium Tin Oxide (ITO) 유리기판 위에 PCBM 나노 클러스터와 PMMA가 섞인 용액을 스핀 코팅하고, 열을 가해 용매를 제거하여 PCBM 나노 클러스터가 PMMA에 분산되어 있는 박막을 형성하였다. PCBM 나노 클러스터가 분산된 PMMA 박막 위에 Al을 상부전극으로 열증착하여 메모리 소자를 완성하였다. 제작한 소자의 휘어짐에 따른 전기적 특성을 알아보기 위해서 10 mm 의 반지름을 갖는 휘어진 홀더를 제작 한 후에 소자를 구부리기 전과 후의 전류-전압 (I-V)을 각각 측정하였다. 또한 소자의 휘어짐에 따른 포획된 전하유지능력과 안정성을 알아보기 위해
$1{\times}105$ 번의 반복적인 읽기 전압을 가한 후 전기적 특성을 측정하였다. 실험 결과들을 토대로 메모리 소자의 휘어짐에 따른 전기적 특성 변화에 대해서 분석하고 그 원인에 대해서 규명하였다. -
현재 MOS 소자에 사용되고 있는
$SiO_2$ 산화막은 그 두께가 얇아짐에 따라 Gate Leakage current와 여러 가지 신뢰성 문제가 대두되고 있고, 이를 극복하고자 High-k물질을 사용하여 기존에 발생했던 Gate Leakage current와 신뢰성 문제를 해결하고자 하고 있다. 본 실험에서는 High-k(hafnium) Gate Material에 온도 변화를 주었을 때 여러 가지 전기적인 특성 변화를 보는 방향으로 연구를 진행하였다. 기본적인 P-Type Si기판을 가지고, 그 위에 있는 자연적으로 형성된 산화막을 제거한 후 Hafnium Gate Oxide를 Atomic Layer Deposition (ALD)를 이용하여 증착하고, Aluminium을 전극으로 하는 MOS-Cap 구조를 제작한 후 FGA 공정을 진행하였다. 마지막으로$300^{\circ}C$ ,$450^{\circ}C$ 로 30분정도씩 Annealing을 하여, 온도 조건이 다른 3가지 종류의 샘플을 준비하였다. 3가지 샘플에 대해서 각각 I-V (Gate Leakage Current), C-V (Mobile Charge), Interface State Density를 분석하였다. 그 결과 Annealing 온도가 올라가면 Leakage Current와 Dit(Interface State Density)는 감소하고, Mobile Charge가 증가하는 것을 확인할 수가 있었다. 본 연구는 향후 High-k 물질에 대한 공정 과정에서의 다양한 열처리에 따른 전기적 특성의 변화 대한 정보를 제시하여, 향후 공정 과정의 열처리에 대한 방향을 잡는데 도움이 될 것이라 판단된다. -
최근 MOS 소자들이 게이트 산화막을 Mono-layer가 아닌 Multi-Layer을 사용하는 추세이다. Bulk와 High-k물질간의 Dangling Bond를 줄이기 위해 Passivation 층을 만드는 것을 예로 들 수 있다. 이러한 Double Layer의 쓰임이 많아지면서 계면에서의 Interface State Density의 영향도 커지게 되면서 이를 측정하는 방법에 대한 연구가 활발히 진행되고 있다. 본 연구에서는
$SiO_2$ Double Layer의 Interface State Density를 Conductance Method를 사용하여 구하는 연구를 진행하였다. Wet Oxidation과 Chemical Vapor Deposition (CVD) 공정을 이용하여$SiO_2$ Double-layer로 증착한 후 Aluminium을 전극으로 하는 MOS-Cap 구조를 만들었다. 마지막 공정은$450^{\circ}C$ 에서 30분 동안 Forming-Gas Annealing (FGA) 공정을 진행하였다. LCR meter를 이용하여 high frequency C-V를 측정한 후 North Carolina State University California Virtual Campus (NCSU CVC) 프로그램을 이용하여 Flatband Voltage를 구한 후에 Conductance Method를 측정하여 Dit를 측정하였다. 본 연구 결과 Double layer (Wet/CVD$SiO_2$ )에 대해서 Conductance Method를 방법을 이용하여 Dit를 측정하는 것이 유효하다는 것을 확인 할 수 있었다. 본 실험은 앞으로 많이 쓰이고 측정될 Double layer (Wet/CVD$SiO_2$ )에 대한 Interface State Density의 측정과 분석에 대한 방향을 제시하는데 도움이 될 것이라 판단된다. -
Recently, oxide semi-conductor materials have been investigated as promising candidates replacing a-Si:H and poly-Si semiconductor because they have some advantages of a room-temperature process, low-cost, high performance and various applications in flexible and transparent electronics. Particularly, amorphous indium-gallium-zinc-oxide (a-IGZO) is an interesting semiconductor material for use in flexible thin film transistor (TFT) fabrication due to the high carrier mobility and low deposition temperatures. In this work, we demonstrated improvement of flexibility in IGZO TFTs, which were fabricated on polyimide (PI) substrate. At first, a thin poly-4vinyl phenol (PVP) layer was spin coated on PI substrate for making a smooth surface up to 0.3 nm, which was required to form high quality active layer. Then, Ni gate electrode of 100 nm was deposited on the bare PVP layer by e-beam evaporator using a shadow mask. The PVP and
$Al_2O_3$ layers with different thicknesses were used for organic/inorganic multi gate dielectric, which were formed by spin coater and atomic layer deposition (ALD), respectively, at$200^{\circ}C$ . 70 nm IGZO semiconductor layer and 70 nm Al source/drain electrodes were respectively deposited by RF magnetron sputter and thermal evaporator using shadow masks. Then, IGZO layer was annealed on a hotplate at$200^{\circ}C$ for 1 hour. Standard electrical characteristics of transistors were measured by a semiconductor parameter analyzer at room temperature in the dark and performance of devices then was also evaluated under static and dynamic mechanical deformation. The IGZO TFTs incorporating hybrid gate dielectrics showed a high flexibility compared to the device with single structural gate dielectrics. The effects of mechanical deformation on the TFT characteristics will be discussed in detail. -
We studied the Drain-Induced-Barrier-Lowering (DIBL) effect by different drain engineering. One other drain engineering is symmetric source-drain n-channel MOSFETs (SSD NMOSs), the other drain engineering is asymmetric source-drain n-channel MOSFETs (ASD NMOSs). Devices were fabricated using state of art 40 nm dynamic-random-access-memory (DRAM) technology. These devices have different modes which are deep drain junction mode in SSD NMOSs and shallow drain junction mode in ASD NMOSs. The shallow drain junction mode means that drain is only Lightly-Doped-Drain (LDD). The deep drain junction mode means that drain have same process with source. The threshold voltage gap between low drain voltage (
$V_D$ =0.05V) and high drain voltage ($V_D$ =3V) is 0.088V in shallow drain junction mode and 0.615V in deep drain junction mode at$0.16{\mu}m$ of gate length. The DIBL coefficients are 26.5 mV/V in shallow drain junction mode and 205.7 mV/V in deep drain junction mode. These experimental results present that DIBL effect is higher in deep drain junction mode than shallow drain junction mode. These results are caused that ASD NMOSs have low drain doping level and low lateral electric field. -
The Gate-Induced-Drain-Leakage (GIDL) current with channel doping and width dependence are characterized. The GIDL currents are found to increase in MOSFETs with higher channel doping levels and the observed GIDL current is generated by the band-to-band-tunneling (BTBT) of electron through the reverse-biased channel-to-drain p-n junction. A BTBT model is used to fit the measured GIDL currents under different channel-doping levels. Good agreement is obtained between the modeled results and experimental data. The increase of the GIDL current at narrower widths in mainly caused by the stronger gate field at the edge of the shallow trench isolation (STI). As channel width decreases, a larger portion of the GIDL current is generated at the channel-isolation edge. Therefore, the stronger gate field at the channel-isolation edge causes the total unit-width GIDL current to increases for narrow-width devices.
-
Yoo, Han-Byeol;Thakur, Anup;Kang, Se-Jun;Baik, Jae-Yoon;Lee, Ik-Jae;Park, Jae-Hun;Kim, Ki-Jeong;Kim, Bong-Soo;Shin, Hyun-Joon 346
We investigated electrical and optical properties and chemical states of amorphous In-Ga-Zn-O (a-IGZO) thin films deposited at different substrate temperatures (from room temperature to$300^{\circ}C$ ). a-IGZO thin films were fabricated by radio frequency magnetron sputtering using$In_2O_3$ :$Ga_2O_3$ : ZnO = 1 : 1 : 1 target, and their electrical and optical properties and chemical states were investigated by Hall-measurement, UV-visible spectroscopy and x-ray photoelectron spectroscopy (XPS), respectively. The data showed that as substrate temperature increased, carrier concentration increased, but mobility, conductivity, transmittance in the shorter wavelength region (>350 nm), and the Tauc-plot-estimated optical bandgap decreased. XPS data indicated that the intensity of In 3d peak compared to Ga 3d peak increased but the intensity of Zn 3d peak compared to Ga 3d decreased, and that, from the deconvoluted O 1s peak, defects or oxygen vacancies and non-quaternary contents increased as the temperature increased. The relative intensity changes of the In, Zn, and O 1s sub-component are suggested to explain the changes in electrical and optical properties. -
Denny, Yus Rama;Lee, Sang-Su;Lee, Kang-Il;Lee, Sun-Young;Kang, Hee-Jae;Heo, Sung;Chung, Jae-Gwan;Lee, Jae-Cheol 347
Nickel Oxide (NiO) is a transition metal oxide of the rock salt structure that has a wide band gap of 3.5 eV. It has a variety of specialized applications due to its excellent chemical stability, optical, electrical and magnetic properties. In this study, we concentrated on the application of NiO thin film for transparent conducting oxide. The energy band structure, electronic and optical properties of Nickel Oxide (NiO) thin films grown on Si by using electron beam evaporation were investigated by X-Ray Photoelectron Spectroscopy (XPS), Reflection Electron Energy Loss Spectroscopy (REELS), and UV-Spectrometer. The band gap of NiO thin films determined by REELS spectra was 3.53 eV for the primary energies of 1.5 keV. The valence-band offset (VBO) of NiO thin films investigated by XPS was 3.88 eV and the conduction-band offset (CBO) was 1.59 eV. The UV-spectra analysis showed that the optical transmittance of the NiO thin film was 84% in the visible light region within an error of${\pm}1%$ and the optical band gap for indirect band gap was 3.53 eV which is well agreement with estimated by REELS. The dielectric function was determined using the REELS spectra in conjunction with the Quantitative Analysis of Electron Energy Loss Spectra (QUEELS)-${\varepsilon}({\kappa},{\omega})$ -REELS software. The Energy Loss Function (ELF) appeared at 4.8, 8.2, 22.5, 38.6, and 67.0 eV. The results are in good agreement with the previous study [1]. The transmission coefficient of NiO thin films calculated by QUEELS-REELS was 85% in the visible region, we confirmed that the optical transmittance values obtained with UV-Spectrometer is the same as that of estimated from QUEELS-${\varepsilon}({\kappa},{\omega})$ -REELS within uncertainty. The inelastic mean free path (IMFP) estimated from QUEELS-${\varepsilon}({\kappa},{\omega})$ -REELS is consistent with the IMFP values determined by the Tanuma-Powell Penn (TPP2M) formula [2]. Our results showed that the IMFP of NiO thin films was increased with increasing primary energies. The quantitative analysis of REELS provides us with a straightforward way to determine the electronic and optical properties of transparent thin film materials. -
CTF 메모리 소자는 높은 집적도와 낮은 구동전압과 CMOS 공정을 그대로 사용할 수 있고 비례 축소가 용이하다는 장점을 가지기 때문에 많은 연구가 진행되고 있다. CTF 메모리의 게이트 크기가 30 nm 이하로 작아짐에 따라 메모리 셀 간의 간섭이 매우 크게 증가하는 문제점이 있다. 이 문제점을 해결하기 위해 낸드 플래쉬 메모리 소자에서 셀 간 간섭 현상에 대한 많은 연구가 진행되고 있다. 본 연구에서는
$TaN-Al_2O_3-SiN-SiO_2-Si$ (TANOS) 플래쉬 메모리 소자에서 recess field의 모양에 따른 전기적 특성을 시뮬레이션 하였다. Recess field는 각 전하 트랩 층의 word 라인 방향에 존재하며 셀 간 간섭 효과를 줄이고 메모리 소자의 coupling ratio를 증가시키는 효과를 가지고 있다. TANOS 메모리 소자의 게이트 크기를 25 nm 에서 40 nm 로 변화하면서 round 타입의 recess field와 angular 타입의 recess field 에 대한 전기적 특성을 3차원 시뮬레이션 툴인 Sentaurus를 이용하여 시뮬레이션 하였다. Recess field를 가지지 않은 TANOS 메모리의 셀 간 간섭 효과는 게이트의 크기가 40 nm에서 25 nm 줄어들 때 많이 증가한다. 시뮬레이션된 결과에서 recess field의 모양에 상관없이 깊이가 늘어남에 따라 셀 간 간섭효과가 감소하였다. Recess field 의 깊이가 커짐에 따라 surrounding area가 늘어나 coupling ratio 가 증가하였다. Recess field 의 깊이가 증가함에 따라 프로그램 동작 시 트랩 층에 트랩 되는 전하의 수가 증가하고 recess field가 Si 기판의 표면에 가까이 위치할수록 coupling ratio, 드레인 전류 및 동작속도가 증가하였다. Recess field의 모양에 달리 하였을 때는 round 타입의 recess field를 가진 플래쉬 메모리 디바이스가 angular 타입의 recess field를 가진 소자와 비교하여 채널 표면의 잉여 전계가 감소하여 subthreshold leakage current 감소하였다. 본 연구의 시뮬레이션 결과는 수십 나노 스케일의 CTF 낸드 플래쉬 메모리 전기적 특성을 이해하는데 도움을 줄 것이다. -
박막형 GaAs 계 III-V 태양전지는 ELO (Epitaxy Lift-off) 기술에 의하여 기판으로부터 분리되어 얻어질 수 있다. 지금까지 이 기술에 대해 개발된 결과에 의하면 박막 III-V 태양전지의 효율이 기존 기판 기반의 태양전지 효율과 비슷한 수준을 얻고 있으며, 기판의 재활용, 플렉서블, 및 신축성 태양전지로의 적용분야 등의 보고들도 발표되고 있어 실리콘 태양전지가 접근하기 힘든 특정한 응용분야로의 가능성을 밝게 해주고 있다. 그러나, 이 ELO방식에 의한 박막형 III-V 태양전지가 실질적으로 상업화 되기 위해서는 생산 수율의 개선 및 기판 재활용 시의 저손실 등 해결해야 할 당면과제들이 놓여 있다. 기판재활용의 가능성을 위해 아직까지 발표된 셀의 크기는
$2{\times}2mm^2$ 이하이며, 보다 넓은 셀에 대하여 기판재활용 방식으로 재생된 효율을 갖는 III-V 박막 태양전지는 보고된 바 없다. 본 연구에서는,$1{\times}1mm^2$ ,$2{\times}2mm^2$ , 그리고$5{\times}5mm^2$ 에 대하여 ELO 에 의한 박막 태양전지를 제작해 보고, 보다 넓은 면의 박막 태양전지를 효율적으로 제작하기 위한 방법을 연구하고자 한다. 또한, 이 셀들을 유연한 PDMS transfer에 부착하여 플렉서블 태양전지로의 가능성에 대해서도 기술하고자 한다. 사용된 박막 태양전지 구조는 한국광기술원에서 제작한 22% GaAs 단일 접합 태양전지와 같은 구조로 되어 있으며, 희생층으로는 AlGaAs 층을 사용하였고, ELO을 위한 에칭용 홀 지름은 5, 10, 그리고$20{\mu}m$ 에 대하여 조사하였다. -
TCO 물질로 널리 사용되는 ITO 박막은 우수한 특성에도 불구하고 투과도와 전기적 특성 사이에 trade-off 현상이 존재하여 상온에서 증착시 두 가지 특성을 향상시키는데 큰 어려움이 있다 [1]. 본 실험에서는 ITO와 Ag embedded ITO (ITO-Ag) 샘플의 Ag의 증착 시간과 열처리에 따른 전기적 및 광학적 특성 변화를 연구하였다. 열처리 전에는 ITO-Ag 샘플들의 비저항이 ITO 보다 향상 되는 것을 확인 하였다. 하지만 ITO-Ag 샘플의 Ag 증착 시간이 증가 할수록 투과도는 예상한 바와 같이 계속 저하됨을 확인하였다. 열처리 이후에는 Figure 1에서와 같이 ITO와 ITO-Ag 샘플 모두 비저항과 투과도가 향상 되는 것을 알 수 있는데, 비저항의 경우 ITO-Ag 샘플 보다 ITO 샘플이 더욱 큰 향상을 나타내었다. 이러한 결과는 열처리 과정에서 일어나는 ITO의 결정화, 산소공공의 형성 등을 Ag가 방해하기 때문으로 사료된다. 하지만 투과도의 경우 Ag가 금속임에도 불구하고 박막을 형성하지 않을 정도로 매우 얇게 증착 되었기 때문에 열처리 이후 투과도가 향상되어 ITO와 ITO-Ag 샘플 모두 비슷한 향상을 나타내었다고 사료된다. 즉, embedded된 Ag는 열처리에 의해 전기적으로는 나쁜 영향을 주지만, as-deposit 상태에서는 순수 ITO 보다 좋은 전기적 특성을 나타냄을 알 수 있었으며, 이러한 결과는 유기물 반도체 소자에 적용 가능 할 것으로 사료된다.
-
최근의 수 십 년 간, 실리콘 나노 와이어는 그 특수한 물성으로 인하여 큰 주목을 받아오고 있다. 또한, 나노 전자소자 개발에 있어 중요한 역할을 담당하며, 현재 실리콘은 반도체 산업 및 기술에서 핵심적인 기능을 수행하고 있기 때문에 실리콘 나노 와이어는 매우 중요하게 고려된다 [1]. 본 연구에서는 유도결합 수소 플라즈마와 PECVD를 이용한 ITO/glass위 실리콘 나노 와이어 형성을 실험하였다. 유도결합 수소 플라즈마를 이용하여 나노 사이즈의 인듐 catalyst를 형성한 후 PECVD를 이용
$SiH_4$ 가스 유량과 성장 온도를 변화시켜 그에 따른 형성 변화를 관찰하였다. Fig. 1 (a) 에서 보이는 바와 같이$600^{\circ}C$ , 30 sccm 5%$SiH_4$ , 60 sccm He 조건에서 8분 동안 성장시켰을 경우와 Fig. 1 (b)의 100 sccm 5%$SiH_4$ 로 유량을 증가시키고 15분 동안 성장시킨 후 FE-SEM 사진을 비교 한 결과 실리콘 나노 와이어의 높이가$31{\mu}m$ 로 크게 성장됨을 확인 하였다. 이는$SiH_4$ 의 농도의 변화가 실리콘 나노 와이어 성장에 큰 영향을 미치고 있음을 나타내며 그에 따라 나노와이어의 높이를 조절할 수 있음을 보여주고 있다. 추가적으로 실리콘 나노 와이어 성장을 위한 인듐 catalyst 형성과 이를 이용한 ITO 기판위 실리콘 나노 와이어 성장에 따른 광학적 특성 및 XRD 분석 결과 또한 논의 하고자 한다. -
MOSFET의 크기는 작아지고 다양한 소자열화 현상으로 신뢰성 문제가 나타나고 있다. 특히 CMOS 인버터에서 PMOS가 'HIGH'일 때 음의 게이트 전압이 인가되고 소자 구동으로 인해 온도가 높아지면 드레인 전류의 절대값은 줄어들고 문턱 전압 절대값과 GIDL전류가 증가하는 NBTI현상이 발생한다. 본 연구에서는 NBTI현상에 따른 열화 특성을 분석하였다. 측정은 드레인과 소스는 접지시킨 상태에서 온도
$100^{\circ}C$ 에서 게이트에 -3.4V과 -4V의 게이트 스트레스를 인가한 후 게이트 전압에 따른 드레인 전류를 스트레스 시간에 따라 측정하였다. 측정에 사용된 소자의 산화막 두께는 25A, 채널 길이는$0.17{\mu}m$ , 폭은$3{\mu}m$ 이다. 게이트에 음의 전압이 가해지면 게이트 산화막에 양전하의 interface trap이 생기게 된다. 이로 인해 채널 형성을 방해하고 문턱 전압은 높아지고 드레인 전류의 절대값은 낮아지게 된다. 또한 게이트와 드레인 사이의 에너지 밴드는 게이트 전압으로 인해 휘어지게 되면서 터널링이 더 쉽게 일어나 GIDL전류가 증가한다. NBTI스트레스 시간이 증가함에 따라 게이트 산화막에 생긴 양전하로 인해 문턱 전압은 1,000초 스트레스 후 스트레스 전압이 각각 -3.4V, -4V일 때 스트레스 전에 비해 각각 -0.12V, -0.14V정도 높아지고 드레인 전류의 절대값은 5%와 24% 감소한다. GIDL전류 역시 스트레스 후 게이트 전압이 0.5V일 때, 스트레스 전에 비해 각각$0.021{\mu}A$ ,$67{\mu}A$ 씩 증가하였다. 결과적으로, NBTI스트레스가 인가됨에 따라 게이트 전압 0.5V에서 0V사이의 드레인 전류가 증가함으로 GIDL전류가 증가하고 문턱전압이 높아져 드레인 전류가 -1.5V에서 드레인 전류의 절대값이 줄어드는 것을 확인할 수 있다. -
채널 도핑이 다른 비대칭 구조를 갖는 NMOSFET의 게이트 전압에 따른 Drain saturation current (IDSAT), maximum transconductance (GM) 및 threshold voltage (VT)와 같은 다양한 변수를 측정하였고 DAHC (Drain avalanche hot carriers) 스트레스에 따른 특성을 추출하였다. 전기적 특성은 반도체 파라미터 분석기를 사용하여 Probe system에서 진행되었다. 문턱전압은 Normal channel dopoing의 경우 0.67 V, High channel doping의 경우 0.74 V로 High channel doping된 소자가 상대적으로 높은 문턱전압을 보였다. Swing의 경우 Normal channel doping의 경우 87 mV/decade, high channel doping의 경우 92 mV/decade으로 High channel doping된 소자가 더 높은 Swing값을 보였다. 스트레스 인가 후 두 소자 모두 문턱전압이 증가하고 ON-current가 감소하였다. High channel doing된 소자의 경우 Normal channel doping된 소자보다 문턱전압의 증가율과 Current 감소율 측면 모두 스트레스에 더 민감하게 반응하였다. 문턱전압이 서로 다른 비대칭 NMOSFET의 핫 캐리어 특성을 비교, 분석결과 스트레스 인가에 따라 채널 도핑이 높아질수록 드레인과 게이트간의 더 높은 전계가 생겨 게이트 산화막과 Si/SiO2 계면의 손상이 더 발생하였다. 따라서 채널 도핑이 상대적으로 높은 트랜지스터가 핫 캐리어에 의한 계면 트랩 생성 비율이 더 높다는 것을 알 수 있다.
-
Yer, In-Hyung;Roh, Ji-Hyoung;Shin, Ju-Hong;Park, Jae-Ho;Jo, Seul-Ki;Park, On-Jeon;Moon, Byung-Moo 354
In this study, we report the vertically aligned ZnO nanowires by using different type of Ga-doped ZnO (GZO) thin films as seed layers to investigate how the underlying GZO film micro structure affects the distribution of ZnO nanowires. Arrays of highly ordered ZnO nanowires have been synthesized on GZO thin film seed layer prepared on p-Si substrates ($7-13{\Omega}cm$ ) with utilize of a pulsed laser deposition (PLD). With the vapor-liquid-solid (VLS) growth process, the ZnO nanowire synthesis carries out no metal catalyst and is cost-effective; furthermore, The GZO seed layer facilitates the uniform growth of well-aligned ZnO nanowires. The influence of the growth temperature and various thickness of GZO seed layer have been analyzed. Crystallinity of grown seed layer was studied by X-Ray diffraction (XRD); diameter and morphology of ZnO nanowires on seed layer were investigated by field emission scanning electron microscopy (FE-SEM). Our results suggest that the GZO seed layer with high c-axis orientation, good crystallinity, and less lattice mismatch is key parameters to optimize the growth of well-aligned ZnO nanowire arrays. -
Han, Guk-Hui;Kim, Yun-Jung;Jeong, Jong-Yun;Lee, Min-Gyeong;Gang, Han-Rim;Kim, Jung-Gil;Lee, Won-Yeong;Yu, Hong-Geun;Jo, Gwang-Seop 355
액체 누설을 감지하는 센서를 개발하였다. 이 센서는 경보 장치를 포함하며 접착 테이프형태의 박막 센서이다. 센서는 총 4개의 층으로 구성되어 있다. 각 층의 명칭은 접착제층, 베이스 필름층, 기판 필름층, 보호 필름층이다. 감지선의 사용량을 최소화하여 기판 필름층 위에는 총 4개의 선이 있다. 전도선 3개와 저항선 1개이다. 4개의 선들은 기판 필름층에 전도성 은나노 잉크를 그라비어인쇄기를 이용하여 센싱 회로를 인쇄하였으며 이 기술의 이 센서의 가장 큰 특징이다. 누수 발생 시에 저항선과 전도선에 액체가 접촉되어 회로 상에 교차하는 내부저항의 전압 변화를 모니터링하여 누수를 감지하는 방식의 센서이다. 감지선에 전원을 양방향으로 번갈아 인가함으로써 수분의 저항 값 증가 및 양극화를 방지하였다. 그로 인해 기존의 센서에 비해 좀 더 안정적이고 정확한 감지를 할 수 있다. 설치 후 센서가 마모되거나 손상될 시 간단하게 재설치 할 수 있다는 장점도 있다. 액체 누설 후에도 별도의 건조시간이 필요하지 않다. 표면에 남아있는 액체를 제거하여 즉시 재사용하는 것이 가능하다. 액체누설 감지 시스템은 액체누설 감지 필름 센서를 포함하며, 표시부와 경고음 발생부 등 전체를 제어한다. 표시부의 누설 위치 표시 단위는 미터(m)이며 최소 0.1 m 단위까지 표시한다. 이 액체누설 감지 시스템을 이용하여 누설 위치 감지 실험 및 액체별 누설 위치 감지 실험을 진행하였다. -
Han, Sang-Ho;Kim, Yun-Jung;Kim, Jeong-Hyeon;Jeong, Jong-Yun;Kim, Hyeon-Cheol;;Jo, Gwang-Seop 356
InGaN/GaN로 제작된 Blue chip의 파장에 따른 백색 LED의 성능 저하를 전기적, 광학적 특성을 고려하여 조사하였다. 4가지 파장으로 제작된 백색 LED Sample들은 60 mA, 75 mA, 90 mA의 주입 전류로 장 시간동안 스트레스를 주었다. 또한 형광체가 없는 상태와 있는 상태를 구분하여 패키지의 감쇠 특성을 확인하였다. Blue 피크 파장 437 nm, 주입전류 90 mA, 형광체가 있는 상태와 형광체가 없는 상태에서 패키지의 출력 광세기는 각각 20%, 36%까지 감소하였다. 이는 Blue Chip에서 출력되는 단파장이 페키지 몰드의 노화(황변)현상에 직접적인 영향을 주기 때문이다. 전기적 특성은 Blue chip의 파장영역에 의존하지 않고, 스트레스 시간에 따른 LED내부 저항이 커지는 현상을 확인하였다. 따라서 InGaN/GaN로 제작된 백색 LED의 장 수명을 얻기 위해서는 Blue chip의 출력 파장 영역과 페키지 몰드 재료 특성의 신뢰성 관계가 중요하다. -
Park, On-Jeon;No, Ji-Hyeong;Park, Jae-Ho;Sin, Ju-Hong;Jo, Seul-Gi;Yeo, In-Hyeong;Mun, Byeong-Mu 357
박막트랜지스터의 전극으로 Au, Ag, Mo, ITO와 같은 물질들이 이미 많이 연구되어 왔으며, 투명 Source/Drain 전극을 활용한 물질로는 ITO에 초점이 맞춰져 왔다. 하지만 ITO의 높은 가격과 Indium의 인체 유해한 독성 때문에 ITO를 대체하는 물질에 대해 많은 연구가 진행되고 있다. 그 중 Al이 도핑된 ZnO (AZO) 는 가시광 영역에서 85% 이상의 높은 투과율과 높은 전도성, 낮은 비저항으로 다양한 광전소자의 전극과 윈도우 물질로 많은 응용 가능성을 보여주고 있다. 본 실험에서는 고 품질의 박막성장이 가능하고, 박막의 두께를 세밀하게 조절할 수 있는 Pulsed Laser Deposition (PLD) 을 이용하여 온도변화에 따라 AZO 박막을 성장시키고 구조적, 전기적, 광학적 특성을 조사하였다. 또한 온도변화가 AZO 박막 특성에 미치는 영향을 분석하여 Source/Drain 전극으로 사용하기 위한 조건을 최적화하였고, 실제 투명박막트랜지스터 제작을 통해 소자의 I-V Curve 와 Transfer 특성을 확인하고, Transfer Length Method 방법을 이용하여 투명박막트랜지스터의 접촉저항, 채널 비저항 등을 확인해 보았다. 소결된 타겟으로는 99.99%의 순도를 갖는 ZnO-$Al_2O_3$ (98:2 wt%) 타겟을 이용하였으며, 장비조건으로는 355 nm의 파장대역을 갖는 Nd:YAG 레이저를 사용하였고, 실험변수로는 온도범위 RT,$200^{\circ}C$ ,$400^{\circ}C$ ,$600^{\circ}C$ 에서 실험을 진행하였다. AZO 박막의 구조적, 전기적 특성을 분석하기 위해 각각 X-Ray Diffraction (XRD), Hall measurement 장비를 사용하였으며, 광학적 특성을 분석하기 위한 투과도의 측정은 UV-Visible spectrophotometer 장비를 사용하였다. -
최근 분극 특성이 상이한 무분극 GaN 에피성장에 관한 심도 있는 연구와 함께 전자-전공 캐리어의 주입 및 캐리어의 거동, 방출되는 편광 특성 및 다양한 물리적 특성들에 대해 보고되고 있으며, 광학적 특성 및 물리적 특성의 확보를 위한 많은 연구가 활발히 진행 중이다 [1]. GaN의 ohmic 접촉(ohmic contact)의 형성은 발광 다이오드(light emitting diode), 레이저 다이오드(Laser), 태양전지(solar cell)와 같은 고신뢰도, 고효율 광전자 소자를 제조하기 위해서는 매우 중요하다 [2]. 그러나 이와 함께 병행 되어야 할 무분극 p-GaN 의 ohmic contact에 관한 연구는 많이 이루어지고 있지 않는 실정이다. 따라서 본 논문에서는 r-plane 사파이어 기판 상에 성장된 p-GaN에서의 ohmic 접촉 형성 연구를 위하여 Ni/Au ohmic 전극의 접촉저항 특성을 연구하였다. 본 실험에서는 성장된 a-plane GaN의 Hole농도가
$3.09{\times}1017cm3$ 인 시편을 사용하였다. E-beam evaporation 장비를 이용하여 Ni/Au를 각각 20 nm 그리고80 nm 증착 하였으며 비접촉저항을 측정하기 위해 Circle-Transfer Length Method (C-TLM) 패턴을 사용하였다. 샘플은 RTA (Rapid Thermal Annealing)를 사용하여$300^{\circ}C$ 에서$700^{\circ}C$ 까지 온도를 변화시키며 전기적 특성을 비교하여 그림 1(a) 나타내었다. 그림에서 알 수 있듯이$400^{\circ}C$ 에서 가장 낮은 비접촉저항 값인$6.95{\times}10-3{\Omega}cm2$ 를 얻을 수 있음을 발견하였다. 이 때의 I-V curve 도 그림1(b)에 나타낸 바와 같이 열처리에 의해 크게 향상됨을 알 수 있다. 그러나,$500^{\circ}C$ 이상 온도를 증가시키면 다시 비접촉 저항이 증가하는 것을 관찰하였다. XRD (x-Ray Diffraction) 분석을 통하여$400^{\circ}C$ 이상열처리 온도가 증가하면 금속 표면에$NiO_2$ 가 형성되며, 이에 따라 오믹특성이 저하 된다고 사료된다. 또한$Ni_3N$ 의 존재를 확인 하였으며 이는 nonpolar surface의 특성으로 인해 nitrogen out diffusion 현상이 동시에 발생하여 계면에는 dopant로 작용하는 질소 공공을 남기고 표면에$Ni_3N$ 을 형성하여 ohmic contact의 특성이 저하되기 때문인 것으로 사료된다. -
Graphene shows diverse novel physical properties arising from its peculiar electronic states, so called Dirac electrons. Especially, effect of magnetic field is very unique, exhibiting exotic Landau level (LL) splitting. LLs are substantially modified by spins of Dirac electrons and pseudo-spins. The degeneracy of LLs is lifted to show splitting by electron-electron interaction and by the Zeeman effect. We investigated the magneto-optical absorption of graphene subjected to ultra-high magnetic field. Samples were prepared by the CVD method deposited on GaAs and Quart substrate. We have confirmed existence of graphene on each substrate by the micro-Raman spectroscopy. Next, we conducted magneto-absorption measurements in magnetic field up to 120 T by the single-turn coil (STC) method. We could observe absorption peak at 65 T and 100 T, respectively, probably arising from the LL inter-band transitions.
-
사파이어 단결정은 LED 소자의 기판으로 널리 사용되고 있으며 현재 소자 수율을 향상시키기 위하여 6인치 이상의 대구경 웨이퍼를 만들기 위한 많은 노력을 경주하고 있다. 단결정, 특히 반도체 단결정 웨이퍼에서 (
$00{\cdot}1$ ), ($10{\cdot}2$ ) 등의 어떠한 결정학적인 방위(crystallographic orientation)가 표면과 이루는 각도, 즉 표면방위각(off-cut 또는 misorientation angle)의 크기와 방향은 제조된 LED 소자의 물성에 영향을 끼치므로 웨이퍼를 가공할 때 정확하게 콘트롤해야 한다. 본 연구에서는 고분해능 X-선을 이용하여 표면이결정학적 방향과 이루는 면방위각을 정밀하게 결정하는 측정법을 연구하였다. 기존의 ASTM 의 측정법과는 다른 원리를 이용하고 웨이퍼의 휨(bending)이나 측정고니오 회전축의 편심과 무관하게 표면방위각을 결정하는 새로운 이론적 모델을 제시하고 그 모델을 적용하여 표면의 수직축이 대구경 사파이어 ($00{\cdot}1$ ) 축과 이루는 표면방위각을 정확하게 측정 분석하였다. 본 연구에서 사용한 6인치 사파이어 웨이퍼에 대하여 표면방위각은$0.21^{\circ}$ 이었으며 표면각이 나타나는 방향은 웨이퍼의 primary edge 방향으로부터$-1.2^{\circ}$ 벗어나 있는 방향이었다. -
A new cross-linkable polymer, cross-linked d-PBAB, which has the triphenylamine as the hole transporting moiety and ethynyl group as the thermal cross-linker is firstly synthesized by the combination of anionic polymerization and deprotection process. The thermal cross-linking reaction was performed at
$240^{\circ}C$ for 50 min and cross-linked d-PBAB layer showed smooth surface and is not soluble at organic solvent under spin-coating of emitting layer (EML). The solution-processed PLED which was fabricated with cross-linked d-PBAB as HTL showed approximately two times higher Lmax and four times higher LEmax than those obtained from PLED with PEDOT:PSS as the HTL. These result is ascribed to better ability of cross-linked d-PBAB to block electrons and to prevent exciton-quenching than those of PEDOT : PSS at the EML interface. This results strongly suggested that cross-linked d-PBAB can be a promising material to replace conventional PEDOT : PSS. It can be suspected that PLEDwith cross-linked d-PBAB would show longer lifetime compared with that of PLED with PEDOT : PSS, and thus further studies are under investigation. -
평판 디스플레이 분야에 투명 비정질 산화물 반도체는 박막 트렌지스터(Thin film transistor; TFT)소자의 채널층으로 사용할 수 있다. 투명 비정질 산화물 반도체 IGZO (In-Ga-Zn-O)는 다른 비정질 재료에 비해 높은 전하 이동도를 가지기 때문에 우수한 성능의 TFT소자를 제작할 수 있다. 본 연구에서는 RF magnetron sputtering법으로 corning 1737 유리기판 위에 RF 파워의 변화에 따라 증착한 IGZO박막의 광학적 전기적 특성 변화를 연구하였다. 박막 증착 조건은 초기 압력
$2.0{\times}10^{-6}Torr$ , 증착 압력$2.0{\times}10^{-2}Torr$ , 반응가스 Ar 25 sccm, 증착 온도는 실온으로 고정하였으며, 공정변수로 RF 파워를 25 w, 50 w, 75 w, 100 w로 변화시키며, IGZO 타겟은$In_2O_3$ ,$Ga_2O_3$ , ZnO 분말을 각각 1 : 1 : 2mol% 조성비로 혼합하여 소결한 타겟을 사용하였다. 표면분석(AFM)결과 RF 파워가 증가함에 따라 거칠기가 증가하였으며, XRD 분석결과 Bragg's 법칙을 만족하는 피크가 나타나지 않는 비정질 구조임을 확인할 수 있었다. 가시광 영역에서 (450~700 nm) 25 w일 때 85% 이상을 확인하였고, RF 파워가 증가할수록 밴드갭이 감소하는 것을 확인하였다. RF 파워가 100 w인 경우 carrier 밀도는$7.7{\times}10^{19}cm^{-3}$ , Mobility$8.42cm^2V-s$ , Resistivity$9.45{\times}10^{-3}{\Omega}-cm$ 로 투명 전도막의 특성을 보였다. -
Song, Yong-Geun;O, Gyeong-Min;Kim, Seong-Heon;Kim, Ji-Na;No, Seong-Jin;Heo, Seung-Uk;Nam, Sang-Hui 365
본 연구에서는 X-ray 영상을 얻기 위한 검출기 중 직접 검출방식에 쓰이고 있는 광도전체(Photoconductor)의 전극으로 Au를 사용하여 전기적인 특성을 파악하였다. Au는 유기물에 대한 반응이 적고 전기 전도도가 좋은 물질로서 투명전극으로 많이 쓰이고 있는 인듐 주석 산화물(ITO)을 대체할 수 있는 물질로 각광받고 있다. 우선 시편 제작을 위해 투명한 기판(Corning Glass, 0.7t)위에 하부전극으로 Au를$3cm{\times}3cm$ 의 크기로 Physical Vapor Deposition(PVD) 방식을 이용하여 증착하였다. 이 때 챔버 내 저진공은 Rotary Pump를 이용하여$3.9{\times}10-2Torr$ 이하를 유지하고 고진공은 Diffusion Pump를 이용하여$5.3{\times}10-5Torr$ 이하를 유지하였다. 완성된 하부전극 위에 광도전체인$HgI_2$ 를 폴리머 물질에 교반하여 메탈 폴리머 결합을 가진 Paste를 제조하고 이 Paste를 Screen Printing Method를 이용하여 증착 후 건조하였다. 마지막으로 시편위에 상부전극을 하부전극과 같은 조건으로 증착함으로서 시편을 완성한다. 상하부 전극으로 쓰이는 Au의 증착 조건을 변화시키면서 그에 따른 시편의 전기적인 특성 변화를 관찰하였다. 그 결과, Au의 증착온도와 질량에 따라 특성이 변화함을 알 수 있었다. 본 연구의 결과를 통해 디지털 방사선 검출기에서 Au 전극의 적용 가능성을 확인하였으며, 추후 Au 증착 조건의 최적화를 통해 방사선 검출기의 효율 향상을 위한 연구를 하고자 한다. -
No, Seong-Jin;Sin, Jeong-Uk;Lee, Yeong-Gyu;Song, Yong-Geun;Lee, Ji-Yun;Park, Seong-Gwang;Nam, Sang-Hui 366
현재 사용되고 있는 투명전극재료 중에 ITO(Indium Tin Oxide)가 가장 투명하면서 전기도 잘 통하고 생산성도 좋다. 투명전극은 비저항이$1{\times}10^{-3}{\Omega}/cm$ 이하, 면 저항이$10^3{\Omega}/sq$ 이하로 전기전도성이 우수하고 380에서 780 nm의 가시광선 영역에서의 투과율이 80%이상이라는 두 가지 성질을 만족시키는 박막이다. 본 연구에서 X-ray Film을 제작하기 위하여 상용화된 ITO Glass 전극 기판에 X-ray가 조사되면 직접 전자 전공 쌍(electron-hole pair)을 발생시켜 전기적 신호를 발생하는 광도전체 물질(Photoconductor)인 PbO,$PbI_2$ ,$HgI_2$ 를 스크린 프린팅(Screen Printing)법을 이용하여 각각 제작하였다. 상부 전극으로 마그네틱 스퍼터링(Magnetic Sputtering) 진공 증착 장치를 사용하여 전류, 전압, 아르곤 및 산소 유입량등을 조절하면서 상부 전극을 증착하였다. 이때 타켓으로$In_2O_3;SnO_2$ (조성비:90:10wt%)를 사용 하였고, base pressure는$9{\times}10^{-7}torr$ , deposition pressure는$3{\times}10^5torr$ 를 고정하였다. 또한 전류와 전압은 각각 0.4A, 800V로 유지하고,$O_2$ :0.3 ppm, Ar의 경우 4.9 ppm에서 70 ppm까지 올려 플라즈마를 활성화 시킨 후 90초 동안 ITO를 증착하였다. 본 실험에 제작된 박막으로 X-ray을 조사하여 검출기로써 특성 평가를 실시하였으며, 실험결과 X-선 투과와 전도성 등 두가지 특성이 동시에 만족 될 만한 성능을 가질 수 있음을 확인 할 수 있었다. -
TTFT에 투명반도체로 사용되고 있는 IGZO 박막의 특성을 조사하였다. IGZO박막은 비정질임에도 불구하고 높은 이동도를 가지는 것으로 알려져 있다. 본 실험에서는 RF magnetron sputtering법을 이용하여 Ar Gas 유량 변화에 따른 IGZO 박막을 유리 기판 위에 제작 하였고 투명반도체의 구조적, 광학적, 전기적 특성을 조사하였다. 소결된 타겟 으로는 In:Ga:ZnO를 각각 1:1:2mol%의 조성비로 혼합하여 이용하였으며,
$30{\times}30mm$ 의 XG Glass 유리기판에 Sputtering 방식으로 증착하였다. 공정 조건으로는 초기합력은$2.0{\times}10^{-6}Torr$ 이하로 하였으며, 증착 압력은$2.0{\times}10^{-2}Torr$ 로 하였다. Rf power를 75 W로 고정시켰다. 실험 변수로는 Ar Gas를 25, 50, 75, 100 sccm으로 변화를 주어 실험을 진행하였으며, 증착온도는 실온으로 고정하였다. 분석 결과로는 Ar Gas가 75 sccm일 때 XRD분석결과$34^{\circ}$ 부근에서 (002) c-축 방향성 구조임을 확인할 수 있었으며, AFM분석결과 0.3 nm이하의 Roughness를 가졌다. UV-Visible-NIR 측정결과 가시광선 영역에서 85%이상의 투과도를 만족 시켰으며, Hall 측정결과 Carrier concentration$8.3{\times}101^{19}cm-^{-3}$ , Mobility$12.3cm^2/v-s$ 이며, Resistivity$0.6{\times}10^{-2}{\Omega}-cm$ , 투명반도체로 사용 가능함을 확인 할 수 있었다. -
무기물 나노입자를 포함하는 유기물/무기물 나노복합체는 플렉시블 전자 소자에 적용이 가능하기 때문에 차세대 비휘발성 메모리 소자에 대한 응용연구가 활발히 진행되고 있다. 본 논문에서는
$CuInS_2$ (CIS)/ZnS 코어-쉘 나노 입자를 포함한 poly(N-vinylcarbazole) (PVK) 고분자 박막을 기억 매체로 사용하는 유기 쌍안정성 소자(organic bistable devices, OBD) 메모리 소자를 제작하고 전기적 성질에 대하여 관찰하고 전하 수송 메카니즘에 대하여 규명하였다. 화학적 방법으로 형성한 CIS/ZnS 코어-쉘 나노 입자와 PVK를 toluene 용매에 녹인 후 초음파 교반기를 사용하여 나노 복합 소재를 형성하였다. 하부 전극으로 indium-tin-oxide (ITO)가 증착되어 있는 유리 기판 위에 나노 복합 소재를 스핀코팅 방법으로 도포한 후 열을 가해 잔류 용매를 제거하였다. CIS/ZnS 코어-쉘 나노 입자가 분산되어 있는 PVK 나노 복합 소재로 구성된 박막위에 상부 전극으로 Al을 열증착하여 메모리 소자를 제작하였다. 전류-전압 (I-V) 측정 결과에서 저전압에서는 전도도가 낮은 OFF 상태를 유지하다 어느 특정 양의 전압에서 전도도가 갑자기 증가하여 높은 전도도의 ON 상태로 전이되는 쌍안정성이 관찰되었다. 전류의 ON/OFF 비율은 약$10^3$ 이며 역방향 바이어스를 가해주었을 때 특정 음의 전압에서 전도도가 ON 상태에서 OFF 상태로 전환되는 전형적인 OBD 메모리 소자의 I-V 특성을 나타났다. 메모리 전하 수송 메커니즘 분석 결과 쓰기 과정은 thermionic emission (TE), space-charge-limited-current (SCLS) 모델과 지우기 과정은 Fowler-Nordheim (FN) 터널링 모델로 설명이 되었다. 제작된 소자에 대해 기억 시간 측정 결과는 ON과 OFF 상태의 전류가 장시간에도 변화가 거의 없는 소자의 안정성을 보여주었다. 이 실험 결과는 CIS/ZnS 코어-쉘 나노 입자가 분산되어 있는 PVK 나노 복합 소재를 사용하여 안정성을 가진 OBD 메모리 소자를 제작할 수 있음을 보여주고 있다. -
본 연구에서는 투명한 산화물반도체 a-IGZO 박막을 채널층로 사용하여 박막형트랜지스터를 제작하였고, 이를 이용하여 증가 및 공핍모드를 가지는 인버터소자를 제작하였다. 제작된 인버터는 4인치 유리기판위에 게이트, 채널 그리고 소스/드레인 영역을 스퍼터링방식으로 증착하였고, PECVD를 사용하여 SiNx 절연막을 증착하였다. 또한 투명소자에 응용하기위해 게이트, 소스, 드레인 영역을 투명한 a-IZO 박막으로 증착하였다. 제작된 인버터의 특성은 높은 전압이득과, 잡음여유를 가짐으로써 투명소자회로에서 다양한 응용 가능성을 보였다.
-
Feature size of Cu interconnects keep shrinking into several tens of nanometer level. For this reason, the Cu interconnects face challenging issues such as increase of electro-migration, line-width dependent electrical resistivity increase, and gap-filling difficulty in high aspect ratio structures. As the thickness of the Cu film decreases below 30 nm, the electrical resistivity is not any more constant, but rather exponential. Research on alloying with other elements have been started to inhibit such escalation in the electrical resistivity. A faint trace of Al added in Cu film by sputtering was reported to contribute to suppression of the increase of the electrical resistivity. From an industrial point of view, we introduced cyclic metal organic chemical vapor deposition (MOCVD) in order to control Al concentration in the Cu film more easily by controlling the delivery time ratio of Cu and Al precursors. The amount of alloying element could be lowered at level of below 1 at%. Process of the alloy formation was applied into gap-filling to evaluate the performance of the gap-filling. Voidless gap-filling even into high aspect ratio trenches was achieved. In-depth analysis will be discussed in detail.
-
We have studied lead-based gapless semiconductors,
$PbPdO_2$ , which is very sensitive to external parameters such as temperature, pressure, electric field, etc[1]. We have fabricated pure$PbPdO_2$ , Co- and Mn-doped$PbPdO_2$ thin films using the pulsed laser deposition. Because of the volatile element of Pb, it is very difficult to grow the films. Note that in case of$MgB_2$ , Mg is also volatile element. So in order to enhance the quality of$MgB_2$ , some experiments are carried out in annealing with Mg-rich atmosphere [2]. This annealing process with volatile element plays an important role in making smooth surface. Thus, we applied such process to our studies of$PbPdO_2$ thin films. As a result, we found the optimal condition of ex-situ annealing temperature${\sim}650^{\circ}C$ and time ~12 hrs. The ex-situ annealing brought the extreme change of surface morphology of thin films. After ex-situ annealing with PbO-rich atmosphere, the grain size of thin film was almost 100 times enlarged for all the thin films and also the PbO impurity phase was smeared out. And from X-ray diffraction measurements, we determined highly crystallized phases after annealing. So, we measured electrical and magnetic properties. Because of reduced grain boundary, the resistivity of ex-situ annealed samples changed smaller than no ex-situ sample. And the carrier densities of thin films were decreased with ex-situ annealing time. In this case, oxygen vacancies were removed by ex-situ annealing. Furthermore, we will discuss the transport and magnetic properties in pure$PbPdO_2$ , Co- and Mn-doped$PbPdO_2$ thin films in detail. -
Gang, Go-Ru;Sin, Jin-Ho;Cha, Deok-Jun;Go, Mun-Gyu;Gang, Sang-U;Kim, Jin-Tae;Yun, Ju-Yeong;Sim, Seung-Gyo;Nam, Jeong-Eun 373
염료 감응형 태양전지는 기존 Si 기반 PN접합 무기 태양전지에 비해서 경제적이다. 하지만 그 에너지 변환 효율은 아직까지 세계 최고 수준이 10%밖에 도달하지 못하였다. 그래서 다양한 방식의 효율개선 연구가 활발히 진행되고 있는 실정이다. 본 연구에서는 진공원자층증착(ALD)를 이용하여 Core-shell 구조의$TiO_2$ 층 위에 아주 얇고 균일한$Al_2O_3$ (알루미나) 산화막을 입혔다. 이를 통해서 염료감응형 태양전지의 에너지 변환 효율을 향상시켰다. 본 연구에서는 진공원자층증착(ALD)기술을 이용한$Al_2O_3$ (알루미나) 산화막의 증착조건에 따른 염료감응태양전지의 효율 개선 매커니즘에 대해서 고찰하였다. -
반도체 소자의 크기가 100 nm 이하로 감소되면 통상적인 이온 주입 조건인 이온 에너지, 조사량 및 이온 주입 각도뿐만 아니라 Dose Rate 및 모재 온도가 Dopant Profile을 조절하는 데에 있어서 매우 중요한 인자로 작용한다. 본 연구에서는 Ribbon-beam 및 Spot-beam을 사용하여 활성화 열처리 후 Dopant Profile을 분석하였다. 이온 주입은 모든 시편에서
$BF_2$ 를 가속 에너지 10 keV 및 조사량$2{\times}10^{15}/cm^2$ 로 고정하였다. 이온 주입 후 도펀트 활성화는 100% 질소 분위기 하에서$850^{\circ}C$ -30s 조건으로 RTA 열처리를 수행하였다. Boron 및 Fluorine의 Profile은 SIMS 분석을 통하여 구하였다. Spot-beam은 Ribbon-Beam에 비하여 Dose Rate 및 Cooling Efficiency가 높기 때문에 이온 주입 후 더욱 많은 양의 Primary-defect를 발생시키고 이에 따라 두꺼운 비정질 충을 형성한다.$BF_2$ 이온 주입 된 시편에서 B 및 F의 농도 Peak-height는 a/c 계면에 위치하는 것을 관찰하였다. 또한 B 및 F의 농도 Peak-height는 Silicon 모재의 온도가 증가할수록 증가하는 것을 관찰하였다. Silicon 모재의 온도가 증가함에 따라 Active-area의 면저항이 변화하지 않는 상태에서 Vt (Threshold Voltage)가 급격히 감소함을 관찰 하였다. 비정칠 층의 두께가 증가할수록 a/c 계면 하단에 잔존하는 Residual-defect의 양이 감소하고 이는 측면확산을 감소시키는 역할을 한다는 것이 관찰되었다. -
최근 차세대 평판 디스플레이의 응용에 많은 주목을 받고 있는 AMOLED의 경우 전류구동 방식이기 때문에 a-Si TFT 보다는 LTPS-TFT가 요구되며, 대면적 기판에서의 결정립 크기의 균일도가 매우 중요한 인자이다. 비정질 실리콘 박막 상부 혹은 하부에 도전층을 개재하고, 상기도전층에 전계를 인가하여 그것의 주울 가열에 의해 발생한 고열에 의해 비정질 실리콘 박막을 급속 고온 고상 결정화하는 방법에 관한 기술인 JIC (Joule-heating Induced Crystallization) 결정화 공정은 기판 전체를 한번에 결정화 하는 방법이다. JIC 결정화 공정에 의하여 제조된 JIC poly-Si은 결정립 크기의 균일성이 우수하며 상온에서 수 micro-second내에 결정화를 수행하는 것이 가능하고 공정적인 측면에서도 별도의 열처리 Chamber가 필요하지 않는 장점을 가지고 있다. 그러나 고온 고속 열처리 방법인 JIC 결정화 공정을 수행 하면 Arc에 의하여 시편이 파괴되는 현상이 발견되었다. 본 연구에서는 Arc현상의 원인을 파악하기 위해 전압 인가 조건 및 시편 구조 조건을 변수로 결정화실험을 진행하였다. ARC가 발생하는 Si층과 Electrode 계면을 식각 분리하여 Electrode와 Si층 사이의 계면이 형성되지 않는 조건에서 전계를 인가하는 실험을 통하여 JIC 결정화 공정 중 고온에 도달하게 되면, a-Si층이 변형되어 형성된 poly-Si층이 전도성을 띄게 되고 인가된 전압이 도전층과 Poly-Si 사이에 위치한
$SiO_2$ 의 절연파괴(Dielectric breakdown)전압보다 높을 경우 전압 인가 방향에 수직으로$SiO_2$ 가 절연 파괴되며 면저항 형태의 전도층의 단락이 진행되며 전도층이 완전히 단락되는 순간 Arc가 발생한다는 것을 관찰 할 수 있었다. 본 실험의 연구 결과를 바탕으로 Arc 발생을 방지하는 다양한 구조의 Equi-Potential 방법이 개발되었다. -
본 연구는 Poly-Si에 이온 주입된 Boron의 Activation 거동을 연구하고자 SLS (Sequential Lateral Solidification) Poly-Si과 ELA (Excimer Laser Annealing) Poly-Si의 활성화 거동을 비교 분석하였다. SLS 및 ELA 결정화 방법으로 제조된 Poly-Si을 모재로 비 질량 분리 방식의 ISD (Ion Shower Doping) System을 사용하여 2.5~7.0 kV까지 이온주입 하였다. 이온주입 후 두 가지의 열처리 방법, 즉, FA 열처리(Furnace Annealing)와 RTA 열처리(Rapid Thermal Annealing)를 사용하여 도펀트 활성화 열처리를 수행하고 이온주입 조건 및 활성화 열처리 방법에 따른 결함 회복 및 도펀트 활성화 거동의 변화를 관찰하였다. TRIM-code Simulation 결과 가속 이온 에너지와 조사량이 증가 할수록 이온주입 시 발생하는 결함의 양이 증가하는 것을 정량적으로 계산하였다. 실험 결과 결함의 양이 증가 할수록 Activation이 잘되는 것을 관찰할 수 있었다. SLS Poly-Si에 비하여 ELA Poly-Si의 경우 도펀트 활성화 열처리 후 활성화 효율이 높게 나타났다. 본 결과는 Grain Boundary의 역할과 밀접한 관계가 있으며 간단한 정성적인 Model을 제시하였다. 활성화 효율의 경우 RTA 열처리 시편이 FA 시편에 비하여 높은 것이 관찰되었다. 본 결과는 열처리 온도 및 시간에 따라 변화하는 Boron의 특이한 활성화 거동인 Reverse Annealing 효과에 기인하는 것으로 규명되었다.
-
Microprocessor technology now relies on copper for most of its electrical interconnections. Because of the high diffusivity of copper, Atomic layer deposition (ALD)
$TaN_x$ is used as a diffusion barrier to prevent copper diffusion into the Si or$SiO_2$ . Another problem with copper is that it has weak adhesion to most materials. Strong adhesion to copper is an essential characteristic for the new barrier layer because copper films prepared by electroplating peel off easily in the damascene process. Thus adhesion-enhancing layer of cobalt is placed between the$TaN_x$ and the copper. Because, cobalt has strong adhesion to the copper layer and possible seedless electro-plating of copper. Until now, metal film has generally been deposited by physical vapor deposition. However, one draw-back of this method is poor step coverage in applications of ultralarge-scale integration metallization technology. Metal organic chemical vapor deposition (MOCVD) is a good approach to address this problem. In addition, the MOCVD method has several advantages, such as conformal coverage, uniform deposition over large substrate areas and less substrate damage. For this reasons, cobalt films have been studied using MOCVD and various metal-organic precursors. In this study, we used$C_{12}H_{10}O_6(Co)_2$ (dicobalt hexacarbonyl tert-butylacetylene, CCTBA) as a cobalt precursor because of its high vapor pressure and volatility, a liquid state and its excellent thermal stability under normal conditions. Furthermore, the cobalt film was also deposited at various$H_2/NH_3$ gas ratio(1, 1:1,2,6,8) producing pure cobalt thin films with excellent conformality. Compared to MOCVD cobalt using$H_2$ gas as a reactant, the cobalt thin film deposited by MOCVD using$H_2$ with$NH_3$ showed a low roughness, a low resistivity, and a low carbon impurity. It was found that Co/$TaN_x$ film can achieve a low resistivity of$90{\mu}{\Omega}-cm$ , a low root-mean-square roughness of 0.97 nm at a growth temperature of$150^{\circ}C$ and a low carbon impurity of 4~6% carbon concentration. -
나노복합체를 이용하여 제작한 유기 쌍안정성 형태의 비휘발성 메모리 소자는 간단한 공정과 플렉서블 기기에 응용 가능성 때문에 많은 연구가 진행되고 있다. 나노복합체를 사용하여 제작한 비휘발성 메모리 소자의 전기적 성질에 대한 연구는 많이 진행되었으나, poly (methyl methacrylate) (PMMA) 고분자 박막 내부에 분산된
$SnO_2$ 나노입자를 이용하여 제작한 유기 쌍안정성 소자에서 기억 특성의 안정성에 대한 연구는 상대적으로 미흡하다. 본 연구에서는 PMMA 박막층 내부에 분산된$SnO_2$ 나노입자를 사용한 메모리 소자를 제작하여 전기적 특성 및 안정성에 대하여 관찰하였다.$SnO_2$ 나노입자를 PMMA와 용매인 클로로벤젠에 용해한 후에 초음파 교반기를 사용하여 두 물질을 고르게 섞었다. 전극이 되는 indium-tin-oxide 가 성장된 유리 기판 위에$SnO_2$ 나노입자와 PMMA가 섞인 용액을 스핀 코팅한 후, 열을 가해 용매를 제거하여 SnO2 나노입자가 PMMA에 분산되어 있는 유기 쌍안정성 형태의 나노복합체 박막을 형성하였다. 형성된 나노복합체 박막 위에 상부 전극으로 Al을 열증착하여 비휘발성 메모리 소자를 제작하였다. 제작된 소자의 전류-전압 측정 결과는 메모리 특성을 나타내는 ON과 OFF의 두 가지 상태가 존재하고 ON/OFF 전류 비율은 20이었다.$SnO_2$ 나노입자를 포함하지 않은 소자와 비교를 통해$SnO_2$ 나노입자가 비휘발성 메모리 소자에서의 전하 저장 영역으로 하는 역할을 확인하였다. 전류-시간 측정 결과 소자의 ON/OFF 전류 비율이 시간에 따라 큰 변화 없이 1,000회 이상 지속적으로 유지함을 관찰함으로써 소자의 안정성을 확인하였다. -
Jeong, Ui-Wan;Lee, Yeong-Min;Lee, Jin-Yong;Lee, Cho-Eun;Sim, Eun-Hui;Gang, Myeong-Gi;Heo, Seong-Eun;Hong, Seung-Su;No, Ga-Hyeon;Kim, Du-Su;Kim, Deuk-Yeong 379
본 연구에서는 고품질의 ZnO 박막을 제작하기 위해 사파이어 기판 위에 ZnO 박막을 Pulsed Laser Deposition (PLD) 법으로 성장하였다. 레이저 에너지 밀도와 펄스주파수를 고정시켰으며, 성장온도와 산소 분압은 각각$450{\sim}600^{\circ}C$ 및 5~20 sccm으로 변화를 주어 성장 온도와 산소 분압이 박막 특성에 미치는 영향을 분석하였다. 제작된 박막의 전기적 특성을 측정한 결과 성장온도의 증가에 따라 캐리어 농도는$9.18{\times}10^{18}cm^{-3}$ 에서$3.9{\times}10^{16}cm^{-3}$ 까지 감소하였고, 캐리어 이동도는$0.95cm^2/Vs$ 에서$8.47cm^2/Vs$ 까지 증가하는 경향을 나타내었으며, 산소분압의 변화에는 특정 임계조건을 갖는 것을 확인하였다. 이러한 이유는 PLD 법 성장 메커니즘에서 플라즈마 플럼(plasma flume) 내에 결합된 ZnO 분자가 기판으로 직접 성장이 이루어지는 과정에서 성장 온도가 증가함에 따라 플럼 내에서 결합 된 ZnO 분자의 열적 안정성이 향상되었으며, 유입되는 산소량의 감소로 인해 원자들의 표면 확산 거리 및 확산 시간이 길어져 보다 안정적인 박막 형성에 기인한 것으로 보인다. -
유기물 나노 복합체는 고집적/저전력/플렉서블 특성을 가지는 초고효율 비휘발성 메모리 소자를 제작하는데 많은 이점을 가지고 있어, 차세대 비휘발성 메모리 소자에 사용되는 소재로 매우 각광받고 있다. 그 중, WORM 특성을 가지는 메모리 소자는 1회 쓰기 후 수많은 읽기가 가능하기 때문에, 그 효율성이 매우 뛰어나 이목을 끌고 있다. 유기물 나노 복합체 중에서, poly(3-hexylthiophene) (P3HT)는 화학적/전기적 안정성과 전하의 이동도 특성이 뛰어나기 때문에 전자 소자에 응용하려는 연구가 활발히 진행되고 있다. 본 연구에서는
$P_3HT$ 고분자를 polymethylmethacrylate(PMMA) 고분자에 분산시킴으로써, 상태를 기억하는 저장 매체로 사용하였다. 본 연구의 소자를 제작하기 위하여 약 9 : 1 비율을 가지는 PMMA 와$P_3HT$ 를 용매인 클로로벤젠에 녹여 용액을 준비하였다. Indium Tin Oxide (ITO)가 코팅된 glass를 화학적 처리를 통해 청결하게 만든 후, PMMA와$P_3HT$ 가 용해되어 있는 용액을 스핀 코팅 방법으로 박막을 형성하였다. PMMA 속에$P_3HT$ 가 분산되어 있는 활성층 위에 상부 전극으로 Al을 열 증착 방식을 통하여 형성하였다. 제작된 WORM 특성을 갖는 유기물 나노 복합체 플렉서블 소자의 메모리 효과에 대한 분석을 위하여, -5V에서 5V까지 전압을 인가하여 전류-전압 특성을 측정하였다. 초기 낮은 전도도 (OFF 상태, 10-10A에서 10-4A)를 유지하다가, 쓰기 전압을 1회 가해준 후부터는 높은 전도도 (ON 상태, 10-5A 에서 10-2A)를 유지하는 특성을 관측하였다. 또한 WORM 특성을 갖는 메모리 소자로써의 능력을 보여주기 위하여, 1회 쓰기 전압 후 읽기 전압인 1V를 인가하여 높은 전도도 상태에 대한 상태 유지 능력을 측정하였고, 전하 수송 메커니즘을 규명하기 위하여 피팅 모델을 통해 설명하였다. -
유기물/무기물 나노 복합체를 이용하여 제작한 메모리 소자는 저전력 구동, 간단한 공정, 플렉서블한 성격과 같은 장점 때문에 많은 연구가 진행되고 있다. 다양한 유기물/무기물 나노 복합체를 이용한 비휘발성 메모리 소자에 대한 연구는 많이 진행되었으나, fullerene 계열의 [6,6]-phenyl-C85 butyric acid methyl ester (PCBM) 나노 입자와 poly (methylmethacrylate) (PMMA)의 나노 복합체를 사용하여 제작한 유기 쌍안정성 메모리 소자의 전기적 특성과 메커니즘에 대한 연구는 미흡하다. 본 연구에서는 기억층으로 PMMA 박막 안에 분산되어 있는 PCBM 나노 입자를 트랩층으로 사용하는 메모리 소자를 제작하여 전기적 특성 및 안정성에 대하여 관찰하였다. 소자제작을 위하여 PCBM 나노 입자를 PMMA와 함께 용매인 클로로벤젠에 용해한 후에 초음파 교반기를 사용하여 두 물질을 고르게 섞었다. Indium-tin-oxide 가 코팅된 glass위에 PCBM 나노 입자와 PMMA가 섞인 나노 복합체를 스핀 방법으로 적층한 후, 열을 가해 클로로벤젠을 제거하여 PCBM 나노 입자가 PMMA 안에 분산되어 있는 전하 수송 층을 형성하였다. 형성된 전하수송 층 위에 열 증착 방식으로 상부 Al 전극을 형성하여 유기 쌍안정성 메모리 소자를 제작하였다. 제작된 소자의 전류-전압 (I-V) 측정 결과 특정 전하 수송 층의 두께에서는 큰 ON/OFF 전류 비율을 보여준다. PMMA만을 사용한 소자에서는 I-V 메모리 특성이 나타나지 않는 결과로부터 PCBM 나노 입자가 전하 수송 층 내에서 메모리 특성의 역할을 한다는 것을 보여준다. 전류-시간 (I-t) 측정 결과로 소자의 ON/OFF 전류 비율이 시간이 지남에 따라 큰 감쇠 없이 104 s까지 103값을 지속적으로 유지되어 메모리 소자의 안정성을 보여주었다. 실험의 결과로 PCBM이 포함된 메모리 소자의 메커니즘과 전하 수송 층의 두께에 따른 메모리 특성을 설명하였다.
-
CIGS thin films have received great attention as a promising material for solar cells due to their high absorption coefficient, appropriate bandgap, long-term stability, and low cost production. CIGS thin films are deposited by various methods such as co-evaporation, sputtering, spray pyrolysis and electro-deposition. The deposition technique is one of the most important processes in preparing CIGS thin film solar cells. Among these methods, co-evaporation is one of the best technique for obtaining high quality and stoichiometric CIGS films. However, co-evaporation method is known to be unsuitable for commercialization. The sputtering is known to be very effective and feasible process for mass production. In this study, CIGS thin films have prepared by rf magnetron sputtering using a
$Cu(In_{1-x}Ga_x)Se_2$ single quaternary target without post deposition selenization. This process has been examined by the effects of deposition parameters on the structural and compositional properties of the films. In addition, we will explore the influences of substrate temperature and additional annealing treatment after deposition on the characteristics of CIGS thin films. The thickness of CIGS films will be measured by Tencor-P1 profiler. The crystalline properties and surface morphology of the films will be analyzed using X-ray diffraction and scanning electron microscopy, respectively. The optical properties of the films will be determined by UV-Visible spectroscopy. Electrical properties of the films will be measured using van der Pauw geometry and Hall effect measurement at room temperature using indium ohmic contacts. -
전자소자 및 광전소자의 최적화 조건을 확립하기 위해 반도체 나노양자구조의 물리적 현상에 대한 연구가 활발히 진행되고 있다. 반도체 양자세선은 일차원 구조의 기초 물리 특성 관찰과 소자로서의 응용 가치가 높다. 양자세선을 사용한 단전자 트랜지스터, 공명터널 다이오드, 발광다이오드, 광탐지기 및 레이저 소자 제작과 관련한 연구가 활발히 진행 중에 있다. 나노양자구조들 중에서 양자우물과 양자점에 대한 실험적 및 이론적 연구가 많이 진행되었으나, 복잡한 공정 과정과 물리적 이론의 복잡함으로 양자세선에 대한 연구는 상대적으로 미흡하다. 양자세선을 이용한 전자소자와 광전소자의 효율을 증진하기 위해서는 양자세선의 전자적 성질에 대한 연구가 중요하다. 본 연구에서는 InAs/InP 양자세선에 대한 기저상태와 여기상태의 전하분포, 부띠천이 및 전자적 성질을 고찰하였다. 가변 메시 유한 차분법을 이용하여 양자세선의 이산적 모델을 확립하여 변형효과가 양자세선 구조에서 부띠에 영향을 주는지 조사하였다. 변형효과와 비포물선효과를 고려한 슈뢰딩거 방정식을 사용하여 변형 포텐셜을 계산하였으며 양자세선의 포텐셜 변화를 관찰하였다. 양자세선의 포텐셜 변화에 따라 전하구속분포, 에너지 준위 및 파동 함수를 계산하였다. 기저상태의 부띠 간에 발생하는 천이와 여기상태의 부띠 간에 발생하는 부띠 간의 엑시톤 천이 에너지 값을 계산하였다. 계산한 부띠 에너지 천이 값이 광루미네센스로 측정한 엑시톤 천이와 잘 일치하였다. 이 결과는 양자세센의 이차원적인 전자적 구조를 이해하고 양자세선을 사용하여 제작된 전자소자 및 광전소자의 전자적 성질을 연구하는데 도움을 주며, 저전력 나노양자소자를 제작하는 기초지식을 제공하는 중요한 역할을 할 것이다.
-
PRAM switching device by using current pulse modulation was investigated to verify its possibility for 3D architecture. In this work, two phase change materials connected in series having a different crystallization temperature are used. Its structural for different phase change material was evaluated by electrical resistance. We confirmed that Germanium-Antimony-Tellurium (GST) alloy and Germanium- Copper-Tellurium (GCT) alloy material were selected according to crystallization temperature,
${\sim}180^{\circ}C$ for switching and${\sim}240^{\circ}C$ for memory devices, respectively. From this research, it is expected that phase change switching device could have advantages of process in terms of material similarity and structural simplification. -
A two terminal N+/P/N+ junction device to replace the conventional selective transistor was studied as a bilateral switching device for spin transfer torque (STT) MRAM based on 3D device simulation. An N+/P/N+ junction structure with
$30{\times}30nm$ area requires bi-directional current flow enough to write a data by a drain induced barrier lowering (DIBL) under a reverse bias at N+/P (or P/N+ junction), and high current on/off ratio of 106. The SiGe materials are widely used in hetero-junction bipolar transistors, bipolar compensation metal-oxide semiconductors (BiCMOS) since the band gap of SiGe materials can be controlled by changing the fraction and the strain epilayers, and the drift mobility is increased with the increasing Ge content. In this work, N+/P/N+ SiGe material based junction provides that drive current is increased from 40 to$130{\mu}A$ by increased Ge content from 10~80%. When Ge content is about 20%, the drive current density of SiGe device substantially increased to 2~3 times better than Si-based junction device in case of 28 nm P length, which is sufficient current to operation of STT-MRAM. -
We studied a bilateral switching device for spin transfer torque (STT-MRAM) based on 3D device simulation. Metal/P/N+or Metal/N/P+ junction device with
$30{\times}30nm2$ area which is composed of one side schottky junction at Metal/P/N+ and Metal/N/P+ provides sufficient bidirectional current flow to write data by a drain induced barrier lowering (DIBL). In this work, Junction device confirmed that write current is more than 30 uA at 2 V, It is also has high on-off ratio over 105 under read operation. Junction device has good process feasibility because metal material of junction device could have been replaced by bottom layer of MTJ. Therefore, additional process to fabricate two outer terminals is not need. so, it provides simple fabrication procedures. it is expected that Metal/P/N+ or Metal/N/P+ structure with one side schottky junction will be a promising switch device for beyond 30 nm STT-MRAM. -
Lee, Se-Won;O, Si-Deok;Sin, Hyeon-Uk;Jeong, Je-Myeong;Kim, Tae-Hwan;Sin, Jae-Cheol;Kim, Hyo-Jin 387
결정 Si 및 비정질 Si 태양전지는 환경친화적이며 안정적인 물질로 전력변환 및 에너지 저장 장치에 중요하기 때문에 연구가 활발하게 진행되고 있다. 고효율 Si 태양전지를 제작하여 상용화하기에는 여러 가지 문제점이 있다. 공기와 비교하여 높은 굴절률을 갖고 있기 때문에 발생하는 반사를 줄이기 위해서 필요한 무반사 코팅층(Anti-reflective coating; ARC)은 주로 SiO2 와 SiNx 와 같은 유전체를 이용하여 사용하지만 이들 ARC 증착은 PECVD와 같은 진공장비를 사용하므로 제작 비용이 높아지는 단점이 있다. 나노선 또는 나노 팁과 같은 sub-wavelength 구조를 표면에 만들어 반사율을 줄이는 작업을 통해 ARC 공정비용을 감소하고 효율을 증진하는 연구가 활발히 진행되고 있다. CdS 양자점을 태양전지 표면에 형성함으로 ARC로 해결할 수 없는 단파장영역에 해당하는 부분을 줄이는 연구가 진행되었으며, 비정질의 경우 원기둥 형태의 태양전지 형태와 더불어 지름 방향으로의 PN 접합 나노로드 배열을 만들어 흡수면을 증가하여 효율을 증가한 연구도 진행되었다. 태양전지 표면의 형태를 V-groove 형태로 형성하여 입사하는 태양전지의 광밀도를 증가하는 이론적 결과도 발표되었다. 본 연구에서는 Si 태양전지의 표면변형에 따른 태양전지의 전력변환효율의 변화를 관찰하기 위하여 태양전지 표면의 texture 지름을$3{\sim}15{\mu}m$ , 간격을$5{\sim}20{\mu}m$ 로 변화하고, 태양전지 표면의 나노 패턴을 2~10 nm 로 변화하여 반사율과 전력변환효율을 비교하였다. 나노와 마이크로 패턴은 각각 polystyrene nanosphere 와 photo mask를 이용하여 제작하였으며 PN junction Si 태양전지는 spin on dopant 방식으로 제작하여 성능을 조사하였다. -
In this letter, We have investigated cell characteristics of the alloy FePt-NDs charge trapping memory capacitors with high-k
$Al_2O_3$ dielectrics as a blocking oxide. The capacitance versus voltage (C-V) curves obtained from a representative MOS capacitor embedded with FePt-NDs synthesized by the post deposition annealing (PDA) treatment process exhibit the window of flat-band voltage shift, which indicates the presence of charge storages in the FePt-NDs. It is shown that NDs memory with high-k$Al_2O_3$ as a blocking oxide has performance in large memory window and low leakage current when the diameter of ND is below 2 nm. Moreover, high-k$Al_2O_3$ as a blocking oxide increases the electric field across the tunnel oxide, while reducing the electric field across the blocking layer. From this result, this device can achieve lower P/E voltage and lower leakage current. As a result, a FePt-NDs device with high-k$Al_2O_3$ as a blocking oxide obtained a~7V reduction in the programming voltages with 7.8 V memory. -
Molybdenum is one of the most important materials used as a back ohmic contact for
$Cu(In,Ga)(Se,S)_2$ (CIGS) solar cells because it has good electrical properties as an inert and mechanically durable substrate during the absorber film growth. Sputter deposition is the common deposition process for Mo thin films. Molybdenum thin films were deposited on soda lime glass (SLG) substrates using direct-current planar magnetron sputtering technique. The outdiffusion of Na from the SLG through the Mo film to the CIGS based solar cell, also plays an important role in enhancing the device electrical properties and its performance. The structure, surface morphology and electrical characteristics of Mo thin films are generally dependent on deposition parameters such as DC power, pressure, distance between target and substrate, and deposition temperature. The aim of the present study is to show the resistivity of Mo layers, their crystallinity and morphologies, which are influenced by the substrate temperature. The thickness of Mo films is measured by Tencor-P1 profiler. The crystal structures are analyzed using X-ray diffraction (XRD: X'Pert MPD PRO / Philips). The resistivity of Mo thin films was measured by Hall effect measurement system (HMS-3000/0.55T). The surface morphology and grain shape of the films were examined by field emission scanning electron microscopy (FESEM: Hitachi S-4300). The chemical composition of the films was obtained by the energy dispersive X-ray spectroscopy (EDX). Finally the optimum substrate temperature as well as deposition conditions for Mo thin films will be developed. -
Information technology industries has grown rapidly and demanded alternative memories for the next generation. The most popular random access memory, dynamic random-access memory (DRAM), has many advantages as a memory, but it could not meet the demands from the current of developed industries. One of highlighted alternative memories is magnetic random-access memory (MRAM). It has many advantages like low power consumption, huge storage, high operating speed, and non-volatile properties. MRAM consists of magnetic-tunnel-junction (MTJ) stack which is a key part of it and has various magnetic thin films like CoFeB, FePt, IrMn, and so on. Each magnetic thin film is difficult to be etched without any damages and react with chemical species in plasma. For improving the etching process, a high density plasma etching process was employed. Moreover, the previous etching gases were highly corrosive and dangerous. Therefore, the safety etching gases are needed to be developed. In this research, the etch characteristics of CoFeB magnetic thin films were studied by using an inductively coupled plasma reactive ion etching in
$CH_4/O_2/Ar$ gas mixes. TiN thin films were used as a hardmask on CoFeB thin films. The concentrations of$O_2$ in$CH_4/O_2/Ar$ gas mix were varied, and then, the rf coil power, gas pressure, and dc-bias voltage. The etch rates and the selectivity were obtained by a surface profiler and the etch profiles were observed by a field emission scanning electron microscopy. X-ray photoelectron spectroscopy was employed to reveal the etch mechanism. -
Kim, Jae-Gwan;Lee, Dong-Min;Park, Min-Ju;Hwang, Seong-Ju;Lee, Seong-Nam;Gwak, Jun-Seop;Lee, Ji-Myeon 391
In these days, the desire for the precise and tiny displays in mobile application has been increased strongly. Currently, laser displays ranging from large-size laser TV to mobile projectors, are commercially available or due to appear on the market [1]. In order to achieve a mobile projectors, the semiconductor laser diodes should be used as a laser source due to their size and weight. In this presentation, the continuous etch characteristics of Pd and AlGaN/GaN superlattice for the fabrication of blue laser diodes were investigated by using inductively coupled$CHF_3$ and$Cl_2$ -based plasma. The GaN laser diode samples were grown on the sapphire (0001) substrate using a metal organic chemical vapor deposition system. A Si-doped GaN layer was grown on the substrate, followed by growth of LD structures, including the active layers of InGaN/GaN quantum well and barriers layer, as shown in other literature [2], and the palladium was used as a p-type ohmic contact metal. The etch rate of AlGaN/GaN superlattice (2.5/2.5 nm for 100 periods) and n-GaN by using$Cl_2$ (90%)/Ar (10%) and$Cl_2$ (50%)/$CHF_3$ (50%) plasma chemistry, respectively. While when the$Cl_2$ /Ar plasma were used, the etch rate of AlGaN/GaN superlattice shows a similar etch rate as that of n-GaN, the$Cl_2/CHF_3$ plasma shows decreased etch rate, compared with that of$Cl_2$ /Ar plasma, especially for AlGaN/GaN superlattice. Furthermore, it was also found that the Pd which is deposited on top of the superlattice couldn't be etched with$Cl_2$ /Ar plasma. It was indicating that the etching step should be separated into 2 steps for the Pd etching and the superlattice etching, respectively. The etched surface of stacked Pd/superlattice as a result of 2-step etching process including Pd etching ($Cl_2/CHF_3$ ) and SLs ($Cl_2$ /Ar) etching, respectively. EDX results shows that the etched surface is a GaN waveguide free from the Al, indicating the SLs were fully removed by etching. Furthermore, the optical and electrical properties will be also investigated in this presentation. In summary, Pd/AlGaN/GaN SLs were successfully etched exploiting noble 2-step etching processes. -
Lee, Cho-Eun;Lee, Yeong-Min;Lee, Jin-Yong;Jeong, Ui-Wan;Sim, Eun-Hui;Gang, Myeong-Gi;Heo, Seong-Eun;No, Ga-Hyeon;Hong, Seung-Su;Kim, Du-Su;Kim, Deuk-Yeong 393
본 연구에서는 고결정성을 갖는 ZnO 박막을 제작 후, 큰 일함수를 갖는 AgxO/Ag접촉을 통하여 ZnO 쇼트키 접촉 특성을 분석하였다. ZnO 박막은 사파이어 기판 위에 r.f. 마그네트론 스퍼터링법으로$400{\sim}600^{\circ}C$ 의 온도구간에서 Ar과$O_2$ 가스의 분압비를 달리하여 성장하였다. 이 때 성장온도$600^{\circ}C$ , 가스 분압비는 Ar :$O_2$ = 15 sccm : 30 sccm 에서 성장된 박막에서 양질의 고결정성 ZnO 박막을 확인하였다. 이 후 성장된 박막에 접촉 면적을 달리하여 dc 마그네트론 스퍼터링법과 lift-off photolithography법으로 AgxO/Ag접촉을 제작하고 쇼트키 접촉특성을 확인하였다. 전류-전압 특성을 확인한 결과 모든 시료에서 정류 특성을 확인하였으며, 접촉면적의 변화에도 쇼트키 장벽의 높이는 일정한 반면 이상지수는 향상되는 경향을 나타내었다. 따라서 본 연구에서는 AgxO/Ag를 이용한 ZnO 쇼트키 접촉면적에 따른 정류특성 및 장벽높이와 이상지수의 상관관계에 대하여 보고한다. -
본 연구에서는 게이트 절연막
$SiO_2$ 가 증착된 Si 기판위에 스퍼터링 방식으로 투명산화막반도체 a-IGZO타겟을 사용하여 채널층을 형성하고, a-IZO타겟으로 소스/드레인층을 형성하여 박막트랜지스터를 제작하였다. 채널층의 두께 20 nm, 50 nm,100 nm에 따른 전기적인 특성을 평가하였으며, 두께 따라 문턱전압의 변화를 확인하였다. 제작된 a-IGZO 박막트랜지스터는 높은 전자이동도와 스위칭특성을 보여주었다. -
산업 분야에서 TiN, CrN, CrAl-N, TiAl-N과 같은 Hard 코팅들은 기계적 특성이 우수하여 절삭 공구, 기계 부품분야에서 많이 사용되고 있다. 최근 연구 동향을 살펴보면 기존에 하나 또는 두가지 합금상태의 Hard 코팅을 넘어서 제3원소, 제4원소를 첨가하여 미세 구조적 변화를 통해 기존의 우수한 특성에 고온안정성, 내식성, 내산화성 등 다양한 기능성을 부여하는 다기능성 연구가 활발히 진행 중이다. 본 연구에서는 마그네트론 스퍼터를 이용하여 고경도 코팅인 Ti-Al계열에 Si, Cu, Cr, B을 첨가함에 따른 내식특성을 확인해보았다. 성분이 균일한 코팅을 만들기 위해 Ti-Al, Ti-Al-Si, Ti-Al-Cu, Ti-Al-Cr, Ti-Al-B 단일합금타겟을 제조하여 실험을 진행하였다. 기본 물성을 확인하기 위해 경도 측정과 SEM XRD 등을 분석하였다. 내식성 평가는 동전위 테스트와 염수분무 테스트를 진행하였고 전해질은 염수와 동일한 5%-NaCl로 진행하였다. 그 결과 Ti-Al-Cr이 내식성에 강한 것으로 나타났고 염수분무 실험에서도 1200시간 이상 지속되는 성과를 보였다.
-
본 연구는 LED의 광추출 효율을 높이기 위해 다양한 모양의 다각형을 이용해 광추출 효율 및 전류주입 효과를 연구하였다. 우리는 기존의 사각형 LED와 삼각형, 마름모, 오각형, 육각형, 원형의 모양을 최대한 같은 면적을 같게 하여 각 모양에 따른 광추출 효율이 어떻게 변하는지 연구하였고 또한, 각각의 모양에 대하여 전극 모양을 다르게 하여 각 모양의 전극 구조를 시뮬레이션과 실험을 통하여 원인 규명 및 최적의 구조를 도출하였다. 각 모양에 대한 광추출 효율은 lighttools와 Ratro 시뮬레이터를 이용하였고 전극 모양은 SpeCLED를 통하여 실험값과 비교하였다. 각 모양의 사이즈는 사각형
$500{\times}1,000{\mu}m$ 의 면적으로 최대한 동일하게 제작하여, 수평형 칩을 제작후 패키지 하여 적분구 측정 및 고니오미터 측정을 통해 총 광량 및 지향각에 따른 광추출 효율을 비교 하였다. 또한 전극모양에 따라 변화하는 I-V 특성분석 및 다양한 전기적 분석을 통하여 최적의 다각형 구조를 도출하였다. -
GaN 기반의 InGaN/GaN 다중양자우물(MQW) 구조의 발광다이오드는 다양한 파장대의 가시광을 방출하는 소자로 교통 신호등, 디스플레이, LCD backlight, 일반 조명까지 넓게 응용되고 있다. 그러나, 이러한 응용을 위해서는 전류 주입 효율, 내부양자효율, 광추출 효율을 개선하는 연구를 통한 발광 다이오드의 광효율을 높이는 연구가 필수적이다. 최근 많은 연구 개발에 의해 내부양자효율은 크게 향상 되었지만, 광추출 효율은 GaN (n=2.4)와 공기 (n=1)의 굴절률 차이에 의해 아직까지 낮은 실정이다. 광추출 효율을 개선하기 위해 반사전극, 전방향 반사전극, 표면 거칠기, Chip 성형 등의 기술이 제안되고 있다. 본 연구는 LED의 광추출 효율을 높이기 위해 다양한 모양의 Hydrothermal 법에 의해 성장된 ZnO 나노 구조 및 나노스피어 리소그라피를 통한 폴리스티렌 나노 구체의 주기적인 배열에 따른 특성을 연구하였다.
-
II-V 족 화합물 반도체인 황화카드뮴(CdS)은 상온에서 2.42 eV의 밴드갭을 갖는 직접 천이형 물질로서 CdTe 또는
$CuInSe_2$ 와 같은 박막형 태양전지의 투과층(window layer)으로 널리 사용되고 있다. CdS 박막은 전자빔 증착법(e-beam evaporation), 화학용액증착법(chemical bath deposition), 열분해법(spray pyrolysis), 스퍼터링법(sputtering) 등으로 제작되고 있다. 이 중 스퍼터링법의 경우, 다른 증착법에 비해 조작이 간단하고 넓은 면적에서 균일한 박막을 증착할 수 있을 뿐만 아니라, 박막두께 조절이 용이하다. 따라서 본 실험에서는 RF 마그네트론 스퍼터링법으로 증착된 CdS 박막의 기판온도 및 열처리 온도변화에 따른 구조적 및 광학적 특성을 조사하였다. 기판은 RCA 기법으로 세정된 Corning Eagle 2000 유리 기판을 사용하였다. 박막 공정은 초기 진공$1{\times}10^{-6}Torr$ 상태에서 20 sccm의 Ar 가스를 주입하고 100 W의 RF 파워, 7 mTorr의 공정 압력에서 기판 온도를$200^{\circ}C$ 부터$500^{\circ}C$ 까지 변화시키면서 수행하였다. 증착된 CdS 박막은 질소 분위기의 가열로(furnace)를 이용해$300-500^{\circ}C$ 온도에서 30분간 열처리되었다. 시료들의 표면 형상은 scanning electron microscope를 사용하여 관찰하였으며, UV-vis-NIR spectrophotometer를 사용하여 400-1,000 nm 파장영역에서의 투과율을 측정하였다. 그리고 X-선 회절분석(X-Ray Diffraction)으로 결정구조를 조사하고 결정립 크기를 산출하였다. -
산화물 반도체는 넓은 에너지갭을 가지고 높은 이동성과 높은 투명성을 가지기 때문에 초고 속 박막 트랜지스터(Thin film transistor; TFT)에 많이 응용되고 있다. 그러나 ZnO 및
$In_2O_3$ 산화물 반도체를 박막트랜지스터에 사용할 경우 소자가 불안정하여 전기적 성질이 저하되고 문턱전압의 이동이 일어난다. TFT에 사용되는 산화물 반도체로는 GaInZnO, ZrInZnO, HfInZnO 및 GaSnZnO의 전기적 특성에 관한 연구가 많이 되었다. 그러나 titanium-indium-zinc-oxide (TIZO) TFT에 대한 연구는 비교적 적게 수행 되었다. 본 연구에서는 TFTs의 안정성을 향상하기 위하여 TFT의 채널로 사용되는 TiInZnO를 형성하는데 간단한 제조 공정과 낮은 비용의 용액 증착방법을 사용하였다. 졸-겔 전해액은 Titanium (IV) isopropoxide$[Ti(OCH(CH_3)_2)_4]$ , 0.1 M Zinc acetate dihydrate$[Zn(CH_3COO)_2{\cdot}2H_2O]$ 그리고 indium nitrate hydrate$[In(NO_3)_3{\cdot}xH_2O]$ 을 2-methoxyethanol의 용액에 합성하였다.$70^{\circ}C$ 에서 한 시간 동안 혼합 하였다. Ti의 몰 비율은 10%, 20% 및 40% 로 각각 달리하여 제작하였다.$SiO_2$ 층 위에 2,500 rpm 속도로 25초 동안 스핀 코팅하여 TFT를 제작하였다. TIZO 박막에 대한 X-선 광전자 스펙트럼 관측 결과는 Ti 몰 비율이 증가함에 따라 Ti 2p1/2피크의 세기가 증가함을 보여주었다. TiZO 박막에 Ti 원자를 첨가하면$O^{2-}$ 이온이 감소하기 때문에 전하의 농도가 변화하였다. 전하 농도의 변화는 TiZO 채널을 사용하여 제작한 TFT의 문턱전압을 양 방향으로 이동 하였으며 off-전류를 감소하였다. TiZO 채널을 사용하여 제작한 TFT의 드레인 전류-게이트 전압 특성은 on/off비율이$0.21{\times}107$ 만큼 크며 이것은 TFT 소자로서 우수한 성능을 보여주고 있다. -
유기발광소자는 유연 소자로의 적용, 자체 발광 등의 장점으로 차세대 디스플레이로서 각광받고 있다. 하지만 유기발광소자는 유기물을 발광층으로 하고 있기 때문에 수분에 취약하다는 단점이 있다. 그래서 봉지 기술(encapsulation)을 필요로 한다. 널리 알려진 방법으로는 유리로 소자를 감싸고 내부에 흡습제를 충진하여 수분 투습을 줄일 수 있다. 하지만 위 기술을 사용할 경우 유기발광소자의 장점인 유연 소자의 적용이 어렵다. 따라서 박막 봉지 기술을 이용하면 보다 얇은 두께의 소자 제작이 가능하고 유연 소자의 적용 역시 가능해진다. 박막 코팅을 이용한 봉지 기술 중 화학적 증착법(Chemical Vapor Deposition, CVD)이나 물리적 증착법(Physical Vapor Deposition, PVD)을 이용하는 방법이 널리 알려져 있지만 원자층 증착법(Atomic Layer Deposition, ALD)을 이용하면 보다 낮은 두께의 치밀한 박막을 제작 할 수 있다. 본 연구는 원자층 증착법을 응용한 분자층 증착법(Molecular Layer Deposition, MLD)을 이용하여 Trimethylaluminum과 Ethylene glycol을 순차적으로 주입함으로써 Alucone 유기 박막을 제작하고 유기발광소자의 봉지 기술로의 적용을 위해 투과 방지막 특성에 관하여 분석했다. 박막 봉지 기술로서 적용하기 위해 제작된 투과 방지막은 원자층 증착법으로 Al2O3무기 박막을 제작하고 분자층 증착법으로 Alucone 박막을 순차적으로 증착하였다. 이를 Ca를 이용하여 전도도를 측정하고, 투습도를 계산하여 투과 방지막 특성을 분석하였다. Alucone 박막은 우수한 투과 방지막 특성을 가지지는 못하지만 적층 구조로 제작함으로써 두 쌍의 Alucone/Al2O3일때,
$6.07{\times}10^{-2}g/m^2day$ 의 투습도를 보여주고 있다. Alucone 박막의 존재는 수분이나 산소의 투과 경로 길이를 늘려줌으로써 Alucone/Al2O3 박막의 투과방지 특성이 향상되는 것으로 사료된다. -
Kim, Hun-Bae;O, Hyo-Jin;Lee, Chae-Min;Ha, Myeong-Hun;Park, Ji-Su;Park, Dae-Won;Jeong, Dong-Geun;Chae, Hui-Yeop 402
최근 반도체 공정 중 fluorocarbon (CxHyFz) 가스와 함께 플라즈마 밀도가 큰 유도결합형 플라즈마을 사용한 식각장비가 많이 사용되고 있다. 특히 저 유전상수 값을 가지는 박막을 밀도가 큰 플라즈마와 함께 fluorocarbon 가스를 이용하여 식각을 하게 되면 매우 복잡한 현상이 생긴다. 따라서 식각률에 대한 모델을 세우고 적용하는 일이 매우 어렵다. 본 연구에서는 CF4가스를 Ar가스와 함께 혼합하고 기판 플라즈마와 유도결합형 플라즈마를 동시에 가진 식각장비를 사용하여, 저 유전상수 값을 갖는 박막을 식각하였다. 또한, 간단한 식각모델인 Langmuir adsorption model를 이용하여 식각률(Etch rate)에 대한 합리적인 이해를 얻기 위해, 기판과 유도결합형 플라즈마의 파워에 따른 식각률을 계산하고, 식각모델에서 사용되는 매개변수인 이온플럭스(Ion Flux)와 식각수율(Etch yield)을 연구하였다. 기판의 플라즈마 파워가 20에서 100 W 증가하면서 식각률이 269에서 478 nm/min로 증가하였으며, 식각수율이 0.4에서 0.59로 증가하는 것을 관찰하였다. 반면에 기판의 플라즈마 파워 증가에 따라 이온 플럭스는 3.8에서$4.7mA/cm^2$ 로 변화가 크지 않았다. 또한, 유도결합형 플라즈마의 파워가 100에서 500 W 증가하면서, 식각률이 117에서 563 nm/min로 증가하였으며, 이온플럭스가 1.5에서$6.8mA/cm^2$ 으로 변화하였다. 그러나, 식각수율은 0.46에서 0.48로 거의 변화하지 않았다. 그러므로 저 유전상수 값을 가지는 박막 식각의 경우, 기판의 플라즈마는 식각수율을 증가시키며 유도결합형 플라즈마는 이온 플럭스를 증가시켜 박막 식각에 기여하는 것으로 사료된다. -
We fabricated TFT devices with the GeSe channel. A single device consists of a Pt source and drain, a Ti glue layer and a GeSe chalcogenide channel layer on SiO2/Si substrate which worked as the gate. We confirmed the drain current with variations of gate bias and channel size. The I-V curves of the switching device are shown in Fig. 1. The channel of the device always contains amorphous state, but can be programmed into two states with different threshold voltages (Vth). In each state, the device shows a normal Ovonic switching behavior. Below Vth (OFF state), the current is low, but once the biasing voltage is greater than Vth (ON state), the current increases dramatically and the ON-OFF ratio is high. Based on the experiments, we draw the conclusion that the gate voltage can enhance the drain current, and the electric field by the drain voltage affects the amorphous-amorphous transition. The switching device always contains the amorphous state and never exhibits the Ohmic behavior of the crystalline state.
-
InSb는 높은 전자이동도와 낮은 밴드갭을 가지고 있어 저전력 고효율의 고주파소자 및 비선형 광소자에 적합한 물질이다. 특히 InSb 기반 소자들은 전자-포논효과의 영향을 덜 받는 저온에서 고감도 소자로도 사용되고 있는데, 소자의 최적합 설계와 제작시의 실시간 성장제어를 위해서는 넓은 온도범위에서의 InSb의 광물성이 필요하다. 분광타원편광분석법(ellipsometry)은 물질의 광특성인 유전율 함수를 정확하게 측정 할 수 있은 기술로써, InSb 에 대한 유전율 함수는 많은 연구를 통해 잘 알려져 있다. 그러나, 온도변화에 대한 연구로는 100-700 K, 1.2-5.6 eV의 제한된 온도와 분광영역에서만 이루어졌다. 본 연구에서는 보다 확장된 온도범위(25-686 K), 광역 에너지 범위 (0.74-6.5 eV)에서 분광타원편광분석 연구를 수행하였다. 그 결과 저온에서의 전자-포논 효과의 감소로 인한 청색천이와 보다 명확한 전자전이점들의 값을 얻었다. 특히, 100 K 까지의 이전 연구에서는 구분할 수 없었던
$E_2'$ 전이점을 본 연구의 25 K 의 유전율 함수에서 명확히 구분할 수 있었고, 고에너지 영역의$E_1'+{\Delta}_1+{\Delta}_1'$ 전이점의 온도의존성을 처음으로 연구하였다. 본 연구의 결과는 InSb 를 기반으로 한 광전자 소자의 개발 및 적용분야와 밴드갭 엔지니어링 분야에 많은 도움이 될 것으로 예상한다. -
Jo, Tae-Hun;Yun, Myeong-Su;Son, Chan-Hui;Kim, Dong-Jin;Nam, Chang-Gil;Jeon, Bu-Il;Jo, Gwang-Seop;Gwon, Gi-Cheong 406
반도체 공정의 대부분은 plasma를 사용한 공정이 주를 이루고 있다. 이러한 공정에서 최근 선폭의 초 미세화가 진행되면서 pulse modulation plasma에 대한 관심을 가지게 되고 있다. Pulse modulation plasma는 RF 인가 시 일정 간격으로 on, off 시켜주게 된다. 이 때, chamber 내부에서 발생하는 plasma역시 on, off 되게 되는데 이러한 현상을 이용하면 plasma 내의 전자온도가 떨어져서 식각 공정 시 선택비의 개선을 기대할 수 있다. 실제 장비회사에서는 pulse를 이용하기 위한 장비개선 연구가 한창이다. 본 연구에서는 유도결합플라즈마 chamber에서 source와 bias에 RF pulse modulation plasma를 발생시켜 기존 CW (Continuous wave) 방전시킨 plasma의 밀도와 전자온도를 측정하여 차이를 비교, 분석 해보았다. -
Sim, Eun-Hui;Lee, Cho-Eun;Jeong, Ui-Wan;Lee, Jin-Yong;Gang, Myeong-Gi;No, Ga-Hyeon;Hong, Seung-Su;Heo, Seong-Eun;Kim, Du-Su;Lee, Yeong-Min;Kim, Deuk-Yeong 407
본 연구에서는 p형 전도 특성을 갖는 ZnO 박막 연구를 위해 RF 마그네트론 스퍼터 법으로 Li이 1 at.% 첨가된 ZnO target을 이용하여 ZnLiO 박막을 제작하였다. ZnLiO 박막은$500{\sim}650^{\circ}C$ 의 온도 구간에서$50^{\circ}C$ 단계로 아르곤 가스와 산소의 가스 분압비를 조절하여 성장하였으며, 급속 열처리 법으로 산소분위기에서 3분간 열처리 하였다. 성장된 박막은 전자주사현미경과 x-ray 회절 분광법을 이용하여 구조적 특성을 분석하였고, Hall 효과 측정을 통하여 전기적 특성을 분석하였다. Photoluminescence (PL)법을 통하여 박막의 광학적 특성을 분석하였다. 초기 제작된 ZnLiO 박막은 산소 분위기에서의 급속 열처리과정을 통하여 결정성과 p형 전도 특성이 향상됨을 확인하였다. 이는 열처리 과정을 통해 격자 내 치환되지 못한 Li 원자가 Zn 자리로 치환됨에 따라 격자가 안정화 되며, 억셉터 농도의 증가를 통하여 p-type 전도 특성이 개선된 것으로 보여진다. -
It is well known that magnetic random access memory (MRAM) is nonvolatile memory devices using ferromagnetic materials. MRAM has the merits such as fast access time, unlimited read/write endurance and nonvolatility. Although DRAM has many advantages containing high storage density, fast access time and low power consumption, it becomes volatile when the power is turned off. Owing to the attractive advantages of MRAM, MRAM is being spotlighted as an alternative device in the future. MRAM consists of magnetic tunnel junction (MTJ) stack and complementary metal- oxide semiconductor (CMOS). MTJ stacks are composed of various magnetic materials. FePt thin films are used as a pinned layer of MTJ stack. Up to date, an inductively coupled plasma reactive ion etching (ICPRIE) method of MTJ stacks showed better results in terms of etch rate and etch profile than any other methods such as ion milling, chemical assisted ion etching (CAIE), reactive ion etching (RIE). In order to improve etch profiles without redepositon, a better etching process of MTJ stack needs to be developed by using different etch gases and etch parameters. In this research, influences of
$O_2$ gas on the etching characteristics of FePt thin films were investigated. FePt thin films were etched using ICPRIE in$CH_4/O_2/Ar$ gas mix. The etch rate and the etch selectivity were investigated in various$O_2$ concentrations. The etch profiles were studied in varying etch parameters such as coil rf power, dc-bias voltage, and gas pressure. TiN was employed as a hard mask. For observation etch profiles, field emission scanning electron microscopy (FESEM) was used. -
모바일 및 TV, 모니터 부분에서 AMOLED의 비약적인 시장 점유율 확대와 더불어 TFT 소자 부문에서도 많은 집중과 연구개발이 이루어지고 있다. 높은 이동도를 필요로 하는 AMOLED의 구동 회로에 채널층으로서 a-Si이 낮은 이동도로 인한 한계에 부딪히며 더 이상 쓰일 수 없게 되었고, 현재 우수한 이동도와 균일성, 제조 원가 절감의 효과 등 많은 장점을 보유한 산화물 TFT가 접목되고 있다. 현재까지 IGZO, ZnO 등이 많이 연구되며 실제로 AMOLED 용 TFT 소자에 적용 되고 있다. 본 연구에서는 산화물질인 ZTO (Zinc-Tin-Oxide)를 이용하여 TFT를 제작하였다. n-type 웨이퍼에 PECVD를 이용하여
$SiO_2$ 를 100 nm 증착한 뒤 spin coater로 ZTO용액을 30 nm 증착하였다. ZTO의 최적화된 열처리 온도인$450^{\circ}C$ 에서 annealing을 해준 다음에 thermal evaporator로 source와 drain을 증착하였다. Gate 컨택을 위하여 웨이퍼 후면에 silver paste를 이용해 소자를 완성하였다. 산화물질 특성상 환경변화에 민감한 경향성을 보이기 때문에 현재 산화물 TFT는 신뢰성 분석에 많은 연구가 진행되고 있다. 완성된 ZTO TFT 소자를 빛과 수분에 일정한 시간 노출시킨 뒤 I-V 측정을 통하여 소자 열화를 분석하였다. -
Kim, Dong-Hae;Son, Chan-Hui;Yun, Myeong-Su;Lee, Gyeong-Ae;Jo, Tae-Hun;Jo, Lee-Hyeon;No, Jun-Hyeong;Yu, Jin-Hyeok;Choe, Eun-Ha;Jo, Gwang-Seop;Eom, Hwan-Seop;Jeon, Bu-Il;Gwon, Gi-Cheong 410
투명 전도성 TCO (Transparent Conductivity Oxide)박막 중 ITO (Indium Tin Oxide) 박막은 n-type의 전도특성을 갖는 산화물로서 가시광선 영역에서의 높은 투과율, 전기 전도도, 넓은 밴드갭을 나타내기 때문에 디스플레이 및 태양전지 분야에 널리 사용 되어 지고 있다. 이 실험에서는 ITO 증착시 산소 유량의 변화에 따라 특성의 변화를 관찰하고자 실험을 진행 하였다. 실험에서 산소 유량은 0 sccm에서 12 sccm까지 변화를 주었으며, ITO는 RF 마그네트론 스퍼터를 이용하여 유리위에 증착하였다. 실험에서 인가된 RF power는 2 kW, 13.56 MHz, 공정 압력은$4.5{\times}10^{-6}torr$ 에서 진행하였다. 유리와 타겟 사이의 거리는 200 mm로 고정하였으며, 온도는 상온에서 공정을 진행하였다. 증착된 ITO의 전기전도도(${\sigma}$ )는 3 sccm까지는 증가하는 경향을 보이다가 그 이후부터는 감소하는 것을 확인 할 수 있었다. 투과율과 이차전자방출계수의 결과 또한 전기전도도와 유사한 경향성을 보여 주었다. 이를 통해 3 sccm의 산소 유량으로 증착된 ITO의 전기적 특성이 가장 좋은 것으로 확인이 되었다. -
대부분의 상용 LED는 사파이어기판에 성장된 GaN를 기반으로 사용한다. GaN는
$1,000^{\circ}C$ 이상의 높은 온도에서 성장이 이루어지는데 이 경우 GaN과 사파이어 기판과의 높은 열팽창 계수로 인하여 compressive stress를 받게 된다. 이 compressive stress로 인하여 성장된 GaN wafer에 bowing이 일어나게 되고 이는 기판의 대면적화에 커다란 문제로 작용한다. 이런 문제들을 해결하기 위해 여러 방법이 고안되고 있지만 [1,2], 근본적으로 wafer bowing 문제의 해결은 이루어지고 있지 않다. 한편, 일반적으로 박막을 성장할 때 columnar structure를 가지는 박막이 coalescence되면 박막에 tensile stress가 걸린다는 사실이 알려져 있으며 [3], GaN를 저온에서 성장할 경우 columnar structure를 갖는다는 사실이 보고되었다 [4]. 본 연구에서는 이런columnar structure를 갖는 GaN을 이용하여 wafer bowing 문제가 해결된 GaN 박막 성장을 연구하였다. 본 실험에서는, c-plane 사파이어에 유기금속화학증착법(MOCVD)을 이용하여 nano-columnar structure를 갖는 저온 GaN layer을 성장하였다. 그 후 columnar structure를 유지하면서$1,040^{\circ}C$ 까지 annealing한 후 고온에서 flat 한 GaN 박막을 nano-columnar structure GaN layer위에 성장 하였다. 우선 저온 GaN layer가 nano-columnar structure를 갖고, 고온에서도 nano-columnar structure가 유지되는 것을 scanning electron microscopy (SEM)과 transmission electron microcopy (TEM)을 통해 확인하였다. 또한 이런 columnar structure 위에 고온에서 성장시킨 flat한 GaN 박막이 성장된 것을 관찰할 수 있었다. 성장된 GaN박막의 wafer bowing 정도를 측정한 결과, columnar structure를 갖고 있는 고온 GaN 박막이 일반적인 GaN에 비해 확연하게 wafer bowing이 감소된 것을 확인할 수 있었다. Columnar structure가 coalescence가 되면서 생기는 tensile stress가 GaN박막의 성장시 발생하는 compressive stress를 compensation하여 wafer bowing이 줄어든 것으로 보인다. 본 발표에서는 이 구조에 대한 구조 및 stress 효과에 대해서 논의할 예정이다. -
Programmable Metallization Cell (PMC) memory, which utilizes electrochemical control of nanoscale quantities of metal in thin films of solid electrolyte, shows great promise as a future solid state memory. The technology utilizes the electrochemical formation and removal of metallic pathways in thin films of solid electrolyte. Key attributes are low voltage and current operation, excellent scalability, and a simple fabrication sequence. In this study, we investigated the nature of thin films formed by photo doping of Ag+ ions into chalcogenide materials for use in solid electrolyte of programmable metallization cell devices. We measured the I-V characteristics by field-effect of the device. The results imply that a Ag-rich phase separates owing to the reaction of Ag with free atoms from chalcogenide materials.
-
ZnO nanostructures were synthesized by a vapor phase transport process in a single-zone furnace within a horizontal quartz tube with an inner diameter of 38 mm and a length of 485 mm. The ZnO nanostructures were grown on Au-catalyzed Si(100) substrates by using a mixture of zinc oxide and graphite powders. The growth of ZnO nanostructures was conducted at
$800^{\circ}C$ for 30 min. High-purity Ar and$O_2$ gases were pushed through the quartz tube during the process at a flow rate of 100 and 10 sccm, respectively. The sequence of ON/OFF cycles of the Ar gas flow was repeated, while the$O_2$ flow is kept constant during the growth time. The Ar gas flow was ON for 1 min/cycle and that was OFF for 2 min/cycle. The structure and optical properties of the ZnO nanostructures were investigated by field-emission scanning electron microscope, X-ray diffraction, temperature-dependent photoluminescence. The preferred orientation of the ZnO nanostructures was along c-axis with hexagonal wurtzite structure. -
유기물을 기반으로 하는 유기발광소자, 유기메모리 및 유기 태양전지 등과 같은 차세대 전자소자는 기존의 무기물 기반의 소자에 비해 가격이 싸고 제작방법이 간단하며 휘어지게 만들 수 있다는 장점을 갖기 때문에 많은 관심을 받고 있다. 유기물을 기반으로 한 전자 소자의 효율을 향상시키기 위해서는 유기물질이 갖는 고유의 물리적 특성에 관한 연구가 중요하다. 특히, 유기물 내에서의 전하 전송 메카니즘을 이해하기 위해 유기물의 전자 이동도에 대한 연구가 중요하나, 아직까지 유기물질을 기반으로 한 전자 소자의 전자 이동도에 대한 이론적인 연구가 비교적 적다. 본 연구에서는 유기물 내에서의 트랩 분포 변화에 따른 전자 이동도를 몬테카를로 방법을 이용하여 계산하였다. 시뮬레이션을 위한 기본 구조로 소자의 길이는 30-300 사이트로 하였으며, 이웃한 사이트간 거리는
$3{\acute{\AA}}$ 로 결정하였다. 유기물 내에 존재하는 트랩의 분포는 가우시안 분포로 가정하였고, 트랩의 분산도와 트랩 총량을 변화시켜 계산하였다. 이웃한 트랩간의 천이 확률을 Miller and Abrahams 식을 이용하여 계산하고, 트랩간의 천이시간을 랜덤 변수로 결정하였고, 이들을 통계적으로 처리하여 유기물 내에서의 전자 이동도를 계산하였다. 시뮬레이션 결과는 유기물의 트랩분포가 일정할 경우 전자 이동도는 전계가 증가함에 따라 일정하게 증가하다가 일정 전계에서 포화된 후 다시 감소한다. 초기의 전계 영역에서는 전계의 증가에 따라 유기물 내 트랩간의 천이 확률이 증가하기 때문에 전자 이동도가 증가한다. 하지만, 일정 전계 이상에서는 전자의 이동 속도가 거의 변하지 않기 때문에, 전계의 증가에 따라 전자 이동도는 오히려 줄어들게 된다. 트랩의 분산도가 증가함에 따라 낮은 전계 영역에서는 전자 이동도가 작고, 전계가 증가할수록 분산도와 상관없이 전자 이동도가 비슷한 값으로 수렴한다. 트랩의 분산도가 30 meV로 작을 경우에 일정 온도 이상에서의 전자 이동도는 포화되어 일정한 값으로 유지한다. 유기물 내에 존재하는 트랩 분포에 따라 온도의 변화가 전자 이동도에 미치는 영향이 달라짐을 알 수 있다. 이러한 결과는 유기물질을 기반으로 한 전자소자에서의 전하 전송 메카니즘을 이해하고 소자의 제작 및 특성 향상에 도움이 된다고 생각한다. -
나노복합체를 이용하여 제작한 유기 쌍안정성 형태의 비휘발성 메모리 소자는 간단한 공정과 플렉서블 기기에 응용 가능성 때문에 많은 연구가 진행되고 있다. 나노복합체를 사용하여 제작한 비휘발성 메모리 소자의 전기적 성질에 대한 연구는 많이 진행되었으나, Scale-dwon 효과를 고려한 연구가 미흡하다. 본 연구에서는 polyestrene (PS) 박막 층 내부에 분산된 InP 나노입자를 사용한 메모리 소자를 제작하여 전기적 특성을 관찰하였다. InP 나노입자를 PS와 용매인 octadecene에 용해한 후에 초음파 교반기를 사용하여 두 물질을 고르게 섞었다. 고도핑된 Si 기판위에 100 nm 두께의
$SiO_2$ 위에 InP 나노입자와 PS가 섞인 용액을 스핀 코팅한 후, 열을 가해 용매를 제거하여 InP 나노입자가 PS에 분산되어 있는 나노복합체 박막을 형성하였다. 형성된 나노복합체 박막 위에 상부 전극으로 Al을 열증착하여 비휘발성 메모리 소자를 제작하였다. 제작된 메모리 소자는 Al 전극을 마스크를 사용하여 플라즈마 에싱 장비로 에칭을 하였다. 에칭된 소자와 에칭하지 않은 소자의 정전용량-전압 특성을 측정하였다. Flat band 이동은 에칭된 소자가 0.3 V이며 에칭하지 않은 소자는 1.3 V이다. 실험 결과는 에칭을 통해 전기장에 영향 받는 영역이 작아지므로 flat band 이동이 줄어들었다. 에칭방법을 통한 scale-down 효과로 정전용량이 줄어드는 것을 알 수 있었다. -
We fabricated a new type of hybrid film using molecular layer deposition (MLD). The MLD is a gas phase process analogous to atomic layer deposition (ALD) and also relies on a saturated surface reaction sequentially which results in the formation of a monolayer in each sequence. In the MLD process, polydiacetylene (PDA) layers were grown by repeated sequential surface reactions of titanium tetrachloride and 2,4-hexadiyne-1,6-diol with ultraviolet (UV) polymerization under a substrate temperature of
$100^{\circ}C$ . Ellipsometry analysis showed a self-limiting surface reaction process and linear growth of the hybrid films. Polymerization of the hybrid films was confirmed by infrared (IR) spectroscopy and UV-Vis spectroscopy. Composition of the films was confirmed by IR spectroscopy and X-ray photoelectron (XP) spectroscopy. The titanium oxide cross-linked polydiacetylene (TiOPDA) hybrid films exhibited good thermal and mechanical stabilities. -
We fabricated the Polyamide 4,6 (PA46) thin film using Adipoyl chloride and 1,4-butadiamine. PA46 film was grown at
$70^{\circ}C$ by Molecular Layer Deposition (MLD) method. MLD is sequential and self-terminating fabrication method for organic thin film. The growth rate of PA46 is$3.5{\acute{\AA}}$ cycle. The thickness of PA46 film was measured by Ellipsometer. Surface morphology of this film was investigated by Atomic Force Microscopy (AFM) and roughness is directly proportional to number of growing cycles. -
Kim, So-A-Ram;Kim, Min-Su;Cho, Min-Young;Nam, Gi-Woong;Lee, Dong-Yul;Kim, Jin-Soo;Kim, Jong-Su;Son, Jeong-Sik;Leem, Jae-Young 420
ZnO seed layer were deposited on quartz substrate by sol-gel method and prism-like Al-doped ZnO nanorods (AZO nanorods) were grown on ZnO seed layer by hydrothermal method with various Al concentration ranging from 0 to 2.0 at.%. Structural and optical properties of the AZO nanorods were investigated by field-emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), photoluminescence (PL). The diameter of the AZO nanorods was smaller than undoped ZnO nanorods and its diameter of the AZO nanorods decreased with increasing Al concentration. In XRD spectrum, it was observed that stress and full width at half maximum (FWHM) of the AZO nanorods decreased and the 'c' lattice constant increased as the Al concentration increased. From undoped ZnO nanorods, it was observed that the green-red emission peak of deep-level emission (DLE) in PL spectra. However, after Al doping, not only a broad green emission peak but also a blue emission peak of DLE were observed. -
Graphene has drawn enormous attention owing to its outstanding properties, such as high charge mobility, excellent transparence and mechanical property. Synthesis of Graphene by chemical vapor deposition (CVD) is an attractive way to produce large-scale Graphene on various substrates. However the fatal limitation of CVD process is high temperature requirement(around
$1,000^{\circ}C$ ), at which many substrates such as Al substrate cannot endure. Therefore, we propose plasma enhanced CVD (PECVD) and decrease the temperature to$400^{\circ}C$ . Fig. 1 shows the typical structure of RF-PECVD instrument. The quality of Graphene is affected by several variables. Such as plasma power, distance between substrate and electronic coil, flow rate of source gas and growth time. In this study, we investigate the influence of these factors on Graphene synthesis in vacuum condition. And the results were checked by Raman spectra and conductivity measurement. -
In2O3 계열의 산화물 전도성 투명 전극은 최근 디스플레이, 태양전지 등 전자산업에서 중요한 소재로 전 세계적으로 많이 연구되고 있다. 또한 3.6 eV의 wide bandgap을 가짐으로서 센서 등의 반도체 소자로의 응용가능성이 매우 큰 것으로 알려져 있다. 기존의 연구는 In2O3에 SnO2, Al2O3, Ga2O3 등을 혼합하여 화합물 형태의 투명전극 소재를 개발하고, 전도성 및 투과율 등을 개선시키는데 초점이 맞춰져왔다. 최근에 들어서 나노스케일 물질의 제조 기술 개발로 낮은 차원의 In2O3 나노구조는 센서나 발광다이오드와 같은 전자기기의 제작을 위해서 연구 되었는데, 본 논문에서는 Carbon을 doping하여 p-형 반도체로의 응용 가능성을 고찰하였다. 본 논문에서는 In2O3:C 박막을 radio-frequency magnetron sputtering 방법으로 sapphire(0001) 기판위에 증착하였다. 통상적으로 ceramic target에 carbon을 혼합하여 sintering하여 제작한 ceramic target 대신, In2O3 powder와 CNT를 혼합하여 powder형태의 sputter target을 사용하였다. 박막의 증착 초기에는 매우 평평한 층구조로 성장하였고, 박막의 두께가 증가함에 따라 섬조직이 생성되기 시작하여 표면거칠기가 매우 크게 증가하였다. 박막의 두께가 500 nm 이상이 되면 나노 피라미드가 생성되는데, 이는 In2O3의 결정구조에 기인한 것으로 판단된다.
-
ZnO, SnO2, In2O3:Sn와 같은 투명하고 전도성이 있는 박막은 panel display, 전자발광소자, 박막트랜지스터, 태양전지 등의 전극물질로서 폭넓게 사용되고 있다. 이러한 전극 물질을 이용하는 광전자소자의 성능을 개선하기 위해서는 가시광선영역에서 광투과율이 높고, 전기전도도가 좋아야 한다. 최근 ZnO, SnO2, In2O3, MgO, Ga2O3 등으로 이루어진 3원 또는 다원화합물로 제조된 산화물 박막이 새로운 투명한 전도성 박막으로 많은 관심을 끌고 있다. 본 연구에서는 Ga2O3 박막을 radio-frequency magnetron sputtering 방법을 이용하여 증착하였다. 기존에 사용되던 ceramic target을 개선하여 powder target을 사용하였다. 반응가스는 순수하게 Ar 가스만 사용하였고, Sapphire(0001) 기판을 사용하였다. 초기에는 flat한 layered 구조로 증착이 이루어졌으나, 증착시간이 20분이 지나면서부터는 밤송이 모양을 가지는 나노구조체가 생성되기 시작하였고, 이후 나노 밤송이의 밀도가 점차 증가하였다. Ga2O3 나노 밤송이의 특성에 대하여 발표할 예정이다.
-
나노 구조의 반도성 산화물은 독특한 구조적 특성으로 전기적, 광학적 특성을 향상 시킬 수 있다. 현재 연구되고 있는 나노 구조의 반도성 산화물 중 Zinc oxide (ZnO)는 3.37 eV의 bandgap를 갖는 wurtzite 구조체로서 상온에서 60 meV의 exciton binding energy 등 우수한 특성으로 인하여 최근 많이 연구되고 있다. 특히 단파장 light emitting diode 재료로써 기대를 모으고 있는데, 이를 실현하기 위한 가장 큰 문제점이 바로 안정적인 p-type ZnO 박막의 제조이다. 지금까지 알려진 바에 따르면 P를 doping한 후 급속 열처리한 경우 p-type의 전기전도도를 갖는 ZnO 박막을 제조할 수 있다고 보고되어 있으나 vacancy 농도에 따른 불안정적인 요소가 해결해야 할 문제로 남아 있다. 최근 Ag를 doping 시킨 ZnO 박막의 p-type 반도체로서 가능성에 대한 보고가 제기되고 있다. 합성 방법과 조건에 따라서 수 nm에서 수십 또는 수백 nm 크기의 구형 입자나, 리본, 와이어, 로드 그리고 꽃모영 등 다양한 형상을 갖는 나노 구조체를 합성 할 수 있다. 본 연구에서는 ZnO:Ag 박막을 radio-frequency sputtering 방법으로 증착하여 그 물성을 분석하였다. 보통의 sputtering 증착법에서 사용되는 sintering된 타겟과 달리 본 실험은 분말 타겟을 이용하여 박막을 증착하였다. 타겟은 95 wt% ZnO와 5 wt% Ag를 서로 혼합하여 제조하였다. 본 발표에서는 박막의 증착압력 및 증착 온도의 변화에 따른 ZnO:Ag 박막의 구조적, 광학적 특성에 대하여 논의 할 것이다.
-
유기물/무기물 나노 복합체를 사용하여 제작한 메모리 소자는 간단한 공정과 3차원의 고집적, 그리고 플렉서블한 특성을 가지고 있어 차세대 전자 소자 제작에 매우 유용한 소재이기 때문에 많은 연구가 진행되고 있다. 다양한 유기물 메모리 소자중에서 유기 쌍안정성 소자(organic bistable devices, OBD)의 전하 수송 메커니즘은 많이 연구가 되었지만, 트랩의 밀도와 분포에 따른 전기적 특성에 대한 연구는 미흡하다. 본 연구에서는 두 전극 사이에 나노 입자가 분산되어 있는 유기물 박막에 존재하는 트랩의 밀도와 분포로 인해 같은 인가전압에서도 다른 전도율이 나타나는 현상을 분석하였다. 하부 전극으로 Indium-tin-oxide가 코팅된 유리기판과 상부 전극인 Al 사이에 나노입자가 분산된 폴리스티렌 박막을 기억 매체로 사용하는 OBD를 제작하였다. OBD의 전기적 특성을 관찰하기 위하여 space-charge-limited-current (SCLS) 모델을 사용한 이론적인 연구를 실험 결과와 비교 분석하였다. 계산된 전류-전압 결과는 트랩 깊이에 따른 가우스 분포로 이루어진 개선된 SCLS 모델을 사용하였을 때 측정된 전류-전압 결과와 잘 일치 하였다. 낮은 인가전압에서 Ohmic 전류가 생기는 것을 개선된 SCLS 모델과 병렬저항을 사용하여 설명하였다. 이 연구 결과는 유기물/무기물 나노 복합체를 사용하여 제작한 OBD의 트랩의 밀도와 분포에 따른 전기적 특성을 이해하는데 도움을 준다.
-
Lee, Seung-Hyeon;Min, Seong-Sik;Son, Chang-Won;Han, Im-Sik;Lee, Sang-Jo;Smith, Ryan P.;Bae, In-Ho;Kim, Jong-Su;Lee, Sang-Jun;No, Sam-Gyu;Kim, Jin-Su;Choe, Hyeon-Gwang;Im, Jae-Yeong 426
본 연구에서는 GaAs p-i-n 접합 구조에 InAs 양자점을 삽입한 양자점 태양전지(Quantum Dot Solar Cell; QDSC)의 내부 전기장(internal electric field)을 조사하기 위하여 Photoreflectance (PR) 방법을 이용하였다. QDSC 구조는 GaAs p-i-n 구조의 공핍층 내에 8주기의 InAs 양자점 층을 삽입하였으며 각 양자점 층은 40 nm 두께의 i-GaAs로 분리하였다. InAs/GaAs QDSC는 분자선박막 성장장치(molecular beam epitaxy; MBE)를 이용하여 성장하였다. 이 때 양자점의 형성은 InAs 2.0 ML(monolayer)를 기판온도$470^{\circ}C$ 에서 증착하였다. QDSC 구조에서 여기광원의 세기에 따른 전기장의 변화를 조사하였다. 아울러 양자점 층 사이의 i-GaAs 층 내에 6.0 nm의 AlGaAs 퍼텐셜 장벽(potential barrier)을 삽입하여 퍼텐셜 장벽 유무에 따른 전기장 변화를 조사하였다. PR 측정에서 여기광원으로는 633 nm의 He-Ne 레이저를 이용하였으며 여기광의 세기는$2mW/cm^2$ 에서$90mW/cm^2$ 까지 변화를 주어 여기광세기 의존성실험을 수행하였다. 여기광의 세기가 증가할수록 photovoltaic effect에 의한 내부 전기장의 변화를 관측할 수 있었다. PR 결과로부터 p-i-n 구조의 p-i 영역과 i-n 접합 계면의 junction field를 검출하였다. p-i-n의 i-영역에 양자점을 삽입한 경우 PR 신호에서 Franz-Keldysh oscillation (FKO)의 주파수가 p-i-n 구조와 비교하여 변조됨을 관측하였다. 이러한 FKO 주파수성분은 fast Fourier transform (FFT)을 이용하여 검출하였다. FKO의 주파수 성분들은 고전기장하에서 electron-heavyhole (e-hh)과 electron-lighthole (e-lh) 전이에 의해 나타나는 성분으로 확인되었다. -
Van, Ngoc Huynh;Lee, Jae-Hyun;Sohn, Jung-Inn;Cha, Seung-Nam;Hwang, Dong-Mok;Kim, Jong-Min;Kang, Dae-Joon 427
Ferroelectric-gate field effect transistor based memory using a nanowire as a conducting channel offers exceptional advantages over conventional memory devices, like small cell size, low-voltage operation, low power consumption, fast programming/erase speed and non-volatility. We successfully fabricated ferroelectric nonvolatile memory devices using both n-type and p-type Si nanowires coated with organic ferroelectric poly(vinylidene fluoride-trifluoroethylene) [P(VDF-TrFE)] via a low temperature fabrication process. The devices performance was carefully characterized in terms of their electrical transport, retention time and endurance test. Our p-type Si NW ferroelectric memory devices exhibit excellent memory characteristics with a large modulation in channel conductance between ON and OFF states exceeding$10^5$ ; long retention time of over$5{\times}10^4$ sec and high endurance of over 105 programming cycles while maintaining ON/OFF ratio higher$10^3$ . This result offers a viable way to fabricate a high performance high-density nonvolatile memory device using a low temperature fabrication processing technique, which makes it suitable for flexible electronics. -
ZnO 나노 구조는 화학적으로 안정하고 큰 엑시톤 에너지를 가지는 성질 때문에 청색 영역에서 작동하는 광전소자의 제작에 대단히 유용하다. ZnO 나노 구조중에서 ZnO 나노 입자는 UV 광탐지기 소자가 작동하는 영역에서 광반응이 매우 민감하여 연구가 많이 진행되고 있다[1]. 그래핀은 높은 전도도, 투명도 및 화학적, 열적 안정성이 뛰어난 독특한 물리적 특성을 가지고 있기 때문에 차세대 전자소자와 광전소자의 우수한 소재로 각광 받고 있다[2,3]. 본 연구에서는 UV 광탐지기에서 뛰어난 특성을 보이는 ZnO 양자점을 포함된 poly-N-vinylcarbazole (PVK) 층에 전기적 특성이 뛰어난 그래핀 층을 삽입하여 UV 광탐지기의 광전류를 향상 시키는 연구를 하였다. PVK 표면에 ZnO 양자점이 붙어서 형성되어 있는 모습과 그래핀 층에 PVK와 ZnO QD가 붙어있는 것을 투사 전자 현미경을 통하여 관찰 하였다. 전류-접압 측정을 하여 암전류와 광전류의 차이가 많이 나는 것을 알 수 있었다. 그래핀 층을 삽입한 광탐지기 소자에서 광전류가 향상되는 것을 알 수 있었다.
-
Han, Im-Sik;Son, Chang-Won;Lee, Seung-Hyeon;Ha, Jae-Du;Lee, Sang-Jo;Smith, Ryan P.;Kim, Jong-Su;Lee, Sang-Jun;No, Sam-Gyu;Park, Dong-U;Kim, Jin-Su;Choe, Hyeon-Gwang;Im, Jae-Yeong 429
본 연구에서는 분자선 박막 성장법(MBE)으로 성장된 GaAs single junction solar cell의 광학적 특성 변화를 photoreflectance (PR)을 이용하여 연구하였다. 본 연구에 사용된 태양전지 구조는 n+-GaAs (100)기판 위에 n+-GaAs buffer를 200 nm 성장 후 그 위에 i-GaAs 250 nm와 p+-GaAs 200 nm 성장 하였다. 상온에서 PR 측정 결과, 변조빔 세기가 증가할수록 Franz-Keldysh oscillation (FKO)의 주파수가 증가하는 현상이 관측되었다. 이는 변조빔의 세기가 강해질수록 광케리어수의 증가로 인한 스크리닝 효과에 기인한 것으로 사료된다. 아울러 Fast Fourier transform (FFT) 결과, 변조빔의 세기가 약할 때는 세 개의 주된 피크가 나타났으며, 이러한 현상은 GaAs에서 가전자대의 heavy hole (HH)과 light hole (LH)의 전이로 인해 나타나는 FKO 신호가 중첩되어 HH과 LH 피크가 HH과 HH-,LH과 LH-로 나뉘어진 것으로 사료된다. 여기광의 세기가$1.40mW/cm^2$ 이상일 때는 주된 세 개 피크 이외에 부가적인 피크가 상대적으로 고 주파수 영역에서 관측되었다. 이러한 고주파수 영역에서의 나타나는 FKO 주파수는 시료의 내부전기장이 여기광의 세기가 증가할수록 감소하는 결과로 사료된다. -
Modulated pulsed power (MPP) 스퍼터링은 펄스 전압 shape, amplitude, duration의 modulation을 통해 증착율 손실을 극복하는 고출력 펄스 마그네트론 스퍼터링의 한 종류이다. Micro second 범위에서 on/off 시간을 다중 세트 형태로 자유롭게 프로그램 할 수 있어서 아킹 없이 고전류 영역의 마그네트론 동작을 할 수 있으므로, 고주파 유도 결합 플라즈마원이나 마이크로웨이브 투입 등의 부가적인 플라즈마 없이도 스퍼터링 재료의 이온화 정도를 획기적으로 높일 수 있는 장점을 가지고 있다. 본 연구에서는
$2{\times}1{\times}0.2$ 의 sputtering system에서 기판 캐리어를 이용해서$400{\times}400mm$ 기판을$272{\times}500mm$ 크기의 AZO target (Al 2 wt%)이 설치되어 있는 moving magnet cathode (MMC)을 이용하여 MPP로 증착했다. 두 종류의 micro pulse set을 하나의 macro pulse에 사용함으로서 weakly ionized plasma와 strongly ionized plasma를 만들 수 있다. 다양한 micro pulse set을 이용하여 평균 전력 2 kW에서 peak 전력을 4 kW에서 45 kW까지 상승 시킬 수 있으며, 이 때 타겟-기판 거리 80 mm에서 이온전류밀도는$5mA/cm^2$ 에서$20mA/cm^2$ 까지 상승했다. MPP는 같은 평균 전력에서 repetition frequency가 증가할 때, 증착 속도가 증가했으며, 같은 repetition frequency에서 macro pulse length가 증가할 때도, 증착 속도가 증가했다. 최적화된 marco, micro pulse set에서 증착 속도는 평균 전력 2 kW에서 110 nm/min이었고, 700 nm의 박막에서 비저항은$1-2{\times}10^{-3}ohm{\cdot}cm$ 였다. 표면거칠기 Rrms는 약 3 nm였고, 400-700 nm 영역의 평균 투과도는 72-76%였다. -
In the era of 20 nm scaled semiconductor volume manufacturing, Microelectronics Manufacturing Engineering Education is presented in this paper. The purpose of microelectronic engineering education is to educate engineers to work in the semiconductor industry; it is therefore should be considered even before than technology development. Three Microelectronics Manufacturing Engineering related courses are introduced, and how undergraduate students acquired hands-on experience on Microelectronics fabrication and manufacturing. Conventionally employed wire bonding was recognized as not only an additional parasitic source in high-frequency mobile applications due to the increased inductance caused from the wiring loop, but also a huddle for minimizing IC packaging footprint. To alleviate the concerns, chip bumping technologies such as flip chip bumping and pillar bumping have been suggested as promising chip assembly methods to provide high-density interconnects and lower signal propagation delay [1,2]. Aluminum as metal interconnecting material over the decades in integrated circuits (ICs) manufacturing has been rapidly replaced with copper in majority IC products. A single copper metal layer with various test patterns of lines and vias and
$400{\mu}m$ by$400{\mu}m$ interconnected pads are formed. Mask M1 allows metal interconnection patterns on 4" wafers with AZ1512 positive tone photoresist, and Cu/TiN/Ti layers are wet etched in two steps. We employed WPR, a thick patternable negative photoresist, manufactured by JSR Corp., which is specifically developed as dielectric material for multi- chip packaging (MCP) and package-on-package (PoP). Spin-coating at 1,000 rpm, i-line UV exposure, and 1 hour curing at$110^{\circ}C$ allows about$25{\mu}m$ thick passivation layer before performing wafer level soldering. Conventional Si3N4 passivation between Cu and WPR layer using plasma CVD can be an optional. To practice the board level flip chip assembly, individual students draw their own fan-outs of 40 rectangle pads using Eagle CAD, a free PCB artwork EDA. Individuals then transfer the test circuitry on a blank CCFL board followed by Cu etching and solder mask processes. Negative dry film resist (DFR), Accimage$^{(R)}$ , manufactured by Kolon Industries, Inc., was used for solder resist for ball grid array (BGA). We demonstrated how Microelectronics Manufacturing Engineering education has been performed by presenting brief intermediate by-product from undergraduate and graduate students. Microelectronics Manufacturing Engineering, once again, is to educating engineers to actively work in the area of semiconductor manufacturing. Through one semester senior level hands-on laboratory course, participating students will have clearer understanding on microelectronics manufacturing and realized the importance of manufacturing yield in practice. -
As the requirement in patterning geometry continuously shrinks down, the termination of etch process at the exact time became crucial for the success in nano patterning technology. By virtue of real-time optical emission spectroscopy (OES), etch end point detection (EPD) technique continuously develops; however, it also faced with difficulty in low open ratio etching, typically in self aligned contact (SAC) and one cylinder contact (OCS), because of very small amount of optical emission from by-product gas species in the bulk plasma glow discharge. In developing etching process, one may observe that coupon test is being performed. It consumes costs and time for preparing the patterned sample wafers every test in priority, so the coupon wafer test instead of the whole patterned wafer is beneficial for testing and developing etch process condition. We also can observe that etch open area is varied with the number of coupons on a dummy wafer. However, this can be a misleading in OES study. If the coupon wafer test are monitored using OES, we can conjecture the endpoint by experienced method, but considering by data, the materials for residual area by being etched open area are needed to consider. In this research, we compare and analysis the OES data for coupon wafer test results for monitoring about the conditions that the areas except the patterns on the coupon wafers for real-time process monitoring. In this research, we compared two cases, first one is etching the coupon wafers attached on the carrier wafer that is covered by the photoresist, and other case is etching the coupon wafers on the chuck. For comparing the emission intensity, we chose the four chemical species (SiF2, N2, CO, CN), and for comparing the etched profile, measured by scanning electron microscope (SEM). In addition, we adopted the Dynamic Time Warping (DTW) algorithm for analyzing the chose OES data patterns, and analysis the covariance and coefficient for statistical method. After the result, coupon wafers are over-etched for without carrier wafer groups, while with carrier wafer groups are under-etched. And the CN emission intensity has significant difference compare with OES raw data. Based on these results, it necessary to reasonable analysis of the OES data to adopt the pre-data processing and algorithms, and the result will influence the reliability for relation of coupon wafer test and whole wafer test.
-
Plasma enhanced chemical vapor deposition (PECVD) silicon dioxide thin films have many applications in semiconductor manufacturing such as inter-level dielectric and gate dielectric metal oxide semiconductor field effect transistors (MOSFETs). Fundamental chemical reaction for the formation of SiO2 includes SiH4 and O2, but mixture of SiH4 and N2O is preferable because of lower hydrogen concentration in the deposited film [1]. It is also known that binding energy of N-N is higher than that of N-O, so the particle generation by molecular reaction can be reduced by reducing reactive nitrogen during the deposition process. However, nitrous oxide (N2O) gives rise to nitric oxide (NO) on reaction with oxygen atoms, which in turn reacts with ozone. NO became a greenhouse gas which is naturally occurred regulating of stratospheric ozone. In fact, it takes global warming effect about 300 times higher than carbon dioxide (CO2). Industries regard that N2O is inevitable for their device fabrication; however, it is worthwhile to develop a marginable nitrous oxide free process for university lab classes considering educational and environmental purpose. In this paper, we developed environmental friendly and material cost efficient SiO2 deposition process by substituting N2O with O2 targeting university hands-on laboratory course. Experiment was performed by two level statistical design of experiment (DOE) with three process parameters including RF power, susceptor temperature, and oxygen gas flow. Responses of interests to optimize the process were deposition rate, film uniformity, surface roughness, and electrical dielectric property. We observed some power like particle formation on wafer in some experiment, and we postulate that the thermal and electrical energy to dissociate gas molecule was relatively lower than other runs. However, we were able to find a marginable process region with less than 3% uniformity requirement in our process optimization goal. Surface roughness measured by atomic force microscopy (AFM) presented some evidence of the agglomeration of silane related particles, and the result was still satisfactory for the purpose of this research. This newly developed SiO2 deposition process is currently under verification with repeated experimental run on 4 inches wafer, and it will be adopted to Semiconductor Material and Process course offered in the Department of Electronic Engineering at Myongji University from spring semester in 2012.
-
Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) ProcessDouble patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].
-
은(Ag) 또는 금(Au) 입자를 촉매로 이용하여 습식식각을 통해 선택적으로 짧은 시간동안 단결정 실리콘 웨이퍼의 표면을 텍스쳐링하여 반사방지막 특성을 효과적으로 얻을 수 있다. 일반적으로 금속입자는 주로 금속 이온이 포함된 용액이나, 전기증착법을 통해서 실리콘 웨이퍼 표면에 형성시켰지만, 금속입자의 크기와 분포를 조절하기 어려웠다. 하지만, 최근 진공장비를 이용하여 열증발증착법(thermal evaporation)과 급속열처리법(rapid thermal annealing)을 통해서 금속입자를 대면적으로 크기와 분포를 균일하게 조절할 수 있다. 이러한 현상은 열적 비젖음(thermal dewetting) 현상에 의해 실리콘 표면위에 증착된 금속 박막으로부터 나노입자로 형성할 수 있다. 본 연구에서는 실리콘 (100)기판위에 다양한 크기의 은 또는 금 나노입자를 형성시켜 식각용액에 짧은 시간동안 담그어 식각하여, 텍스쳐링 효과와 반사방지(antireflection) 특성을 분석하였다. 실험을 위해 각각 은 또는 금 박막을 열증발증착법을 이용하여 ~3-8 nm의 두께로 형성시켰으며, 급속가열장치를 이용하여
$500^{\circ}C$ 에서 5분 동안 열처리하였다. 그리고 탈이온수(de-ionized water)에 불화수소와 과산화수소가 혼합된 식각용액에 1-5분 동안 습식식각을 하였다. 각각의 텍스쳐링 된 샘플의 식각의 상태와 깊이를 관찰하기 위해 field emission scanning electron microscopy (FE-SEM)을 이용하여 측정하였으며, UV-vis-NIR spectrophotometer를 이용하여 300 nm에서 1,200 nm의 반사특성을 분석하였다. 또한 RCWA (rigorous coupled wave analysis) 시뮬레이션을 이용하여 텍스쳐링 된 기하학적구조에 대하여 반사방지막 특성을 이론적으로 분석하였다. -
ZnO 나노로드는 큰 밴드갭 에너지(~3.37 eV)와 60 meV의 높은 엑시톤 결합 에너지(exciton binding energy)를 갖고 있으며, 우수한 전기적, 광학적 특성을 지닌 1차원 나노구조의 금속산화물로서 태양전지 및 광전소자 널리 응용되고 있다. 이러한 ZnO 나노로드를 성장하는 방법 중에 전기화학증착법(electrochemical deposition method)은 전도성 물질위에 증착된 시드층(seed layer)을 성장용액에 담그어 전압을 인가하여 만들기 때문에 기존의 수열합성법(hydrothermal method), 졸-겔 법(sol-gel method)보다 비교적 간단한 공정과정으로 저온에서 빠르게 물질을 성장시킬 수 있는 장점이 있다. 한편, 디스플레이 산업에서 ITO (indium tin oxide)는 투명 전도성 산화물(transparent conductive oxide)로써 가시광 파장영역에서 높은 투과율과 전도성을 가지며, 액정디스플레이, LED (Light emitting diode), 태양전지 등의 다양한 소자에 투명전극 재료로 쓰이고 있다. 또한 최근 ITO를 유연한 PET (polyethylene terephthalate) 기판 위에 증착은 얇고, 가볍고, 휘어지기 쉬워 휴대하기 편하기 때문에 차세대 광전자소자 응용에 가능성이 크다. 본 연구에서는 ZnO 나노로드를 ITO/PET 기판위에 전기화학증착법으로 성장하여, 구조적 및 광학적 특성을 분석하였다. 시드층을 형성하기 위해 RF 마그네트론 스퍼터를 이용하여 ~20 nm 두께의 ZnO 박막을 증착시킨 후, zinc nitrate와 hexamethylenetetramine이 포함된 수용액에 시료를 담그어 전압을 인가하였다. 용액의 농도와 인가전압을 조절하여 여러 가지 성장조건에 대한 ZnO 나노로드의 구조적, 광학적 특성을 비교하였다. 성장된 시료의 형태와 결정성을 조사하기 위해, field-emission scanning electron microscope (FE-SEM), X-ray diffraction (XRD)을 사용하였으며, UV-vis-NIS spectrophotometer, photoluminescence (PL) 측정장비를 사용하여 광학적 특성을 분석하였다.
-
The optical characteristics of transparent electrode with various kind of materials and thickness to be used for organic photovoltaic cells were studied by simulation methodology. It demonstrated that the transmittance varies with the kinds of materials, the number of layers and change in the thickness of each layer. In the case of the structure composed of dielectric/Ag/dielectric, optimized transmittance was higher than 90% at 550 nm and the thickness of the Ag layer was ~10nm. Top and bottom dielectric materials can be changed with different refractive index and extinction coefficient. The relation between the optical transmittance of device and transparent electrode with different refractive indices was discussed as well. By processing numerical simulations, an optimized optical transmittance can be obtained by tunning the thickness and materials of transparent electrode.
-
Son, Chang-Won;Lee, Seung-Hyeon;Han, Im-Sik;Min, Seong-Sik;Ha, Jae-Du;Lee, Sang-Jo;Smith, Ryan P.;Kim, Jong-Su;Lee, Sang-Jun;No, Sam-Gyu;Kim, Jin-Su;Choe, Hyeon-Gwang;Im, Jae-Yeong 441
Franz Keldysh Oscillation (FKO)은 p-n 접합 구조의 Photoreflectance (PR) spectra에서 표면 및 계면의 전기장(electric field) 특성을 반영한다. InAs/GaAs 양자점 태양전지(Quantum Dot Solar Cell, QDSC) 구조에서 InAs 양자점 층 전후에 AlGaAs 층을 삽입하여 퍼텐셜 장벽(potential barrier) 두께에 따른 PR spectra 및 GaAs-matrix에서 FKO 주파수 특성을 비교 분석하였다. InAs/GaAs 양자점 태양전지는 p-i-n 구조의 i-GaAs에 2.0 monolayer (ML), 8주기의 InAs 양자점 층을 삽입하여 Molecular Beam Epitaxy (MBE) 방법으로 성장하였다. 각 양자점 층 전후에 두께가 각각 0.0, 1.6, 2.8, 6.0 nm인 AlGaAs 층을 삽입하여 퍼텐셜 장벽 두께에 따른 FKO 주파수 변화를 관측하였다. 또한 태양전지 구조의 전기장 분포를 좀 더 용이하게 관측하기 위해 여기 광의 세기(power intensity)를 충분히 낮추어 Photovoltaic effect에 의한 내부 전기장의 변화를 최소화하여 비교 분석하였다. InAs/GaAs 양자점 태양전지 구조에서 AlGaAs 장벽층이 없는 경우, PR spectra의 Fast Fourier Transform 결과에 반영되는 FKO 주파수 특성은 p-i-n 구조 계면에서 공핍층(depletion region)의 space charge field보다 양자점 층의 내부 전기장에 의한 FKO 주파수가 더 큰 진폭(amplitude)을 보였다. 반면에, AlGaAs 장벽층이 삽입되면 두께가 커짐에 따라 p-i-n 구조 계면의 space charge field에 의해 더 큰 진폭의 FKO 주파수가 관측되었다. 이는 AlGaAs 장벽층이 삽입됨으로써 양자점 층 내 양자 상태 수 및 여기광에 의한 캐리어의 수와 관련이 있음을 확인하였으며, 결과적으로 GaAs-matrix에서 p-i-n 구조 계면의 space charge field에 영향을 미치게 됨을 알 수 있다. 이러한 PR 특성 결과들을 InAs/GaAs 양자점 태양전지의 설계 및 제조에 반영함으로써 양자효율 증대에 기여할 것으로 기대된다. -
PEDOT[Poly(3,4-Ethylenedioxythiophene)]박막의 제작은 산화촉매제를 이용한 기상중합(Vapor Phase Polymerization)방법을 통해 최근 활발히 연구되어 지고 있다. 기상중합된 PEDOT박막의 특성은 박막의 중합의 정도와 성장 형상에 따라 그 특성이 크게 좌우된다. PEODT박막의 효율적인 중합에 있어 산화촉매제의 균일한 도포는 매우 중요하다. PEDOT의 효율적인 중합과 균일도포를 위해 산화촉매제에 DUDO와 PEG-PPG-PEG를 첨가한 혼합용액을 제작 VPP방법을 통해 PEDOT박막의 제작을 시도하였다. 그 결과 spin-coating 시 산화촉매 혼합용액의 균일한 도포가 관찰 되었으며 산화촉매제만 사용하여 제작된 박막에 비해 전도도와 막질이 향상된 PEDOT박막이 제작되었다. 이러한 결과는 산화촉매용액에 첨가된 PEG-PPG-PEG와 DUDO의 영향으로 PEG-PPG-PEG는 oxdiant용액의 균일 도포를 도왔으며 Inhibitor로 작용하는 DUDO는 PEDOT성장에 있어 불균일 결정성장을 억제하여 조밀한 PEDOT 박막 성장을 도운 것으로 생각된다. PEDOT 박막의 특성평가에는 Field Emission-Scanning Electron Microscopy, 4-Pointprobe, Optical microscopy 등이 사용되었다. 이러한 고전도도의 PEDOT박막을 OTFT의 전극소재로 사용한다면 OTFT소자의 성능 향상에있어 크게 기여 할 것으로 기대된다.
-
No, Yeong-Su;Yang, Jeong-Do;Park, Dong-Hui;Wi, Chang-Hwan;Jo, Se-Hui;Kim, Tae-Hwan;Choe, Won-Guk 443
We fabricated a-IGZO TFT with AZO/Ag/AZO transparent multilayer source/drain contacts by rf magnetron sputtering. Enhanced electrical device performance of a-IGZO TFT with AZO/Ag/AZO multilayer S/D electrodes (W/L = = 400/50 mm) was achieved with a subs-threshold swing of 3.78 V/dec, a minimum off-current of 10-12 A, a threshold voltage of 1.80 V, a field effect mobility of 10.86 cm2/Vs, and an on/off ration of 9x109. It demonstrated the potential application of the AZO/Ag/AZO film as a promising S/D contact material for the fabrication of the high performance TFTs. -
본 연구에서는 흑연(graphite)과 티타늄(titanum; Ti) 타겟이 양쪽에 부착되어 있는 비대칭 마그네트론 스퍼터링 장치를 이용하여 Ti가 도핑되어진 탄소(a-C:Ti) 박막을 증착하였다. 흑연 타겟의 파워는 고정하고 Ti 타겟 파워를 증가시켜 탄소 박막내에 Ti의 함량을 증가시켰으며, Ti 금속 함량에 따른 탄소박막의 경도와 마찰계수, 표면의 거칠기, 접촉각 등의 물리적 특성과 비저항 등 전기적 특성을 고찰하였으며, XPS와 라만등을 이용하여 a-C:Ti 박막의 구조적 특성을 고찰하여 Ti 금속 함량에 따른 구조적 특성과 물리적 특성, 전기적 특성과의 관계를 규명하였다.
-
본 연구에서는 폴리머 애자의 자기 세정을 위한 소재로써 TiOx 박막을 실리콘, 유리, 그리고 폴리머 애자에 듀얼 RF 마그네트론 스퍼터링 장치를 이용하여 증착하였다. 타겟으로는 TiO2 타겟을 사용하였으며, 스퍼터링 가스로는 아르곤을 사용하였다. TiOx 박막은 스퍼터링의 조건 중 RF 파워의 크기에 따라 100 nm의 두께로 증착하였다. RF 파워에 따라 증착되어진 TiOx 박막은 접촉각, 표면 거칠기등을 분석하여 표면 특성을 고찰하였으며, UV-visible, 굴절율, 누설전류등을 측정하여 TiOx 박막의 광학적 특성과 전기적 특성을 평가하였으며, 구조 특성 분석을 통하여 이 특성들 사이의 관계를 규명하였다.
-
최근 반도체 칩의 트랜지스터 집적화 기술이 발달됨에 따라 dynamic random access memory(DRAM)의 memory cell 영역을 작게 만들어야 하는 문제가 제기되고 있다. 이러한 문제점을 해결하기 위해서 대체 기술이 끊임없이 연구되고 있는 가운데 하나의 트랜지스터와 하나의 캐패시터로 구성된 기존의 DRAM에서 캐패시터가 없이 하나의 트랜지스터만으로 이루어진 1T-DRAM 소자의 연구가 활발히 진행되고 있다. 이는 기존 DRAM의 구조에 비해 캐패시터가 필요하지 않아 복잡한 공정이 줄어들어 소자 제작이 용이하며, 더 높은 집적도를 구현할 수 있는 장점이 있다. 일반적인 planar 타입의 1T-DRAM의 경우 소스 및 드레인과 기판과의 접합면에서 누설 전류가 큰 특징을 가지며 소자의 집적화에 따른 단 채널 효과가 발생하게 되는데, 본 연구에서는 이러한 문제점을 해결하기 위해서 유효 채널 길이를 늘려 단 채널 효과에 의한 영향을 감소시키고, 소스 및 드레인과 기판과의 접합면을 줄여 누설 전류를 줄일 수 있는 recessed 채널 타입의 1T-DRAM을 제작하였다. 1T-DRAM의 메모리 구동방법에는 여러 가지가 있는데 본 연구에서는 impact ionization (II)을 이용한 방법과 gate induced drain leakage (GIDL)을 이용한 방법을 사용하여 1T-DRAM의 채널구조에 따라 어떠한 구동방법이 더 적합한지 평가하였고, 그 결과 recessed 채널 1T-DRAM의 동작은 II 에 의한 측정 방법이 더 적합한 것으로 보여졌다.
-
최근 생물전자공학에서 의료 산업 환경 등 많은 분야에 응용 가능한 바이오센서의 연구가 활발해지고 있다. 그 중 의료 분야에서, 수소이온 (
$H^+$ )의 농도 감지는 인간의 질병을 예측하는데 중요한 지표가 되며 이러한 수소이온 ($H^+$ ) 농도의 변화를 실시간으로 감지하기 위해 반도체를 기반으로 한 다양한 pH 센서가 제안되었다. Ion sensitive field effect transistor (ISFET), electrolyte-insulator-semiconductor (EIS)는 대표적인 반도체 pH센서로, 작은 소자 크기, 견고한 구조, 빠른 응답속도와 CMOS 공정과의 호환성이 좋다는 장점이 있다. 특히, EIS는 제조공정이 간단하고 감지막의 감지 특성 평가가 용이하기 때문에 지속적으로 연구되고 있는 pH 센서이다. 센서의 감지 특성을 평가함에 있어 감지막의 감지감도와 안정성이 우수해야 하며 이를 위해 high-k 물질이 감지막으로 사용되고 있다. 추가적으로 high-k 물질은 기존의$SiO_2$ 와$Si_3N_4$ 를 대신하여 높은 유전상수로 인한 고성능, 고감도 센서제작을 가능케 한다. 본 연구에서는, high-k 물질인$HfO_2$ ,$Ta_2O_5$ ,$ZrO_2$ ,$Al_2O_3$ 를 각각$SiO_2$ 완충막에 적층한 이단 감지막을 제작하였고, 그 특성을 기존의$SiO_2$ ,$Si_3N_4$ 감지막의 감지특성과 비교하였다. pH 감지 특성을 평가해 본 결과, 기존의$SiO_2$ ,$Si_3N_4$ 감지막과 비교했을 때 high-k 물질의 감지막을 갖는 EIS pH 센서에서 감지감도와 안정성 모두 우수하게 나타났다. 특히, high-k 물질 중$HfO_2$ 에서 감지감도가 다소 크게 평가되었으나, 화학적 용액에 대한 안정성은 떨어졌다. 반면에$Al_2O_3$ 과$Ta_2O_5$ 은 화학용액에 대한 안정성 측면에서 최적의 특성을 보임을 확인하였다. 결론적으로, high-k 물질에 대한 전반적인 평가를 통하여 높은 pH 감지감도뿐만 아니라 우수한 안정성의 EIS pH 센서를 제작 할 수 있었다. -
최근에 pH 감지막의 감지감도특성을 평가하기 위해 electrolyte insulator semiconductor (EIS) 구조가 유용하게 이용되고 있다. EIS는 간단한 구조와 pH 용액에 빠른 응답속도, 낮은 단가 및 집적이 용이하다는 장점이 있다. EIS 구조에서 화학적 용액에 대한 감지감도 평가 중 가장 중요하게 작용하는 부분이 감지막이다. 이 감지막은 감지 대상 물질과 물리적으로 직접 접촉되는 부분으로서 일반적으로 기계적/화학적 강도가 우수한 실리콘 산화막(
$SiO_2$ )이 많이 사용되어져 왔다. 최근에는 기존의$SiO_2$ 보다 성능이 향상된 감지막을 개발하기 위하여$Al_2O_3$ ,$HfO_2$ ,$ZrO_2$ , 그리고$Ta_2O_5$ 와 같은 고유전 상수(high-k)를 가지는 물질들을 EIS 센서의 감지막으로 이용하는 연구가 활발하게 진행되고 있다. High-k 물질 중$Al_2O_3$ 는 산성에서 알칼리성 영역까지의 넓은 화학안정성을 가지며 화학용액에 대해 내구성이 우수한 특성을 가진다.$HfO_2$ 은 내식성이 뛰어나며 출력특성이 높은 장점을 가진 물질이다. 본 실험에서는 특성이 다른 두 물질을 EIS의 감지막으로 각각 사용하여 두께에 따른 의존성을 평가하였다. 제작한 EIS 구조의 pH 센서를 바이오 센서에 적용하였을 때 신호대 잡음비(SNR: signal to noise)가 여전히 취약하다는 문제점이 있었다. 이런 문제점을 보완하기 위하여 감지막의 물리적 두께는 점점 얇아지게 되었고 그 결과 높은 출력 특성을 얻게 되었지만, 감지막이 얇아짐에 따라서 화학 용액 중의 이온 침투로 인한 감지막 자체의 손상 또한 심각한 문제로 대두되었다. 이로 인해 최적화 된 감지막의 두께를 얻을 필요가 있다. 결론적으로$Al_2O_3$ ,$HfO_2$ 두 감지막 모두 두께가 23 nm일 때 가장 우수한 특성을 보였으며,$Al_2O_3$ 를 감지막으로 사용하였을 경우 화학적 용액에 대해 내구성이 뛰어났고,$HfO_2$ 을 사용하였을 때에는 화학적 용액에 대한 안정성 보다는 pH 용액변화에 따른 향상된 감지감도특성을 보였다. -
플라즈마 디스플레이 패널(PDP)은 가격 경쟁력이 뛰어나고 빠른 반응 속도를 기반으로 한 생생한 화질이 구현 가능한 장점에 힘입어 대형 평판 디스플레이 시장에서 주도적인 위치를 점하여 왔다. 이러한 특징을 갖고 있는 PDP는 최근 성장세를 보이고 있는 PID (Public Information Display) 시장에서도 그 효력을 발휘할 것으로 보인다. 따라서 기존의 HD급이나 Full HD급 미소 방전셀이 아닌 대면적 방전셀을 적용한 PDP 의 방전 특성에 대한 연구가 중요할 것으로 생각된다. 본 논문에서는 ITO 전극 간격 및 전극 폭, 격벽의 폭 및 높이 등 PDP 의 방전 특성에 영향을 미치는 요소들의 수치를 변화시켜 가며 대면적 방전셀을 적용한 PDP의 기본적인 방전 특성을 살펴보고자 하였다. 이를 바탕으로 대면적 방전셀 PDP에서 고효율을 달성하기 위해 필요한 인자의 설계 방향을 제시해보고자 하였다. 본 논문에서 연구된 PDP는 0.862.58 mm의 셀의 크기를 갖도록 설계하였다. 앞서 제시한 바와 같이 구조 변수의 최적화를 위하여 ITO 전극 간격은 80~1, 전극의 폭은 250~750로 다양하게 주어 상판을 제작하였고 격벽의 폭은 100~200, 높이는 150~300까지 다양한 크기를 가지는 하판을 제작하여 박막 증착, 합착, 가열 배기 등의 과정을 통하여 최종적으로 2인치 크기의 테스트 패널을 제작하여 각 패널별 전압 변화, 휘도, 효율 특성 등이 분석되었다. 실험 결과 격벽 폭 150, 높이는 300일 때 negative glow 방전이 안정적으로 형성될 수 있었음을 확인하였고 최적화된 격벽 수치를 기반으로 다양한 ITO 전극 간격 및 전극 폭을 적용한 패널의 방전 특성을 분석할 수 있었다. 이러한 일련의 실험 결과들을 기반으로 향후 대면적 방전셀의 방전 전압을 낮추고 발광 효율을 개선하는데에 있어서 3전극의 면방전 구조를 가지는 PDP 의 셀을 설계하는데에 있어서 올바른 방향을 마련할 수 있을 것이라 생각된다.
-
In recent days, advances in ZnO-based oxide semiconductor materials have accelerated the development of thin-film transistors (TFTs), which are the building blocks for active matrix flat-panel displays including liquid crystal displays (LCD) and organic light-emitting diodes (OLED). In particular, the development of high-mobility ZnO-based channel materials has been proven invaluable; thus, there have been many reports of high-performance TFTs with oxide semiconductor channels such as ZnO, InZnO (IZO), ZnSnO (ZTO), and InGaZnO (IGZO). The reliability of oxide TFTs can be improved by examining more stable oxide channel materials. In the present study, we investigated the effects of an ALD-deposited water vapor permeation barrier on the stability of ZnO and HfZnO (HZO) thin film transistors. The device without the water vapor barrier films showed a large turn-on voltage shift under negative bias temperature stress. On the other hand, the suitably protected device with the lowest water vapor transmission rate showed a dramatically improved device performance. As the value of the water vapor transmission rate of the barrier films was decreased, the turn-on voltage instability reduced. The results suggest that water vapor related traps are strongly related to the instability of ZnO and HfZnO TFTs and that a proper combination of water vapor permeation barriers plays an important role in suppressing the device instability.
-
Kwon, Byoung-Wook;Son, Dong-Ick;Lee, Bum-Hee;Park, Dong-Hee;Lim, Ki-Pil;Woo, Kyoung-Ja;Choi, Heon-Jin;Choi, Won-Kook 451
Instead of a highly toxic CdSe and ZnScore-shell,InP/ZnSecore-shell quantum dots [1,2] were investigated as an active material for quantum dot light emitting diode (QD-LED). In this paper, aquantum dot light-emitting diode (QDLED), consisting of a InP/ZnS core-shell type materials, with the device structure of glass/indium-tin-oxide (ITO)/PEDOT:PSS/Poly-TPD/InP-ZnS core-shell quantum dot/Cesium carbonate(CsCO3)/Al was fabricated through a simple spin coating technique. The resulting InP/ZnS core-shell QDs, emitting near blue green wavelength, were more efficient than the above CdSe QDs, and their luminescent properties were comparable to those of CdSe QDs.Thebrightness ofInP/ZnS QDLED was maximumof 179cd/m2. -
저온 플라즈마를 발생시키는 대기압 마이크로-플라즈마 젯(Micro-plasma jet)을 이용하여 플라즈마와 세포와의 상호작용에 대한 연구를 진행하였다. 실험에 사용된 세포는 인체의 방광암 세포(Human bladder carcinoma cell, EJ)이며, 플라즈마 처리 후 bioassay를 통하여 세포 예정사 효과를 확인하였다. 수십 kHz (low frequency)의 펄스파 전압을 인가하여 발생시킨 플라즈마는 형성 기체로 헬륨을 사용하였고, 광 방출 분광법으로 산소의 첨가량에 따른 활성 종들의 변화를 비교해 보았다. 플라즈마 처리 후에는 DAPI staining을 통하여 세포 예정사에서 형성되는 apoptotic body를 확인하였고, 세포막 외부로 이동하는 Phosphatidic Serin (PS)과 결합하는 Annexin-V assay를 통하여 apoptosis rate를 측정하였다. 이를 바탕으로 암세포에 미치는 플라즈마 활성종의 영향을 분석하였다.
-
최근 디스플레이 시장에서는 저전력 자발광 소자인 OLED가 많은 관심 속에 연구 진행 되고 있다. 높은 효율과 투명, 플렉서블 디스플레이가 실현 가능한 OLED 소자는 초기 수명감소, 저전압구동 및 신뢰성에 대한 문제점을 개발 중에 있기에 많은 가능성을 현실화 하지 못하고 있다. 따라서 본 연구에서는 OLED소자의 역방향 반송자 회복 수명을 측정함으로써 스트레스에 의한 소자 열화를 전기적으로 분석하는 방법을 제시하고자 한다. 우선 5cm5cm의 면적에 네 개의 픽셀이 들어가는 후면 발광 Blue OLED를 제작하고
$-40^{\circ}C$ 부터$100^{\circ}C$ 까지$10^{\circ}C$ 간격으로 온도 스트레스를 주어 수명을 측정하였다. 전원공급기를 사용하여 직류 전압을 2V 인가하고 함수 발생기를 사용하여 +3V, -0.5V의 구형파를 500 kHz 주파수로 인가하였다. 이러한 조건으로 측정된 소자는 오실로스코프를 이용하여 전압 회복시간을 측정하고 온도 스트레스에 따른 수명을 산출하였다.$-40^{\circ}C$ 일 때 는 약 1.92E-7s이고$100^{\circ}C$ 일 때 는 약 1.49E-7s로 약 0.43E-7s정도 감소하였다. 양의 전압이 인가되었을 때의 소자 내부의 전압은 온도가 증가함에 따라 꾸준히 감소하였고, 이에 따라 또한 꾸준히 감소하였다. 그러나 음의 전압이 인가되는 부분에서는 무설 전류에 의하여 음의 방향으로 흐르게 되는 전압의 절대값이 꾸준히 증가하였고 대체적으로 온도가 증가함에 따라 그래프가 아래로 이동하는 현상이 관찰되었다. 이러한 경향은 이상적인 다이오드의 반송자 축적 식을 통하여 온도가 증가함에 따라 가 증가하는 것과 관련이 있음을 확인하였다. 따라서 다수 층의 레이어로 이루어진 OLED소자의 열적 스트레스에 대한 수명 변화의 물리적 조건이 이상적인 다이오드 특성에 부합한다는 것을 확인하였다. -
Magnetron sputtering에서, 영구자석의 자속은 target 표면 가까이에 전자를 구속한다. 구속된 전자는 Ar중성기체와 충돌하여 Ar이온을 발생시킬 수 있으므로, target 근처에서의 플라즈마 밀도를 높여, 자석이 없을 때보다 낮은 압력 또는 낮은 전압에서 방전할 수 있다. 구속 전자가 밀집된 공간에서 sputtering 현상이 주로 발생하기 때문에, planar target을 사용할 경우에는 target이 불균일하게 식각되어 target의 사용효율이 좋지 못하다. 이에 대한 한 가지 대안은 target을 원통형으로 만들어 회전시키는 것이다. Cylindrical target 의 내부에 위치한 영구자석은 고정시키고, target만을 회전시키면 비교적 균일하게 식각되므로 target의 사용효율을 높일 수 있다. 본 연구에서는 기존의 planar target에 대한 Particle-In-Cell Simulation을 Cylindrical target 에 적용시키기 위한 방법을 알아본다. 또한, 개발된 Simulator를 이용하여, Sputtering 조건의 변화에 대한 I-V curve의 변화를 살펴본다.
-
최근 반도체 소자의 Design rule의 지속적인 축소로 물리적 한계에 다가서고 있는 상황이다. 이에 대한 대책으로 여러가지 방안이 대두되고 있으며 그 중 하나로 TSV (Through Silicon Via)를 적용한 3D 혹은 stack scheme이 개발되고 있다. TSV 공정은 throughput의 향상을 위해 high etch rate를 기본 필요 조건으로 한다. 본 연구에서는 자화된 유도결합 식각 장치하에서
$SF_6/O_2$ 플라즈마의 특성을 Langmuir Probe와 Actinometry를 이용하여 측정하고 자화여부에 따른 특성 차이와 이의 Silicon Via에 대한 특성에 대해 살펴보았다. -
We presented plasma processing using a DC Arc Plasmatron for diamond deposition on Al2O3 ceramic substrates. Plasma surface treatments were conducted to improve deposition condition before processing for diamond deposition. The Al2O3 ceramic substrates deposited,
$5{\times}15mm^2$ , were investigated by Scanning Electron Microscopy (SEM), Fourier Transform Infrared Spectroscopy (FTIR) and X-ray Diffraction (XRD). Properties of diamond (111), (220) and (311) peaks were shown in XRD. We identified nanocrystalline diamond films on substrates. The results showed that deposition rate was approximately$2.2{\mu}m/h$ after plasma surface treatments. Comparing the above result with a common processing, deposition rate was improved. Also, the surface condition was improved more than a common processing for diamond deposition on Al2O3 ceramic substrates. -
Fuel Cell is used stacking metal or polymer substrate. This hydro property of substrate surface is very important. Usually, surface property is hydrophilic. The surface oxidation of SUS is investigated through plasma treatments with an atmospheric-pressure dielectric barrier discharge (DBD) for increasing hydrophilic property. The plasma process makes an experiment under various operating conditions of the DBD, which operating conditions are treatment time, plasma gas mixture ratio, the plasma source supply frequency. Two kinds of SUS substrate, SUS-304 and SUS 316L, were used. Discharge frequency has a crucial impact on equipment performance and gas treatment. After the plasma treatment of a SUS plate, highly improved wettability was noted. But, when high oxygen supply, the substrate damaged seriously.
-
Recently, the discharge of global warming gases in dry etching process of TFT-LCD display industry is a serious issue because perfluorocarbon compound (PFC) gas causes global warming effects. PFCs including CF4, C2F6, C3F8, CHF3, NF3 and SF6 are widely used as etching and cleaning gases. In particular, the SF6 gas is chemically stable compounds. However, these gases have large global warming potential (GWP100 = 24,900) and lifetime (3,200). In this work, we chose C3F6O gas which has a very low GWP (GWP100 = <100) and lifetime (< 1) as a replacement gas. This study investigated the effects of the gas flow ratio of C3F6O/O2 and process pressure in dual-frequency capacitively coupled plasma (CCP) etcher on global warming effects. Also, we compared global warming effects of C3F6O gas with those of SF6 gas during dry etching of a patterned positive type photo-resist/silicon nitride/glass substrate. The etch rate measurements and emission of by-products were analyzed by scanning electron Microscopy (SEM; HITACI, S-3500H) and Fourier transform infrared spectroscopy (FT-IR; MIDAC, I2000), respectively. Calculation of MMTCE (million metric ton carbon equivalents) based on the emitted by-products were performed during etching by controlling various process parameters. The evaluation procedure and results will be discussed in detail.
-
반도체 Device가 Shrink 함에 따라 Pattern Size가 작아지게 되고, 이로 인해 Photo Resist 물질 자체만으로는 원하는 Patterning 물질들을 Plasma Etching 하기가 어려워지고 있다. 이로 인해 Photoresist를 대체할 Hard Mask 개념이 도입되었으며, 이 Hardmask Layer 중 Amorphous Carbon Layer 가 가장 널리 사용되고 지고 있다. 이 Amorphous Carbon 계열의 Hardmask를 Etching 하기 위해서 기본적으로 O2 Plasma가 사용되는데, 이 O2 Plasma 내의 Oxygen Species들이 가지는 등 방성 Diffusion 특성으로 인해, 원하고자 하는 미세 Pattern의 Vertical Profile을 얻는데 많은 어려움이 있어왔다. 이를 Control 하기 인해 O2 Plasma Parameter들의 변화 및 Source/Bias Power 등의 변수가 연구되어 왔으며, 이와 다른 접근으로, N2 및 CO, CO2, SO2 등의 여러 Additive Gas 들의 첨가를 통해 미세 Pattern의 Profile을 개선하고, Plasma Etching 특성을 개선하는 연구가 같이 진행되어져 왔다. 본 논문에서 VLSI Device의 Masking Layer로 사용되는, Carbon 계 유기 층의 Plasma 식각 특성에 대한 연구를 진행하였다. Plasma Etchant로 사용되는 O2 Plasma에 새로운 첨가제 가스인 카르보닐 황화물 (COS) Gas를 추가하였을 시 나타나는 Plasma 내의 변화를 Plasma Parameter 및 IR 및 XPS, OES 분석을 통하여 규명하고, 이로 인한 Etch Rate 및 Plasma Potential에 대해 비교 분석하였다. COS Gas를 정량적으로 추가할 시, Plasma의 변화 및 이로 인해 얻어지는 Pattern에서의 Etchant Species들의 변화를 통해 Profile의 변화를 Mechanism 적으로 규명할 수 있었으며, 이로 인해 기존의 O2 Plasma를 통해 얻어진 Vertical Profile 대비, COS Additive Gas를 추가하였을 경우, Pattern Profile 변화가 개선됨을 최종적으로 확인 할 수 있었다.
-
Kim, Yun-Jung;Kim, Jung-Gil;Jeong, Jong-Yun;Han, Guk-Hui;Lee, Min-Gyeong;Cha, Deok-Bong;Han, Sang-Ho;Park, Gyeong-Sun;Jo, Gwang-Seop 461
미생물 처리에 적용하기 위한 저주파 Dielectric Barrier Discharge (DBD) Plasma Device를 고안하였다. 현재 생의학적으로 광범위하게 사용되는 Plasma Jet Device는 가스를 주입해 주어야 한다. 그러나 Plasma Jet Device의 노즐로부터 배출되는 가스는 Media의 흔들림과 증발 유발시키며 미생물에게 영향을 미친다. 가스 배출에 의한 영향을 없애기 위해 가스 주입이 없는 Plasma 발생 장치를 제작 하고, 미생물에 적용하여 그 효과를 관찰하였다. 본 실험에 사용된 Plasma 발생 장치는 대기 중에서 방전된다. 가스의 주입 없이 낮은 방전 전압과 균일한 플라즈마의 발생을 위해 Plasma 발생 장치의 접지 전극으로 Mesh를 사용 하였다. 본 Plasma 발생 장치로 발생된 Plasma를 곰팡이(Fusariumgraminearum)에 조사한 결과, 조사 시간이 길어짐에 따라 배양된 곰팡이의 Colony 수가 Plasma를 조사하지 않은 경우에 비해 줄어드는 것을 확인할 수 있었다. 또한 각각의 곰팡이 Colony의 크기도 줄어들었다. -
Lee, Won-Yeong;Jeong, Jong-Yun;Han, Guk-Hui;Kim, Yun-Jung;Lee, Min-Gyeong;Kim, Jung-Gil;Gang, Han-Rim;Yu, Hong-Geun;Jo, Gwang-Seop 462
바이오 플라즈마의 일환으로 대기압 플라즈마 제트 장치를 개발하여 혈액 응고 실험을 하였다. 대기압 플라즈마 제트 장치는 의료용 바늘, 테프론 튜브, 유리관으로 이루어져 있다. 본 실험에 사용된 플라즈마 제트 장치는 두 전극 사이에 유전체로 사용된 유리관이 설치된 유전체 장벽 방전 플라즈마의 한 형태라 할 수 있다. 플라즈마 제트에 주입된 가스는 Ar이며 전기적, 열적 충격이 없다. 출력전압은 1.2 kV, 출력전류는 1.9 mA, 구동주파수는 40 kHz이다. 출혈이 발생한 상처에 조사한 결과, 9 초만에 혈액이 응고되는 것을 확인하였다. 또한, 멀티 플라즈마 제트 장치를 고안하였다. 플라즈마 제트에서 발생되는 플라즈마 양을 증가시킴으로서 대면적으로 활용할 수 있다. -
ITRS (international technology roadmap for semiconductors)에 따르면 MOS(metal-oxide-semiconductor)의 CD (critical dimension)가 45 nm node이하로 줄어들면서 poly-Si/
$SiO_2$ 를 대체할 수 있는 poly-Si/metal gate/high-k dielectric이 대두된다고 보고하고 있다. 일반적으로 high-k dielectric를 식각시 anisotropic 한 식각 형상을 형성시키기 위해서 plasma를 이용한 RIE (reactive ion etching)를 사용하고 있지만 PIDs (plasma induced damages)의 하나인 PIED (plasma induced edge damage)의 발생이 문제가 되고 있다. PIED의 원인으로 plasma의 direct interaction을 발생시켜 gate oxide의 edge에 trap을 형성시키므로 그 결과 소자 특성 저하가 보고되고 있다. 그러므로 본 연구에서는 이에 차세대 MOS의 high-k dielectric의 식각공정에 HDP (high density plasma)의 ICP (inductively coupled plasma) source를 이용한 원자층 식각 장비를 사용하여 PIED를 줄일 수 있는 새로운 식각 공정에 대한 연구를 하였다. One-monolayer 식각을 위한 1 cycle의 원자층 식각은 총 4 steps으로 구성 되어 있다. 첫 번째 step은 Langmuir isotherm에 의하여 표면에 highly reactant atoms이나 molecules을 chemically adsorption을 시킨다. 두 번째 step은 purge 시킨다. 세 번째 step은 ion source를 이용하여 발생시킨 Ar low energetic beam으로 표면에 chemically adsorbed compounds를 desorption 시킨다. 네 번째 step은 purge 시킨다. 결과적으로 self limited 한 식각이 이루어짐을 볼 수 있었다. 실제 공정을 MOS의 high-k dielectric에 적용시켜 metal gate/high-k dielectric CMOSFETs의 NCSU (North Carolina State University) CVC model로 구한 EOT (equivalent oxide thickness)는 변화가 없으면서 mos parameter인 Ion/Ioff ratio의 증가를 볼 수 있었다. 그 원인으로 XPS (X-ray photoelectron spectroscopy)로 gate oxide의 atomic percentage의 분석 결과 식각 중 발생하는 gate oxide의 edge에 trap의 감소로 기인함을 확인할 수 있었다. -
본 연구에서는 DBD (Dielectric Barrier Discharge)방식을 통해 발생된 대기압 plasma를 이용한 Photoresist (PR) Ashing에 관한 연구를 하였다. 사용된 DBD 반응기는 기존의 blank planar plate 형태의 Power가 인가되는 anode 부분과 Dielectric Barrier 사이 공간을 액상의 도전체로 채워 넣은 형태의 전극이 사용 하였으며, 인가 Power는 40 kHz AC 최대 인가 전압 15 kV를 사용 하였고(본 연구에서 인가 power는 30 KHz,전압 14 KV를 고정시킴) 플라즈마를 발생시 라디칼의 활성화를 유지하기 위해 전극 온도가
$180^{\circ}C$ 정하였다. Feeding 가스는 N2, 반응가스로는 CDA(Clean Dry Air), SF6와 CF4가스를 사용 하였으며 모든 공정은 In-line type으로 시편을 처리 하였다. CDA ratio의 경우에 질소대비 0.2%때 이송속도 30 mm/sec 1회 처리 기존 PR ashing은 최대$320{\AA}$ 의 ashing 두께를 얻을 수 있었다. SF6와 CDA가스를 같이 반응하는 경우 ratio는 CDA : SF6 = 0.6% : 0.6%에서 PR ashing rate이$841{\AA}/pass$ 의 값을 얻을 수 있었고, CDA가스만 첨가하는 경우보다 약2.6배 증가함을 관찰할 수 있었다. CF4 가스를 사용하는 경우 ratio는 CDA : CF4 = 0.2% : 0.2%에서 PR ashing rate이$687{\AA}/pass$ 의 값을 얻을 수 있으며 CDA가스만 첨가하는 경우보다 약 2.1배 증가함을 관찰할 수 있었다. 그리고 PR ashing rate가 가스첨가종류와 비율에 따라서 변화함을 관찰하였고 최적조건을 찾기 위해 연구를 진행하였다. 추후 PR ashing rate가 향상을 하기 위해 가스혼합비율 및 stage 온도등 조건을 조절하여 공정최적조건을 얻기 위해 연구를 진행하였다. -
In this study, we developed an Al2O3 nanolaminated single gas barrier layer using a Neutral Beam Assisted Sputtering (NBAS) process. The NBAS process can continuously change crystalline structures from an amorphous phase to a nanocrystal phase with various grain sizes and lead to the formation of a nanolaminated structure in the single inorganic thin film. As a result, the water vapor transmission rates (WVTR) of the nanolaminated Al2O3 thin films by NBAS process have improved more than 40% compared with that of conventional Al2O3 layers by the RF magnetron sputtering process under the same sputtering conditions.
-
Amorphous InGaZnO (
${\alpha}$ -IGZO) thin-film transistors (TFTs) are are very promising due to their potential use in thin film electronics and display drivers [1]. However, the stability of AOS-TFTs under the various stresses has been issued for the practical AOSs applications [2]. Up to now, many researchers have studied to understand the sub-gap density of states (DOS) as the root cause of instability [3]. Nomura et al. reported that these deep defects are located in the surface layer of the${\alpha}$ -IGZO channel [4]. Also, Kim et al. reported that the interfacial traps can be affected by different RF-power during RF magnetron sputtering process [5]. It is well known that these trap states can influence on the performances and stabilities of${\alpha}$ -IGZO TFTs. Nevertheless, it has not been reported how these defect states are created during conventional RF magnetron sputtering. In general, during conventional RF magnetron sputtering process, negative oxygen ions (NOI) can be generated by electron attachment in oxygen atom near target surface and accelerated up to few hundreds eV by self-bias of RF magnetron sputter; the high energy bombardment of NOIs generates bulk defects in oxide thin films [6-10] and can change the defect states of${\alpha}$ -IGZO thin film. In this paper, we have confirmed that the NOIs accelerated by the self-bias were one of the dominant causes of instability in${\alpha}$ -IGZO TFTs when the channel layer was deposited by conventional RF magnetron sputtering system. Finally, we will introduce our novel technology named as Magnetic Field Shielded Sputtering (MFSS) process [9-10] to eliminate the NOI bombardment effects and present how much to be improved the instability of${\alpha}$ -IGZO TFTs by this new deposition method. -
유기발광소자는 빠른 응답속도, 넓은 시야각, 얇은 두께의 특성으로 차세대 디스플레이 소자 기술로 많은 주목을 받고 있다. 백색 조명 광원 관련 기술은 친환경 에너지와 관련하여 연구가 활발하게 진행되고 있다. 청색과 황색의 유기물층을 적층하여 제작한 백색 유기발광소자는 서로 다른 두 유기물질의 계면 불균일로 인한 효율 저하와 형광 여기자의 수명과 유기물의 두께 상관관계에 따라 색안정성이 나빠지는 문제점이 있다. 본 연구에서는 고분자 poly (2-methoxy-5-(2'-ethyl-hexyloxy)-1,4-phenylenevinylene (MEH-PPV)와 polystyrene (PS) 혼합물을 스핀코팅 방법을 사용하여 박막을 형성한 후 열처리에 의한 상분리 현상을 이용하여 선택적으로 PS 물질을 제거하여 MEH-PPV 황색 고분자 발광층을 형성하여 황색 고분자 발광층의 표면 성질 변화를 관찰하였다. 고분자 MEH-PPV와 PS의 혼합 비율과 혼합층 두께에 따른 MEH-PPV 황색 고분자 박막의 변화를 원자힘 현미경을 통하여 관찰할 수 있었다. MEH-PPV 황색 고분자 발광층의 표면 특성은 MEH-PPV와 PS 혼합물의 PS 혼합비가 높아지면 표면거칠기가 작아지며, 혼합된 두 고분자 물질의 분자량의 차이에 의한 응집도의 차이로 인하여 MEH-PPV와 PS 혼합물 박막의 두께가 얇아지면 표면거칠기가 커진다. 이 연구 결과는 고분자-저분자 혼합 발광층 구조를 사용하는 백색 유기발광소자의 효율 향상에 대한 기초자료로 활용할 수 있다.
-
Organic thin film transistors (OTFTs) backplane constitute the active elements in new generations of plastic electronic devices for flexible display. The overall OTFTs performance is largely depended on the properties and quality of each layers of device material. In solution based process of organic semiconductors (OSCs), the interface state is most impediments to preferable performance. Generally, a threshold voltage (Vth) shift is usually exhibited when organic gate insulators (OGIs) are exposed in an ambient air condition. This phenomenon was caused by the absorbed polar components (i.e. oxygen and moisture) on the interface between OGIs and Soluble OSCs during the jetting process. For eliminating the polar component at the interface of OGI, the role of high vacuum seasoning on an OGI for all solution processable OTFTs were studied. Poly 4-vinly phenols (PVPs) were the material chosen as the organic gate dielectric, with a weakness in ambient air. The high vacuum seasoning of PVP's surface showed improved performance from non-seasoning TFT; a
$V_{th}$ , a${\mu}_{fe}$ and a interface charge trap density from -8V,$0.018cm^2V^{-1}s^{-1}$ ,$1.12{\times}10^{-12}(cm^2eV)^{-1}$ to -4.02 V,$0.021cm^2V^{-1}s^{-1}$ ,$6.62{\times}10^{-11}(cm^2eV)^{-1}$ . These results of OTFT device show that polar components were well eliminated by the high vacuum seasoning processes. -
유기발광소자는 낮은 동작전압, 낮은 전력소비와 높은 색 순도의 장점을 가지고 있어 차세대 디스플레이로 관심을 받고 있다. 시장을 주도하고 있다. 최근 스마트폰의 사용증가에 따른 저전력과 색 표현력에 대한 연구가 필요하며, 발광 효율과 색 순도를 증가하기 위하여 형광 또는 인광 발광 호스트 물질을 사용한 유기발광소자에 대한 연구가 진행되고 있다. 하지만 적색 및 녹색 유기발광소자보다 청색 유기발광소자는 상대적으로 발광 효율과 색순도가 낮기 때문에 청색 유기발광소자를 사용하여 전색 디스플레이를 구현하는데 문제점이 있다. 본 연구에서는 청색 유기발광소자의 색순도와 발광효율을 향상시키기 위하여 형광호스트물질과 인광호스트물질을 혼합하여 구성된 발광층을 가진 청색 유기발광소자에 대한 연구를 하였다. 1,3-bis(carbazole-9-yl)benzene (mCP)에 3-tert-butyl-9,10-di(naphth-2-yl)anthracene (TBADN)을 다양한 혼합비율로 구성한 발광층을 가진 청색 유기발광소자를 제작하여 광학적 성질과 전기적 성질을 관찰하였다. 형광호스트물질과 인광호스트물질의 혼합된 발광층을 적용한 청색 유기발광소자에서 엑시톤의 에너지이동이 원활해지고, 안정된 전자와 정공의 균형으로 인해 동작전압이 감소하고 발광 효율이 증가한다. 위 연구 결과는 낮은 동작전압과 높은 발광효율 갖는 형광물질과 인광물질의 혼합된 발광층 구조를 사용한 청색 유기발광소자를 전색디스플레이에 응용할 경우에 저전압 고효율 전색 발광소자의 제작에 관한 연구에 기여한다.
-
유기발광소자는 빠른 응답속도, 높은 색재현성, 높은 명암비의 장점을 가지고 있어 차세대 디스플레이로 각광 받고 있으며, 이미 소형 디스플레이로 상용화되고 있다. 유기발광소자에서는 발광효율을 높이기 위해서 전하들의 균형이 매우 중요하다. 유기발광소자 내 정공의 이동도는 전자의 이동도보다 빠르기 때문에 정공의 이동도를 감소하거나, 전자의 이동도를 증가하여 전하들의 균형을 형성함으로 유기발광소자의 효율을 증진시키는 연구가 진행되고 있다. 본 연구는 유기발광소자의 전자 수송층을 다층구조로 적층하여 전자의 이동도를 증가하여 효율이 증진하는 메커니즘을 기본으로 하였다. 전자 수송층을 tris(8-hydroxyquinoloine)aluminum (
$Alq_3$ ) 단일층, 4,7-diphenyl-1, 10-phenanthroline (BPhen)과$Alq_3$ 의 혼합층및 BPhen과$Alq_3$ 다층 구조로 제작한 유기발광소자의 전기적, 발광 특성을 비교 분석하였다. BPhen은 lowest unoccupied molecular orbital (LUMO) 준위가$Alq_3$ 의 LUMO 준위와 유사하여 전자 주입이 효율적으로 일어나며, 또한 낮은 highest occupied molecular orbital (HOMO) 준위는 정공 저지층의 역할을 하여 발광층 내에서 전하의 균형을 효율적으로 맞춰준다. 유기발광소자는 N,N,'-bis-(1-naphthyl)-N,N'-diphenyl1-1'-biphenyl-4,4'-diamine (NPB)/$Alq_3$ / 다양한 전자수송층 / lithium quinolate (Liq)/ aluminium (Al) 음극 전극으로 각각 증착하여 제작하였다. 전자수송층을 다층 구조로 사용한 유기발광소자는 발광효율이 혼합층과 단일층에 비해 높았으며, 최대 발광효율은 전류밀도가 273 mA/cm2일때 4.5 cd/A였다. 다층구조의 전자수송층에서 다층으로 증착된 BPhen이 효율적인 전자 주입 및 전공 저지하는 역할을 최적화 하여 발광층에 더 많은 엑시톤이 형성하여, 유기발광소자의 효율을 증진시켜 준다는 사실을 알 수 있었다. -
유기발광소자는 낮은 구동전압, 빠른 응답속도, 넓은 시야각 등의 장점으로 소형 디스플레이에 사용되며 차세대 조명으로 관심을 받고 있다. 고효율의 유기발광소자를 제작하기 위해서 다양한 유기 인광물질 합성 및 연구가 진행되고 있으며, 다양한 호스트 물질을 사용하여 전자와 정공의 주입을 향상하여 고효율의 인광 유기발광소자를 제작하였다. 본 논문에서는 발광층에 N,N'-dicarbazolyl-3,5-benzene (mCP)와 1,3,5-tri(phenyl-2-benzimidazole)-benzene (TPBi)를 혼합 호스트로 사용하였으며 tris(2-phenylpyridine)iridium (
$Ir(ppy)_3$ )청색 인광물질을 도핑하여 고효율의 인광 유기발광소자를 제작하였다. 유기발광소자의 발광층에 단일 호스트와 혼합 호스트의 전기적 및 광학적 특성을 비교 분석하여 전자 및 정공 수송 메커니즘을 규명하였다. 혼합 호스트 TPBi의 lowest unoccupied molecular orbital (LUMO) 준위와 엑시톤 저지층 2,9-dimethyl-4,7-diphenyl-1,10-phenanthroline (BCP)의 LUMO 준위와 비슷하여 전자의 주입을 향상시키는 역할을 하며, 다른 혼합 호스트 mCP는 highest occupied molecular orbital (HOMO)와 정공수송층 N,N'-diphenyl-N,N'-bis(1-naphthyl)-1,1'-biphenyl-4,4'-diamine (NPB)의 HOMO와 비슷하여 정공의 주입을 향상시키는 역할을 하여,$Ir(ppy)_3$ 에 전자와 정공의 주입이 향상되어 고 효율의 인광 유기발광소자를 제작할 수 있었다. 이와 같은 실험결과는 인광 유기발광소자의 호스트 물질에 따른 전하주입 메커니즘을 설명 하였으며 고효율의 인광 유기발광소자 제작에 도움을 줄 것이다. -
유기발광소자는 다른 디스플레이에 비해 높은 명암비와 색재현성의 장점을 갖는 차세대 디스플레이로서, 얇은 박막 특성을 가지고 있기때문에 모바일용 디스플레이 기술로 많이 사용되고 있다. 하지만 낮은 발광효율, 높은 구동전압 및 전압에 따른 색좌표 변화의 문제점을 가지고 있어 이를 극복하기 위한 많은 연구가 진행되고 있다. 유기 발광 소자의 발광효율을 높이며 구동 전압을 낮추기 위해 호스트물질에 다양한 도펀트를 도핑하고 있다. 높은 발광효율을 가지는 도펀트인 5,6,11,12-tetraphenylnaphthacene (rubrene)을 사용한 유기발광소자는 rubrene의 안정된 분자 에너지 레벨로 인해 전자들이 포획되는 현상이 나타나 효율이 감소되는 원인이 규명되지 않았다. 본 연구에서는 rubrene을 발광층으로 사용하여, 전공수송층인 N,N_-bis-(1-naphthyl)-N,N_-diphenyl-1,1-biphenyl-4,4-diamine (NPB)의 두께에 따른 I-V 변화와 전계발광 스펙트럼를 분석하여 두께에 따른 rubrene의 전자 포획를 관찰하였다. rubrene보다 큰 lowest unoccupied molecular orbital 에너지를 갖는 NPB와 에너지장벽으로 낮은 highest occupied molecular orbital 에너지를 갖는 4,7-diphenyl-1,10-phenanthroline을 각각 교차되게 적층한 유기발광소자의 I-V 변화와 전자 전공 재결합층의 위치변화에 따른 전계발광 스펙트럼을 비교 분석하였다. 이 결과는 발광층 내부의 rubrene의 상대적인 위치와 에너지장벽과의 상관관계에 따른 전자 포획 메커니즘을 이해하는데 도움 줄 것이다.
-
백색 유기발광소자는 매우 얇고, 가볍고, 저전력 구동이 가능하다는 점에서 전색 디스플레이나 조명 시장에서 많은 관심을 끌고 있다. 고효율을 가진 백색 유기발광소자의 제작을 위해서는 일반적으로 쉐도우 마스크를 사용하여 발광 패턴을 만들기 때문에 제작 비용이 비싸다는 단점을 가진다. 본 논문에서는 제작 공정이 간단하고, 저비용의 장점을 가지는 용액 공정을 사용하여 나노 구멍 구조를 가지는 적색 고분자와 청색 저분자의 혼합 발광층으로 백색 유기발광소자를 제작하였다. 이 나노 구멍 구조를 가지는 poly[2-methoxy, 5-(2'-ethyl-hexyloxy)-p-phenylene vinylene] (MEH-PPV)/ 2-methyl-9,10-di(2-naphthyl)anthracene (MADN) 혼합 발광층의 전기적, 광학적 특성을 분석하기 위하여 MEH-PPV/MADN 적층 구조를 가지는 백색 유기발광소자를 제작하여 비교, 분석하였다. 나노 구멍 구조를 가지는 혼합 발광층의 발광 스펙트럼에서 적층 구조보다 청색 파장대의 빛의 비율을 높일 수 있었다. 그 이유는 나노 구멍 구조를 가지는 혼합 발광층에서 정공수송층인 poly(3,4-ethylenedioxythiophene) poly(styrenesulfonate) (PEDOT:PSS) 층과 청색 발광층 사이의 일부분 접합부분의 정공 주입 때문이다. 또한, 혼합 발광층을 가진 백색 유기발광소자의 전류 밀도와 휘도는 구멍을 가진 MEH-PPV 층 때문에 상당히 증가하는 것을 알 수 있다. 혼합 발광층을 가진 백색 유기발광소자의 적색과 청색의 균형은 나노 구멍의 크기를 통해서 조절이 가능하고, 색 안정성은 정공 주입층과 청색 발광층 사이의 직접 접촉에 의한 구동 전압의 변화를 따라 증가시킬 수 있었다. 그 결과, 혼합 발광층을 가지는 백색 유기발광소자에서 적색과 청색 발광층의 발광 균형은 스핀 코팅 속도가 3,000 rpm일 때, 최적의 결과를 나타내었다. 이러한 실험 결과들은 저분자/고분자로 이루어진 혼합 발광층을 가진 백색 유기발광소자에서의 전자와 정공의 전달 및 발광 메커니즘을 분석할 수 있었다.
-
Although plasma is an efficient means of microbial sterilization, mechanism of plasma effect on microorganisms still needs to be clarified. In addition, a limited number of studies are available on eukaryotic microorganisms such as yeast and fungi in relation to plasma application. Thus, we investigated cellular and molecular aspects of plasma effects on a filamentous fungus, Neurospora crassa by making use of argon plasma jet at atmospheric pressure. The viability and cell morphology of N. crassa spores exposed to plasma were both significantly reduced depending on the exposure time when treated in water. The intracellular genomic DNA content was dramatically reduced in fungal tissues after a plasma treatment and the transcription factor tah-3 was found to be required for fungal tolerance to a harsh plasma environment.
-
The effects of argon neutral beam (NB) energy on the amorphous carbon (a-C) films were investigated, while the a-C films were deposited by neutral particle beam assisted sputtering (NBAS) system. The deposition characteristics of these films were studied as a function of NB energy (or reflector bias voltage). The film structures were investigated by Raman spectroscopy. The hardness was measured by nano-indentation tests and the optical band gap was measured by UV-visible spectroscopy.
-
Recently, there are many researches in order to increase the deposition rate (D/R) and improve film uniformity and quality in the deposition of microcrystalline silicon thin film. These two factors are the most important issues in the fabrication of the thin film solar cell, and for the purpose of that, several process conditions, including the large area electrode (more than 1.1 X 1.3 (m2)), higher pressure (1 ~ 10 (Torr)), and very high frequency regime (VHF, 40 ~ 100 (MHz)), have been needed. But, in the case of large-area capacitively coupled discharges (CCP) driven at frequencies higher than the usual RF (13.56 (MHz)) frequency, the standing wave and skin effects should be the critical problems for obtaining the good plasma uniformity, and the ion damage on the thin film layer due to the high voltage between the substrate and the bulk plasma might cause the defects which degrade the film quality. In this study, we will propose the new concept of the large-area multi-electrode (a new multi-electrode concept for the large-area plasma source), which consists of a series of electrodes and grounds arranged by turns. The experimental results with this new electrode showed the processing performances of high D/R (1 ~ 2 (nm/sec)), controllable crystallinity (~70% and controllable), and good uniformity (less than 10%) at the conditions of the relatively high frequency of 40 MHz in the large-area electrode of 280 X 540 mm2. And, we also observed the SEM images of the deposited thin film at the conditions of peeling, normal microcrystalline, and powder formation, and discussed the mechanisms of the crystal formation and voids generation in the film in order to try the enhancement of the film quality compared to the cases of normal VHF capacitive discharges. Also, we will discuss the relation between the processing parameters (including gap length between electrode and substrate, operating pressure) and the processing results (D/R and crystallinity) with the process condition map for
${\mu}c$ -Si:H formation at a fixed input power and gas flow rate. Finally, we will discuss the potential of the multi-electrode of the 3.5G-class large-area plasma processing (650 X 550 (mm2) to the possibility of the expansion of the new electrode concept to 8G class large-area plasma processing and the additional issues in order to improve the process efficiency. -
유기발광소자는 낮은 구동전압, 저전력, 높은 명암비, 빠른 응답속도, 넓은 시야각 및 높은 박막의 특성을 가지고 있어서 차세대 평판 패널디스플레이 기술로 각광받고 있다. 하지만 청색 유기발광소자는 적색과 녹색 유기발광소자에 비해 낮은 신뢰성, 발광효율 및 색 순도의 문제점을 가지고 있어, 이를 개선하기 위한 연구가 다양하게 연구되고 있다. 청색 유기발광소자의 경우 발광층 내부로 주입되는 정공과 전자의 균형을 조절하기 위해 p-i-n 구조를 사용하거나 이리듐-유기물 합성물과 같은 인광물질의 적용하여 발광효율을 높이는 청색 유기발광소자에 대한 연구가 진행되고 있다. 하지만 정공 보조층과 청색 형광층의 도핑구조의 청색 유기발광소자에 대한 발광효율 증가 메커니즘에 관한 연구는 비교적 많이 이루어지지 않았다. 본 연구에서는 열 증착 방법을 이용하여 정공 보조층과 청색 형광층으로 구성된 이중 발광층을 사용한 청색유기발광소자의 발광효율 증가 메커니즘에 대해 연구하였다. 10%의 2,9-dimethyl-4,7-diphenyl-1,10-phenanthorlene (BCP)로 도핑된 2-methyl-9,10-bis(naphthalene-2-yl)anthracene (MADN)층을 발광층으로 사용한 유기발광소자, 5% MADN으로 도핑된 1, 3-bis(carbazol-9-yl)benzene (mCP) 층을 발광층으로 사용한 소자 및 10% BCP로 도핑 된 MADN 층과 5% MADN로 도핑 된 mCP층을 혼합하여 발광층으로 사용한 소자의 전류밀도-전압-발광 특성을 비교하여 청색 유기발광소자의 발광효율 증가 메커니즘을 분석하였다. 이중 발광층을 가지는 소자는 두 단일 발광층 중심부의 경계면에서 축적된 정공에 의해 발생한 쿨롱 인력으로 더 많은 전자들을 끌어들이게 되어 엑시톤 형성 및 빛 방출이 증가하였다. 이 실험의 결과는 MADN 형광물질을 가진 청색 유기발광소자의 발광효율 증가 메커니즘에 대한 이해를 높이는데 도움을 줄 수 있다.
-
LED (Light Emitting Diode)는 친환경적이며 고수명 등의 여러 장점을 가지고 있어서 액정디스플레이의 광원으로 널리 사용되고 있다. 그러나 LED 제품을 제조하기 위해서는 칩, 패키지, 모듈, 시스템으로 구성된 4단계의 복잡한 제조공정을 거쳐야 하므로 가격이 높은 단점이 있다. 이를 개선하기 위해서 패키지, 모듈, 시스템의 3단계의 공정을 하나로 통합한 hybrid LED package(HLP) 개념이 제시되었다. HLP는 LED chip을 PCB에 직접 실장한 뒤 초정밀 가공 및 성형 기술을 활용하여 일체형 광학패턴을 인가함으로써 공정을 단순화하면서도 광효율을 향상시킬 수 있다. 이에 본 연구에서는 다구찌 실험계획법을 사용하여 디스플레이에서 중요시되는 휘도를 높일 수 있는 일체형광학패턴 형상 최적화를 실시하였으며, 최적화된 일체형 광학패턴을 제조하기 위한 초정밀 가공 및 성형기술을 개발하였다. 최적화 결과 높이 25um, 꼭지각 90도의 음각형태의 사각피라미드 패턴이 최적형상으로 결정되었으며, 패턴이 없을 때와 비교하여 휘도가 약 32.3% 높아지는 것으로 나타났다. 이러한 일체형 광학패턴을 제품으로 구현하기 위하여 초정밀 절삭기술을 활용하여 마스터 금형을 제작하였다. 최종적으로 사출성형을 통해 일체형 광학패턴을 제작하게 되는데 이때 사출기 내부 공기흐름 및 진공도를 최적화함으로써 패턴 내부에 불필요한 기포가 발생하지 않도록 하는데 성공하였다. 이를 통해 생산성이 높은 사출성형으로 HLP 제품을 양산할 수 있는 가능성을 확인하였고, 추후에는 실제 제품을 제작하는 연구를 수행할 예정이다.
-
대기압 플라즈마 소스는 미생물을 살균하는 효과를 가지고 있으나 그 메커니즘에 대해서는 여전히 많은 연구가 필요한 실정이다. 우리는 본 연구에서 메커니즘 규명을 위한 시작단계로 플라즈마에 대한 미생물의 반응을 생물학적 및 물리적 분석을 통해 보고자 하였다. 연구에 사용한 미생물은 yeast인 Saccharomyces cerevisiae 이며 Ar Gas 플라즈마를 사용하였다. Yeast에 일정한 시간 동안 플라즈마를 조사한 후 세포의 생존, 모양 변화 관찰 및 DNA에 대한 영향이 분석되었고 r-FIB 장비를 이용하여 세포표면의 이차전자 방출계수를 측정하였다. 플라즈마 조사 시간에 따라 Yeast active cell의 수가 감소하며, water에 넣고 조사할때에는 YPD media에 넣고 조사한 것에 비해 급격히 감소함을 볼 수 있다. 셀의 모양 관찰 결과도 water에 넣고 조사할 때, YPD media보다 더 찌그러듬을 볼 수 있다. 플라즈마 조사량에 따라서 Water의 PH 값은 YPD에 비해 급격히 낮아짐을 보인다. pH의 값을 달리하고 SNP와 H2O2가 첨가된 water에 Yeast를 배양시킬 때, pH의 값이 낮아질수록 yeast의 생존도 감소함을 볼 수 있다. 그리고 DNA gel electrophoresis를 통해 플라즈마 처리를 하게되면 Yeast의 DNA 양이 감소하는 것을 관찰할 수 있다. 또한 플라즈마 처리를 3분 하였을 때의 Yeast 세포막으로부터 방출되는 이차전자방출계수는 다른 처리시간에 대한 값에 비하여 확연히 증가하는 것을 볼 수 있다. 이들 사실로부터 플라즈마의 효과로 인해 외부의 전자를 흡수 및 차단할 수 있는 기능을 갖고 있는 Yeast 세포막의 구조가 변형되어 손상되었음을 의미한다.
-
Recently, nonthermal bioplasma has been attracted by researchers due to their potentials to modulate cellular functions resulting in changes of biomolecular electron band structures as well as cell morphologies. We have investigated the secondary electron emission characteristics from the surface of the erythrocyte, i.e., red blood cell (RBC) with and without the nonthermal bioplasma treatment in morphological and biomolecular aspects. The morphologies have been controlled by osmotic pressure and biomolecular structures were changed by well known reactive oxygen species. Ion-induced secondary electron emission coefficient have been measured by using gamma-focused ion beam (
${\gamma}$ -FIB) system, based on the quantum mechanical Auger neutralization theory. Our result suggests that the nonthermal bioplasma treatment on biological cells could result in change of the secondary electron emission coefficient characterizing the biomolecular valence band electron energy structures caused by the cell morphologies as well as its surface charge distributions. -
OLED는 유기재료를 사용하는 특수성 때문에 선명한 고품질의 화질을 얻을 수 있다. 유기재료에 따른 수명이 정해져 있지만 열에 약한 재료를 사용하기 때문에 출력되는 색의 변화를 동반할 만큼 불안정 하다. OLED는 RGB를 순서에 따라 유리 기판 상에 나열한 구조이며 각 화소의 양극과 음극은 가로와 세로로 서로 직교하고 있다. 이러한 OLED의 구조 때문에 직, 간접적으로 전이되는 열과 OLED Device에서 발생하는 자체 열로 인하여 유기소자의 특성이 변형되는, 이른바 열화현상에 쉽게 노출되어 있다. OLED Device를 제작한 후 72시간동안 8V의 전압을 인가하여 열화현상을 촉진시킨 Aging샘플을 확보 하였다. Aging된 Device의 인가전압을 3V ~ 6V까지 변화를 주고 측정해본 결과 각각의 모든 전압에서 Aging Device의 Nomalized Intensity가 상대적으로 20% 감소했음을 확인 하였다. 또한 Aging 된 Device는 As is Device에 비해 단파장 쪽으로 Shift 되는 결과를 보여주었다. 이를 분석하기 위해 CIE 색 좌표계의 NTSC (National Television System(s) Committee)를 이용하였는데, 범위 안에 있던 As is Device의 색 좌표가 Aging 후에는 NTSC범위 밖으로 이동하였는데, 이는 열화현상이 발생하기 전에 비해 방출되는 빛의 파장이 변했다는 것을 의미하며 정확한 색 재현이 안 된다는 것을 보여준다. 또한 I-V특성을 보면 Aging Device의 구동전압 (3.3V) 이 As is Device (2.7V) 에 비해 더 커지는 것을 확인하였는데 이것은 스트레스로 인해서 발생한 트랩에 의하여 캐리어의 이동도가 떨어졌기 때문에 구동 전압이 증가한 것으로 확인할 수 있다. 연구 결과를 통하여 OLED Device의 사용시간 누적에 따른 열화현상은 색 재현성과 휘도의 저하 그리고 구동전압 증가에 영향을 미친다는 것을 확인 하였다.
-
유기발광소자는 고휘도, 넓은 시야각, 빠른 응답속도, 높은 색재현성, 좋은 유연성의 소자특성 때문에 디스플레이 제품에 많이 응용되고 연구가 활발하게 진행되고 있다. 최근에 저소비전력, 고휘도, 소형화 및 장수명의 장점을 가진 유기발광소자의 상용화가 진행되면서 차세대 디스플레이소자로서 관심을 끌게 되었다. 고분자 유기발광소자는 저분자 유기발광소자에 비해 용액 공정법으로 박막을 형성할 수 있어 제조 비용이 적게 들며 대면적 디스플레이를 제작하는데 유리하기 때문에 많은 연구가 진행되고 있다. 고분자 유기발광소자에서 저전력 소자를 위한 저전압 구동 및 전력 효율을 향상시키기 위한 연구는 대단히 중요하다. 본 연구에서는 고분자 유기발광소자의 구동 전압을 낮추기 위해서 그래핀 정공 주입층을 삽입한 고분자 유기발광소자를 화학적 진공 증착법과 용액 공정을 사용하여 제작하였다. 그래핀 정공 주입층을 삽입한 고분자 유기발광소자는 Indium-tin-oxide(ITO) 투명 전극/그래핀 정공주입층/poly(3,4-ethylenedioxythiophene) poly(styrenesulfonate) (PEDOT: PSS)/poly[2-methoxy, 5-(2'-ethyl-hexyloxy)-p-phenylenevinylene] (MEH-PPV) 층/lithium quinolate (Liq)/aluminium (Al) 전극의 구조를 가진다. 그래핀 정공주입층을 삽입한 고분자 유기발광소자에서 향상된 정공 주입능력을 통해 구동전압을 낮아지는 현상을 분석하기 위해서 전기적 및 광학적 특성을 조사하였다. 그래핀 정공주입층의 광학적 특성을 분석하기 위해서 빛의 투과도 측정을 한 결과 90% 이상의 값을 얻었다. 그래핀 정공 주입층이 소자에 미치는 영향을 조사하기 위하여 ITO/PEDOT:PSS소자와 ITO/그래핀 층/PEDOT:PSS 소자를 각각 제작하여 원자힘 현미경 측정을 하였다. 그래핀박막층을 삽입할 경우, 그래핀박막층을 삽입하지 않았을 때보다 표면 거칠기가 감소하는 것을 알 수 있었다. 이는 산성을 띠는 PEDOT:PSS 용액이 ITO 투명 전극을 손상시키는 것을 방지하고, 표면 거칠기를 감소시켜 누설 전류를 낮출 수 있다는 사실을 보여준다. 또한, 그래핀 박막은 높은 전기 전도도를 가지기 때문에 그래핀 정공주입층을 삽입하였을 때, 높은 전류 밀도 및 발광 휘도와 더 낮은 구동 전압을 확인할 수 있었다. 이러한 결과는 ITO와 PEDOT:PSS의 계면에서의 전공의 주입 능력을 그래핀박막층이 향상시켜 저전압, 고효율 소자를 제작할 수 있다는 것을 보여준다.
-
반도체 식각 공정에서 이온의 플럭스와 충돌 에너지를 각각 조절하고자 Dual frequency RF source가 사용된다. 듀얼 freuqnecy RF가 인가된 Capacitively coupled plasma (CCP) 의 경우, 기판에 걸린 Low freuqency (LF) RF 소스에 의하여 이온의 에너지를 조절하고, High frequency (HF) 소스를 조절하여 이온의 플럭스를 조절하는 것이 일반적이다. 그러나 LF의 세기가 증가함에 따라서, 플라즈마의 밀도가 오히려 감소하는 문제점이 있었다. 이 경우, 약한 자장을 플라즈마에 걸어줌으로써 밀도가 감소되는 문제를 해결할 수 있다고 알려져 왔다. Inductively coupled plasma (ICP) 에서는 HF를 안테나에 가하여 이온의 플럭스를 조절하고, LF를 기판에 가하여 이온의 충돌 에너지를 조절하는 것이 일반적인데, 위와 동일한 문제가 이 경우에도 발생하는 것을 확인 하였다. CCP와 마찬가지로, 바이어스에 걸린 파워의 세기가 증가함에 따라서 플라즈마의 밀도가 감소하고 전자의 온도가 증가하는 현상을 확인하였다. 또한 이때에도, 약한 자장을 걸어줌으로써 플라즈마의 밀도가 감소하지 않고 유지될 수 있으며, 전자의 온도 또한 유지될 수 있음을 발견하였다.
-
플라즈마 디스플레이 패널(PDP)은 공정 절차가 간단하고 가격 경쟁력이 매우 뛰어나 일찌감치 대형 평판 디스플레이 시장을 주도해 왔으며 빠른 응답 속도를 기반으로 한 생생한 화질의 구현으로 3D TV 시장에서도 꾸준한 사랑을 받고 있다. 향후 더 큰 화면을 요구하는 PID(Public Information Display) 시장에서도 PDP 는 두각을 나타낼 수 있을 것으로 보인다. 하지만 PDP 는 여전히 LCD, OLED 등의 디스플레이에 비해 발광 효율이 낮고 소비 전력이 높다는 단점을 가지고 있다. 또한 미국 환경청(EPA)과 에너지부(DOE)가 공동으로 마련한 전자 제품의 효율 등급제인 에너지 스타(Energy Star) 제도가 끊임없이 개편되면서 소비 전력에 대한 규제가 점차 강화되고 있기 때문에 발광 효율 및 소비 전력 특성의 개선은 현재 PDP 업계가 해결해야 할 가장 중요한 과제라고 할 수 있다. 발광 효율의 개선과 관련하여 최근에는 PDP의 보호막으로 널리 쓰이고 있는 MgO 보다 2차 전자 방출 계수가 높아 PDP의 구동 전압을 낮추는 동시에 휘도와 발광 효율 특성을 개선시킬 수 있는 신 보호막에 대한 연구가 활발히 이루어지고 있다. MgO를 대체 가능한 신 보호막으로 언급되는 물질은 SrO 혹은 CaO 등이 대표적이다. 하지만 이러한 물질들은 공기 및 수분에 대한 용해도가 높기 때문에 증착된 막이 이후의 공정 과정(합착 및 가열 배기 등)에서 대기 중에 노출 될 경우 심하게 변질될 수 있다. 이러한 문제점을 해결하기 위해서 신 보호막 위에 기존의 MgO 보호막을 얇게 증착하여 공기로부터의 접촉을 차단하거나 펠렛을 제조하는 과정에서 MgO 에 신 보호막 물질을 소량만 첨가하는 등의 방법들이 제안되어 왔으며 그 결과 기존의 PDP 대비 구동 전압을 낮추고 발광 효율을 획기적으로 개선하는데 성공한 결과들이 지속적으로 보고되고 있다. 하지만 신 보호막이 공기 및 수분에 민감한 만큼, 고온의 공정으로 인해 PDP의 하판 유리로부터 상판에 증착된 박막으로 확산되는 불순물에 의해서도 오염되며 이 역시 신 보호막의 특성을 구현하는데 방해 요소로 작용한다. 본 연구에서는 PDP 하판의 불순물이 상판의 박막으로 확산되는 것을 방지하고자 하판 형광체 인쇄전 PECVD 증착법으로 확산 방지막을 1 가량 형성하였다. 이후 SIMS 분석을 통하여 하판 불순물의 확산이 효과적으로 차단됨을 확인하였고 신 보호막의 오염을 최소화하여 결과적으로 PDP의 구동 전압을 낮추고 효율을 획기적으로 개선하는데 기여할 수 있음을 확인하였다.
-
상압에서 12인치 실리콘 웨이퍼 표면처리가 가능한 장치를 개발하였다. 배치타입 공정으로 플라즈마 발생 전극은 직경 340 mm의 대면적 원형 형태을 가지고 있다. 시스템은 탈부착이 가능한 플라즈마 모듈부와 공정챔버로 나누어지며 균일도를 높이기 위해 웨이퍼스테이지는 가열, 회전 및 축간 조절이 가능하게 설계하였다. 플라즈마발생은 DBD 전극방식을 채용하고 있으며 공정가스흐름 및 전극배열 등을 연구하였다. 또한, 기판 온도, 가스 조합 등의 공정파리미터를 변화시켜가며 높은 애슁 속도 및 균일도를 얻기 위한 실험이 진행되었다. 주파수 15 kHz, 인가 파워 7 kW, 시편 가열 온도 95도, 60 rpm, 80 spm에서 분당 200 nm의 PR제거율을 확인하였다.
-
The vibrational distribution of
$N_2$ (B, v') in after-glows in$N_2$ RF flowing plasma was investigated. The optical emission of the after-glow was studied as function of distance from plasma. In a tube 2.1 cm, the gas pressure varied 8 Torr with 1000sccm nitrogen gas flowing late.. The discharges were excited by two ring-electrode powered by RF 13.56 MHz 100 Watt.$N_2$ (B, v') vibrational distribution was analyzed to see depends of position in after-glow. Dissociation rate of$N_2$ varied showing maximum in the late after-glow region. We studied$N_2$ RF capacitive flowing plasmas and afterglows by emission spectroscopy and by NO titration to determine the density of N-atoms. -
Ballast capacitor에 저장된 에너지로 방전 에너지를 조절할 수 있는 방전장치를 개발하였다. 본 연구에서는 ballast capacitor의 용량을 조절하여 micro-size의 아크 플라즈마를 발생시켰으며, 용량변화에 따른 플라즈마의 온도를 측정하였다. 또한 ICCD camera를 이용하여 ballast capacitor의 용량변화에 따른 cathode의 변화를 관찰하였다. Optical Emission Spectroscopy를 통하여 플라즈마의 광학적 특성을 분석하였다. 마이크로 아크 방전의 주파수를 높이기 위하여 switching device를 병렬로 연결하여 아크 플라즈마를 구동시켰으며, 발생된 마이크로 아크 플라즈마는 정밀방전 가공에 응용되었다. 본 연구는 2011년도 지식경제부의 재원으로 한국에너지 기술평가원(KETEP)의 지원을 받아 수행한 연구 과제입니다(No. 20104010100670).
-
External ballast capacitor를 이용하여 방전에너지를 제어하는 대기압 플라즈마 발생장치를 개발하였다. 기존은 대부분의 대기압 플라즈마 발생장치는 DBD를 이용하여 방전전류를 제어하였으나, 본 연구에서는 유전체를 없이 두 금속전극 사이에서 플라즈마를 발생시켰다. 외부에 연결된 External ballast capacitor에서 방전에너지가 전극 쪽으로 주입되도록 하였다. 따라서 capacitor의 용량과 인가전압에 따라 플라즈마의 방전에너지를 제어할 수 있다. 본 연구에서는 Optical Emission Spectroscopy와 ICCD Camera를 통하여 개발된 플라즈마 발생장치의 온도특성, 밀도와 같은 광학적 특성을 관찰하였으며, 아울러 전기적 특성도 함께 관찰하였다. 이 논문은 2011년도 정부(교육과학기술부)의 재원으로 한국연구재단의 기초연구사업 지원을 받아 수행된 것임(2011-0004827).
-
RF biased inductively coupled plasma (ICP) has been widely used in various semiconductor etching processes and laboratory plasma researches. However, almost researches for the RF bias have been focused on the controls of dc self-bias voltages, even though the RF bias can change plasma parameters, such as electron temperature, plasma density, electron energy distribution (EED), and their spatial distributions. In this study, we report on the effect of the RF bias on the plasma parameters and the EEDs with various external parameters, such the RF bias power, the ICP power, the gas pressure, the gas mixture, and the frequency of RF bias. Our study shows the correlation between the RF bias and the plasma parameters and gives a crucial key for the understanding of collisionless electron heating mechanism in the RF biased ICP.
-
평행평판 축전 결합 플라즈마는 증착이나 식각 등 많은 공정장비에서 사용된다. 이때 구동주파수를 높여주거나 리액터의 크기를 증가시킬 경우, 플라즈마 밀도가 불균일해진다. 플라즈마 밀도는 플라즈마 내 전기장 분포의 균일도와 관련이 있는 것으로 전기장 분포를 균일하게 만드는 것은 매우 중요하다. 이전 연구에서는 충돌 주파수(공정 압력)가 전기장의 분포에 미치는 영향에 대해 발표하였다 [1]. 본 연구에서는 구동 주파수, 충돌 주파수(공정 압력), 플라즈마 주파수(플라즈마 밀도)가 전기장 분포에 미치는 영향을 알아보았고, 이들의 상관관계를 분석하였다. 플라즈마 주파수(플라즈마 밀도)와 충돌 주파수(공정 압력)는 전기장 분포의 균일도에 영향을 주는 변수이지만 이 둘은 반대 영향을 미쳤다. 따라서 두 주파수가 전기장 분포에 미치는 영향이 균형을 이룰 때 균일한 전기장 분포를 얻을 수 있었다. 이 때 구동 주파수가 증가할수록 균일하게 하는 두 주파수의 영역이 줄어들어 높은 구동 주파수에서는 전기장 분포를 균일하게 하기 어려웠다. 이러한 관계를 이용하여 일정한 구동 주파수와 플라즈마 주파수에서 전기장 분포의 균일도를 10% 이내로 하기 위해 충돌 주파수를 결정할 수 있는 방정식을 구하고, 충돌 주파수와 플라즈마 주파수, 그리고 구동 주파수가 전기장 분포를 균일하게 하는 이들의 관계를 살펴 보았다.
-
최근에 현대 물리학과 과학의 정점에 있는 초전도가속기 같은 고에너지 빔 가속기 시스템에서 Diocotron instability의 연구가 재조명 되고 있다. 환형의 전자 빔 사이로 프로톤 빔을 통과시키는 구조로써 자기장과 회전하는 전자빔의 상호작용에 기초를 두고 있으며 이 환형의 전자 빔이 고에너지의 프로톤 빔을 집속하는 역할을 한다. 하지만 전자빔이 진행함과 동시에 왜곡되는 현상이 발생하는데 이 왜곡되는 현상을 충분히 조절하지 못한다면 프로톤 빔의 손실과 가속하는 빔의 에너지 저하를 초래하게 될 것이고 또한 실험장치 자체에도 큰 결함을 발생 시킬 수 있다. 따라서 Diocotron instability는 가속기를 활성화 하는데 주요한 테마가 될 것이다. 환형의 전자 빔 층은 정전기적 효과로 인해서 안쪽과 바깥쪽의 속도차가 발생하게 되고 이로 인하여 drift instability 가 발생하게 되어서 왜곡이 발생하고 결국에는 몇 개의 소용돌이를 생성하게 된다. 본 연구에서는 이를 2차원 원통형 구조의 Particle-in-cell 시뮬레이션을 통하여 연구하였으며 자기장의 효과에 따른 환형의 전자빔의 왜곡현상을 지연시키는 방안에 중점을 두었다. 특히 자기장의 세기, 전자빔의 밀도, 전자빔 층의 두께, 전자빔의 프로필의 차이에 의한 결과로 연구하였다.
-
플라즈마 내에서 수직으로 인가된 전자계에서의 전자와 이온을 포함한 하전 입자들의 이동현상은 이미 널리 알려져 있다. 그러나 음이온이 존재하는 플라즈마에서의 하전입자들의 운동은 복잡하고 아직 충분히 해석되지 못하였다. 따라서 전기장 E와 자기장 B가 서로 수직으로 인가된 플라즈마 내에서 음이온을 포함한 하전 입자들의 운동에 대한 해석이 필요하다. 본 논문에서는 플라즈마 공정에서 발생된 음이온의 운동을 이해하기 위해 E B 드리프트 운동을 이론적으로 계산하였다. 결과적으로 음이온의
$E{\times}B$ 드리프트 운동은 전자나 이온과는 반대 방향으로 이동을 하였고, 드리프트 속도는 홀 파라미터의 값에 따라 달라짐을 보였다. 따라서 서로 수직으로 인가된 전자기장에 의한$E{\times}B$ 드리프트 운동을 통해 플라즈마의 방전 공간에서 음이온을 제어할 수 있음을 알 수 있었다. -
Sputtering은 박막의 품질(부착력, 밀도, 균일도등)이 우수하고 대면적 증착이 용이하여 반도체, 디스플레이, MEMS기술등과 같은 첨단산업에서 널리 이용되고 있는 증착방법이다. 일반적인 평판형 스퍼터건은 전계와 자계가 직교하는 Target의 일부영역에서만 스퍼터링 현상이 발생하게 되어 증착물질의 사용효율이 20~30% 정도로 좋지 못하고 스퍼터링 되지않는 부분에서는 재증착 현상에 의한 파티클 발생을 유발하여 Substrate에 손상을 입혀 박막의 질을 떨어뜨리게 된다. 본 연구에서는 이러한 문제점들의 물리적 현상의 진단 및 최적화를 위해 Particle-In-Cell (PIC)시뮬레이션을 이용하여 그 특성들을 알아보았다. 인가전압, 압력, 증착물질과 기판사이의 거리를 변화시켜 자기장이 포함된 Paschen curve를 그렸다. 전기장만이 포함된 시스템에서의 Paschen curve는 이미 공식으로 알려져 있으며 마그네트론 스퍼터링의 시스템에서 Paschen curve와 비교하여 보다 낮은 압력에서 플라즈마가 형성할 수 있는 것을 확인하였다. 또한 Target에 충돌하는 아르곤이온의 양, 에너지 분포, 각도의 분포 등을 관찰하였는데, 대부분의 아르곤이온은 압력이 증가할수록 에너지가 큰 경향성을 가지며 입사각도는 Target에 보다 수직으로 충돌하는 경향을 볼 수 있었다. 증착물질과 기판사이의 거리의 변화에 대해서는 이온 특성의 변화는 없었다.
-
산업의 발전함에 따라 고기능성 박막의 수요가 증가하고 있으며, magnetron sputtering, e-beam evaporation, ion beam 등을 이용한 박막 증착에 대한 연구가 많이 진행되고 있다. 그러나 기존 방법만으로는 박막 접착계면의 불균일로 인해 고기능성 박막 성장이 어렵다는 단점을 가지고 있다. 이러한 문제를 해결하기 위하여 박막 공정 중 고밀도 플라즈마 소스(high density plasma source)를 통해 추가적인 에너지를 인가하여 박막의 밀도를 bulk 수준으로 증가시키고 내부 응력을 조절하는 연구에 대한 관심이 커지고 있다. 특히 grid를 이용하여 플라즈마 내 이온의 입사에너지를 증가시킴으로써, 기존 공정보다 고기능성 박막을 구현할 수 있다. 본 연구에서는 RF power를 이용한 inductively coupled plasma를 통해 플라즈마를 생성시킨 후 grid에 DC power를 인가하는 플라즈마 소스를 개발하였으며, 시뮬레이션을 통해 plasma density와 ion current density, ion energy 분석 및 grid 디자인을 하였다. 개발된 플라즈마 소스는 ion energy analyzer를 통해 RF power 및 grid에 인가하는 power의 세기에 따라 이온화 정도 및 이온의 입사에너지를 측정하였다.
-
직류 아크 토치를 이용하여 열플라즈마를 발생시키는 방법은 전극의 구성에 따라 크게 비이송식(non-transferred)과 이송식(transferred)의 2가지 형태로 나눌 수 있다. 1950년대 H. Maecker 등에 의해 이론적 기초가 형성되기 시작한 이송식 아크 플라즈마 발생장치는 처리 대상물질을 전극으로 사용하여 양극에서의 에너지 전달을 직접 이용할 수 있으므로 열효율이 매우 높기 때문에 이를 이용한 고출력 토치에 관한 활발한 연구가 지속되고 있다. 본 연구에서는 대기압 아르곤 자유연소아크 방전에 의해 발생되는 열플라즈마의 열유동 특성을 수치적으로 해석하기 위하여 아크 기둥의 온도, 압력 및 속도 특성을 Navier-Stokes 방정식과 Maxwell 방정식을 연계 계산하였다. 또한 아크-전극 상호작용(arc-electrode interaction) 모델링을 통한 양극(anode)인 처리 대상물질로의 에너지 플럭스 유입을 고려하여 전극 내부의 온도분포를 계산하였다. 해석결과를 검증하기 위하여 음극과 양극 사이 플라즈마 기둥(column)의 중심축 온도는 Haddad & Farmer(1984)의 실험데이터와 비교하였고, 양극으로의 에너지 플럭스 및 온도분포 데이터는 Bini 등(2006)의 실험 및 해석데이터와 비교하여 만족스런 일치를 확인하였다.
-
This study was evaluated on etcher of capacitive coupled plasmas with OES (Optical Emission Spectroscopy) and impedance by VI probe that are widely used for process control and monitoring at semiconductor industry. The experiment was operated at conventional Ar and C4F8 plasma with variable change such as pressure and addition of gas (Atmospheric Leak: N2 and O2), RF, pressure, that are highly possible to impact wafer yield during wafer process, in order to observe OES and VI Probe signals. The sensitivity change on OES and Impedance by Vi probe was analyzed by statistical method to determine healthy of process. The main goal of this study is to understand unwanted tool performance to eventually improve productive capability. It is important for process engineers to actively adjust tool parameter before any serious problem occurs.
-
플라즈마 디스플레이 패널(PDP)는 미소체적의 dielectric barrier discharge (DBD)를 이용한 한 예로 볼 수 있다. PDP 셀은 실험을 통하여 방전 특성을 분석하기에 아주 작은 크기이기 때문에 시뮬레이션을 이용하는 것이 방전 특성을 분석하기에 유용하다. 시뮬레이션 방법 중 유체 시뮬레이션은 높은 압력에서 기체 방전을 분석하기에 아주 유용한 방법이다. PDP 전극 각도를 바꿈으로써 발광 효율을 높일 수 있음이 논문으로 발표 되었다. 이 발표에서는 2차원 유체 시뮬레이션을 사용하여 전극의 각도 변화뿐만 아니라 전극 간격과 압력 변화에 따른 방전 특성 변화를 연구하였다. 평판 전극의 각도 변화에 따라 전기장 세기, 방전 공간 내 전하 및 여기된 입자수 및 분포, 방전 개시 전압 등의 진단을 통하여 결과를 분석하였다. 전극 간격이 길어질수록 convex 구조의 효율 증가가 크게 나타났으며 압력이 커질수록 concave 구조의 효율 증가가 크게 나타났다.
-
A 2.45 GHz electron cyclotron resonance (ECR) plasma source with a belt magnet assembly configuration (BMC) was developed for hyperthermal neutral beam (HNB) generation. A plasma source for high flux HNB generation should be satisfied with the requirements: low pressure operation, high density, and thin plasma. The ECR plasma source with BMC achieved high density at low operation pressure due to electron confinement enhancement caused by high mirror ratio and drifts in toroidal direction. The 2.45 GHz microwave launcher had a circularly bended WR340 waveguide with slits. The microwave E-field profile induced by the microwave launcher was studied in this paper. The E-field profile was a cups field perpendicular to B-filed at ECR zone. The optimized E-field profile and B-field were found for effective ECR heating.
-
반도체 공정 중 플라즈마를 이용하는 식각 공정의 경우, 실제 식각률이나 profile의 특성은 RF Bias power에 의해 기판에 당겨지는 이온 선속에 의해 큰 영향을 받는다. 때문에 플라즈마 발생장치 내에서 기판에서의 이온에너지 분석이 중요해지고 있다. 이온에너지 분석을 위해 다양한 형태의 이온에너지 분석기가 나와있으나 기판 위에 얹혀있는 양상이었다. 이에 본 발표에서는 실제 기판 안에 이온에너지 분석기를 설치함으로써 실제 기판에서 wafer가 받는 이온들의 양상에 더 가깝게 접근했다. 이렇게 제작 된 이온에너지 분석기를 이용해 대면적 M-ICP(Magnetized-Induced Coupled Plasma)에서 아르곤 가스를 이용한 플라즈마에서 기판 이온 에너지 분포를 확인해 보았다. 압력의 변화, ICP Source power의 변화, 일정한 Source power에서 기판에 가해지는 Bias power의 변화에 대해 측정함으로써 각각의 조건 변화에 따른 이온들의 변화양상에 대한 이해를 할 수 있었다.
-
본 연구에서는 최대전압 600 KV, 최대전류 88 KA, 펄스 폭 60 ns의 특성을 가지는 고전압 펄스 시스템 '천둥'을 이용하여 방전 챔버에 고전압 펄스를 인가하고
$N_2$ 와$SF_6$ 혼합기체 종류와 여러 가지 기체압력에서 전기 트리거를 이용한 방전현상을 전기, 광학적으로 연구하였다. 전극은 구리텅스텐 합금재질의 표준전극을 사용하였고, 전극 간격은 10 mm로 고정하였다. 방전 챔버 압력을 100 torr, 1기압, 2 기압에서 실험을 진행하였고,$N_2$ 에 대한$SF_6$ 의 혼합비율을 0~100%까지 변화시키며 실험을 진행하였다. 전기 트리거 신호가 인가된 펄스 방전 스위치의 방전전압 및 방전 기작원리, 트리거 스위칭 지연시간, 트리거 절연파괴 기작원리, 그리고 이때 생성된 플라스마의 전자 온도 및 밀도에 관한 전기광학 특성 등에 관한 기초연구를 수행하였다. 트리거 펄스가 있을 때의 방전개시전압은 트리거 지연시간 20 us 에서 최소가 되는 특성을 보이며, 이때의 SF6 함량에 따른 최소방전전압과 트리거 펄스가 없을 때의 방전전압을 서로 비교하였다. 이를 통하여 A-K gap 10 mm 조건에서 20 us의 트리거 펄스의 지연시간을 가지는 방전 개시전압은 트리거 펄스가 없을 때 전극 간격이 6 mm에 해당되는 방전개시 전압 값을 가짐을 실험적으로 보였다. 이는 트리거 펄스에 의하여 전극 주위에 쉬스가 형성되며, 이로 인한 전극 간격이 가까워지며, 이와 같은 효과 때문에 방전개시전압은 그만큼 낮아지는 것으로 해석 할 수 있다. -
Lee, Min-Gyeong;Jeong, Jong-Yun;Kim, Yun-Jung;Han, Guk-Hui;Gang, Han-Rim;Kim, Jung-Gil;Lee, Won-Yeong;Kim, Hyeon-Cheol;Jo, Gwang-Seop 504
Gas 종류에 따른 플라즈마 제트 장치의 방전 특성을 조사하였다. 고전압 전극으로 내경 0.26 mm, 외경 0.52 mm인 의료용 바늘을 사용하였으며 바늘을 유리관 내부에 삽입하여 4가지 gas를 주입시킨다. Gas의 종류는 Ar, He, Ne, 그리고$N_2$ 이다. Ar과 He의 방전 개시 전압은 각 1.2 kV, 1.0 kV이고 보라색 플라즈마가 방출된다. Ne 방전은 방전 개시 전압 시작 시점인 0.3 kV부터 유리관 밖으로 길게 방출 되며 주황색 플라즈마가 방출된다. 특히, Ne gas는 전기적 쇼크가 전혀 없다.$N_2$ gas는 방전개시전압이 2.0 kV로 가장 어려우며 유리관 밖으로 플라즈마 방출되지 않는다. 각 gas 별 스펙트럼의 특성도 파악하여 어떤 gas가 인체 및 생체에 적합한지 파악한다. -
Kim, Jung-Gil;Han, Sang-Ho;Kim, Hyeon-Cheol;Jeong, Jong-Yun;Kim, Yun-Jung;Gang, Han-Rim;Cha, Deok-Bong;Kim, Jeong-Hyeon;Jo, Gwang-Seop 505
플라즈마 제트에서 발생하는 전기적 충격을 제거하기위한 특성을 조사하였다. 바이오 플라즈마 연구에 사용되는 대기압 플라즈마 제트는 일반적으로 아르곤 등의 불활성 가스를 주입하고 고전압을 전극에 인가하여 플라즈마를 발생하는 방식이다. 저주파(수십~수백 kHz) 전원 장치로 발생하는 일반적인 플라즈마 제트에서의 전기적 데미지는 전류 값이 2 mA 이상일 때 발생한다. 본 실험에 사용한 장치는 석영관의 양단 끝에서 가스를 주입하여 석영관 중앙에 위치한 홀로 가스가 빠져나가는 구조이다. 석영관 양단 끝에 위치한 전극에 서로 반대 위상의 교류전원을 인가하고, 그로 인해 발생된 플라즈마는 중앙에 위치한 홀로 방출된다. 따라서 홀이 위치한 석영관 중앙의 전압은 수십 V로 측정되었으며, 이로 인한 전기적 충격이 없었다. -
플라즈마 제트 장치를 이용하여 두 개의 플라즈마 plume을 평행하게 발생시킨다. 두 개의 플라즈마 plume의 전위차를 전극에서부터 plume 끝단까지 위치별로 측정한다. 두 개의 플라즈마 제트 장치에 인가하는 전압의 위상이 서로 반대일 경우, 두 개의 플라즈마 plume의 전위차로 인하여 plume사이에 streamer가 발생한다. 대기압에서 streamer가 발생하려면 십여 kV 이상의 전위차가 있어야 한다. 반대로 동일한 위상의 전압을 인가할 경우, 두 개의 플라즈마 plume의 전위차는 없기 때문에 plume사이에 streamer가 발생하지 않는다. 두 개의 플라즈마 제트 장치를 등가회로로 구성하고 위상차로 인한 streamer 발생여부를 확인한다. 그리고 두 개의 플라즈마 plume 사이에 발생한 streamer와 플라즈마 제트 장치를 등가회로로 구성하여 발생시킨 streamer 양을 비교한다. 이를 통해 플라즈마 plume의 전위차를 확인한다.
-
컷오프 진단법은 두 개의 탐침 형태로 제작된 마이크로 웨이브 진단법으로, 간단한 수식을 통해 전자밀도, 전자온도 등을 측정할 수 있다. 컷오프 탐침은 방사 안테나, 측정 안테나와 네트워크 분석기로 구성되어 있다. 네트워크 분석기는 두 안테나 사이의 플라즈마 투과 스펙트럼을 만드는데 쓰이며, 스펙트럼 분석을 통해 플라즈마 변수들을 측정할 수 있다. 이 진단법은 장치나 분석방법이 매우 간단한 장점을 지니며, 약 1 mW 정도의 적은 파워를 사용하여 플라즈마 상태를 거의 변화시키지 않는 측정이 가능하다. 또한 CF4와 같은 공정 가스를 이용한 플라즈마에서도 사용이 가능하다. 그러나 컷오프 진단법을 사용한 측정은 다른 종류의 진단법과 마찬가지로, 약 1초 정도의 긴 시간을 필요로 하는 단점이 있어, 펄스 플라즈마나 토카막과 같이 빠르게 변하는 플라즈마를 측정하기에는 무리가 있다. 컷오프 탐침의 시간 분해능을 향상시키고자, 최근에 푸리에 컷오프 탐침(FCP)이 개발되었다. 펄스 형태의 단일신호를 플라즈마를 투과하기 전후로 비교하면 투과 스펙트럼 및 플라즈마 변수들을 얻을 수 있으며, 측정시간을 약 15 ns 정도로 줄일 수 있었다. 이 방법의 신뢰도 및 성능은 이미 CW 플라즈마와 펄스 플라즈마에서 확인되었다. 본 연구에서는 FCP의 초고속 측정의 장점을 이용해서 13.56 MHz의 CCP 및 400 kHz의 CCP에서 RF 위상에 따라 변하는 플라즈마를 측정하였으며, 이에 따라 RF CCP에서의 전자의 동역학 분석을 할 수 있었다.
-
CST microwave studio is used to simulate the plasma profile of the 450mm CCP source. Standing wave effect becomes important at the high frequency as the electrode radius increases. To solve plasma non-uniformity problem, we designed multi electrode chamber to decreasing standing wave effect. Simulation showed the ratio of input power of each electrode is related with electric field strength. The multi electrode was constructed and measured by 2D probe arrays using floating harmonic method. Uniformity of 450 mm CCP was changed by the ratio of input power of each electrode. We described this dependence with circuit model.
-
Jo, Seong-Jin;Park, Yeon-Su;Han, Jun-Yong;Jeong, In-Su;Lee, Min-Yong;Kim, Jae-Hong;Hwang, Won-Taek 509
RF는 사이클로트론에서 빔을 원하는 에너지로 가속하기 위해 쓰인다. MC50 사이클로트론에는 두 개의 DEE가 있고 각각 독립된 LLRF모듈과 증폭기를 통해 제어된다. 주요 제어변수는 DEE1,2의 Voltage와 양단간의 Phase인데 이는 RF Generator에서 특정 주파수로 발생된 RF 시그널의 Amplitude와 Phase를 RF Modulator에서 변조하므로 제어되어진다. 지금 현재의 Modulator는 오래되어 DEE Voltage의 컨트롤이 잘 이루어지지 않고 있고 가끔 연결부위에서 문제를 보여 새 Modulator를 제작하게 되었다. 새로 제작된 Modulator를 구형과 비교해 볼 때 Driving Amplifier에서 소모되는 전력이 7~14% 줄어드는 효과를 볼 수 있었다. -
반도체, 디스플레이, 태양광 등의 공정에서 사용되는 웨이퍼의 크기가 증가하고, 생산률이 플라즈마의 밀도에 비례한다는 연구 결과가 발표되면서 대면적 고밀도 플라즈마 소스 개발에 대한 연구가 활발히 진행되고 있다. 특히, ECR, ICP, Helicon plasma 등 고밀도 플라즈마 소스에 대한 관심이 높아지고 있다. 이에 따라, 여러 개의 ICP를 결합한 multiple ICP를 이용해 대면적 고밀도 플라즈마 소스 개발을 진행했다. Multiple ICP의 경우 각 ICP 소스에 같은 power (current)를 공급해야만 균일한 플라즈마 방전이 발생되어 균일도를 확보 할 수 있다. Current controller 같은 추가적인 장비를 설치하지 않고, power를 분배하는 transmission line을 coaxial 형태로 설계하고 같은 길이로 병렬 연결함으로써 각각의 ICP소스에서 균일한 플라즈마를 방전시킬 수 있었다. Power generator에서 보는 각 ICP의 total impedance는 각 ICP 소스의 impedance와 coaxial 형태의 transmission line의 characteristic impedance, frequency, 길이의 함수로 구할 수 있고, 이 total impedance가 일정하기 때문에 current가 균등하게 분배되어 각 ICP소스에 균등한 power 분배가 가능한 것이다. 실질적으로 ICP 소스의 impedance는 플라즈마 방전 유무에 따라 변화하기 때문에 일정하게 유지하는 것은 어렵다. Transmission line의 characteristic을 사용함으로써 ICP의 impedance의 변화에 상관없이 Total impedance를 일정하게 유지시킴으로써 균등한 power 분배가 가능하다는 것을 연구했다. Frequency는 13,56MHz, characteristic impedance를
$50{\Omega}$ (coaxial cable)으로 고정하고, ICP 소스의 플라즈마 방전 유무/antenna turn/소스 위치에 따른 total impedance를 transmission line의 길이에 따라 측정하고, 이를 이론값, 그래프와 비교하였다. 특정 length에서 플라즈마 방전 유무(ICP의 impedance 변화)와 상관없이 비교적 일정한 total impedance를 유지하는 것을 확인 했다. 이것은 특정 길이를 갖는 coaxial형태의 transmission line를 연결하면, total impedance는 플라즈마 방전 유무로 발생하는 ICP의 impedance 변화와 상관없이 일정하게 유지되어 각 ICP소스에 균등한 파워 분배가 가능하다는 것을 보여준 결과이다. 이것을 토대로 frequency에 따라(또는 characteristic impedance에 따라) 균등한 파워 분배가 가능한 coaxial 형태 transmission line의 특정 길이를 구할 수 있고, 대면적 소스에서 균등한 파워 분배를 위한 병렬연결에 적용할 수 있을 것이다. -
Lee, Seok-Gwan;On, Yeon-Gil;Choe, Min-Sik;Lee, Ju-Ho;Park, Jae-Ung;Kim, Hui-Su;No, Seung-Jeong 511
핵 융합로는 고밀도, 고에너지 플라즈마에 지속적으로 노출되며 고열부하 및 중성자, 플라즈마 이온에 의한 물성변화에 대한 다양한 핵 융합로 구축 재질의 실험데이터가 요구된다. 특히 핵 융합 반응의 핵심연료인 삼중수소의 재질별 누설거동 특성은 삼중수소의 블랑켓에서의 증식율, 열 교환기 및 공급과 회수과정에서의 손실율, 저장, 취급 및 차폐 등의 계산에 활용되므로 핵 융합로의 안전성과 경제성 확보 측면에서 매우 중요하다. 따라서 핵 융합로 구축 재질 선정시 삼중수소의 누설거동 특성은 반드시 고려되어야 한다. 본 연구는 삼중수소 누설거동 특성 해석을 위한 기초실험으로, 수소동위원소를 사용하는 누설거동실험 장치를 설계 제작하여 누설 거동실험을 수행하였다. 누설 가스로는 수소를 사용하였고, 시편은 스테인레스 스틸(SUS-304)을 사용하였으며, 시편의 가열온도는 500, 600, 700,$800^{\circ}C$ 에서 각각 수소누설거동 실험을 실시하였다. 수소에 대한 SUS-304 재질의 permeability, diffusivity, solubility에 대한 실험 결과를 발표하고자 한다. -
최근 저온 대기압 플라즈마 장치의 개발로 대기 및 수질 환경, 바이오 메디컬분야로의 응용 연구가 활발히 진행되어 공기 중 플라즈마의 살균 및 정화효과에 대한 많은 결과가 발표되어 왔다. 본 연구는 면방전 구조의 DBD플라즈마 소스를 제작하여 He과 Ar 기체를 유입하여 미생물인 E.Coli의 변화를 관찰하였다. 면방전 구조의 DBD플라즈마 소스는 1.8 mm 두께의 유리기판위에 포토리소그라피 공정으로 미소전극을 형성하여 고밀도의 방전 셀을 형성하였으며 방전시 발생하는 열 효과를 제어하기 위하여 냉각장치를 제작하여 장착했다. 또한 유리기판과 포토 리소그라피 공정은 방전영역에 제한없이 다양한 크기의 소스제작이 가능하다. 셀 피치가
$400{\mu}m$ 이며$cm^2$ 당 200여개의 방전 셀로 구성되어 있어서 기존 메쉬타입의 DBD플라즈마 장치에 비해 균일하게 플라즈마를 조사할 수 있으며 플라즈마 제트 장치에 비해서는 넓은 면적을 동시에 조사할 수 있게 되었다. Ar 과 He기체를 3 L/min의 유량으로 방전공간에 유입하면서 1kV의 구동전압으로 플라즈마를 발생 하였으며, 플라즈마의 조사시간을 20 s, 40 s, 60 s 간격으로 변화를 주어 E.Coli의 변화를 관찰하였다. -
Kim, Hui-Su;On, Yeon-Gil;Lee, Seok-Gwan;Choe, Min-Sik;No, Seung-Jeong;Gwon, Jin-Jung;Park, Jun-Gyu;Lee, Cheol-Ui 513
핵 융합로의 대면재질(Plasma Facing Material; PFM)은 고온의 플라즈마와 고 에너지의 이온들에 지속적으로 노출 된다. 특히 PFM은 흡착되는 기체 등에 의한 부식과 변형이 발생할 수 있다. 현재 핵 융합로 내부의 PFM으로 고려되고 있는 재질 중 하나인 고순도 탄소타일의 경우 고온의 수소동위원소 플라즈마에 직접적으로 노출되므로 이에 의한 탄소타일에 흡착되는 수소 등의 기체에 대한 정량적인 분석방법이 필요하다. 본 연구는 고순도 탄소타일 등과 같은 플라즈마 대면재료에 흡착되어 있는 물질의 정량적 분석이 가능한 TDA (Thermal Desorption Analyzer)의 개념 설계에 관한 것이다. TDA는 고온 가열($800^{\circ}C$ 이상) 및 시료 장착부 및 초고진공(~10-9 torr) 및 측정부의 두 부분으로 구성 하였다. TDA 설계시 고온 가열 및 시료 장착부는 시료 내부에 흡착되어 있는 기체의 효과적 탈착을 위한 가열 및 시료의 모양에 영향을 받지 않는 장착방법, 시료 장착부의 outgassing rate를 최소화 하는 재질 선정 등을 고려하였으며, 초고진공(~10-9 torr) 및 측정부는 초고진공 유지방법, 터보펌프 배기속도 실측을 위한 구조, 진공측정 ion 게이지, 잔류가스분석기(Residual Gas Analyzer)의 최적위치 설정 등을 고려하여 설계하였다. 개념 설계된 TDA에 대하여 발표하고자 한다. -
면방전 구조의 대가압 DBD플라즈마 소스를 제작하여 Ar과 N2 기체를 유입하여 미생물인 Fungi의 변화를 관찰하였다. 면방전 구조의 DBD플라즈마 소스는 유리기판위에 포토리소그라피 공정으로 미소전극을 형성하여 고밀도의 방전셀을 형성하였다. 방전시 발생하는 열에 의한 효과의 제어를 위하여 냉각장치를 장착하였다. 또한 유리기판과 포토리소그라피 공정은 방전영역에 제한없이 다양한 크기의 소스제작이 가능하다. 셀 피치가
$400{\mu}m$ 이며$cm^2$ 당 200여개의 방전 셀로 구성되어 있어서 기존 메쉬타입의 DBD플라즈마 장치에 비해 균일하게 플라즈마를 조사할 수 있으며 플라즈마 제트 장치에 비해서는 넓은 면적을 동시에 조사할 수 있게 되었다. Ar 과 N2기체를 3 L/min의 유량으로 방전공간에 유입하면서 1 kV의 구동전압으로 플라즈마를 발생하였다. 이 경우 플라즈마의 조사시간을 20 s, 40 s, 60 s 간격으로 변화를 주며 Fungi의 변화를 관찰하였다. -
Yun, Myeong-Su;Jo, Lee-Hyeon;Kim, Dong-Hae;Jo, Tae-Hun;Jeon, Bu-Il;Jo, Gwang-Seop;Gwon, Gi-Cheong 515
일반적으로 저온 대기압 플라즈마는 표면개질이나 의료도구, 식수등 살균장치에 많이 이용되고 있으며 현재에는 혈액응고, 치아 치료등의 바이오분야에도 활발한 연구가 진행되어지고 있다. 그러나 저온 대기압 플라즈마는 가스 유량, 전극간격, 물질, 모양에 따라 인가되어지는 전자기장이 특성이 상이하다. 본 연구에서는 시뮬레이션을 이용하여 저온 대기압 플라즈마 젯(jet) 소스에서 전극의 간격에 따른 전자기장의 세기를 계산하였고, 전극의 거리별 저온 대기압 플라즈마 젯(jet) 소스에서 인가되는 전압과 비교를 해보았다. 그 결과 시뮬레이션 값과 실험결과가 유사한 경향을 보이는 것을 본 연구에서 확인할 수 있었다. -
약한 자기장 (~20 G)이 인가된 유도 결합 플라즈마 장치는 고효율, 높은 균일도의 플라즈마를 생성할 수 있다. 그러므로 이 장치에 대한 변수 제어뿐만 아니라, 전자 싸이클로트론 공명(Electron cyclotron resonance) 현상에 의한 방전 특성에 대한 연구는 매우 중요하다. 그에 연관된 여러 연구가 있었지만, 대부분의 연구는 평판형 유도 결합 플라즈마에서 진행되었다. 그에 따라서, 본 연구는 솔레노이드 형태의 유도 결합 플라즈마 장치에서 플라즈마 변수에 대한 약한 자기장의 영향을 살펴보았다. 실험에 사용된 인가주파수는 13.56 MHz에서 27.12 MHz였으며, 다양한 압력과 전력에서 실험이 진행되었다. 이러한 솔레노이드 형태의 유도 결합 플라즈마에서의 플라즈마 변수는 국부적인 특성을 보였으며, 평판형 유도 결합 플라즈마와 비교/분석을 진행하였다.
-
높은 전력 효율과 간단한 매칭 네트워크의 구조 등 많은 장점을 갖고 있는 직경 560 mm 페라이트 챔버가 대면적 웨이퍼에 대응하기 위해 개발 되었다. 플라즈마 소스원이 챔버 외곽에 위치해 있는 구조적 특성으로 인하여 아르곤 가스 방전 시 플라즈마 밀도 분포는 챔버 중앙부가 낮게 나타나는 볼록한 모양으로 형성 되는데 헬륨 가스를 적절히 혼합할 시에 밀도 분포가 변화가 관찰된다. 헬륨 가스 혼합 비에 따라 플라즈마 밀도 분포는 균일도가 매우 높아 질 수 있으며 60% 이상의 혼합비에서는 중앙 부분의 밀도가 최대치로 역전되는 오목한 밀도 분포가 나타나기도 한다. 이는 헬륨 가스의 대표적인 특징인 가벼운 질량과 높은 이온화 에너지 등에 기인하는데 이러한 특징을 갖는 헬륨 가스를 주입하게 되면 전자의 energy relaxation length가 늘어나게 되며 ambipolar diffusion 계수가 증가하게 된다. 랑뮈어 프로브를 이용하여 측정된 플라즈마 밀도 분포 변화는 앞서 계산 된 energy relaxation length 및 ambipolar diffusion 계수들의 변화로 설명된다.
-
공정 수율 향상을 위한 웨이퍼의 대면적화는 공정 반응용기의 부피변화를 수반한다. 반응용기의 부피가 커지면 플라즈마 내의 전자와 이온이 손실되는 면적이 증가하게 되고, 그 결과 공정결과에 직접적으로 영향을 미치는 전자온도와 전자밀도가 떨어지게 된다. 이렇게 변화된 플라즈마 변수들을 원래의 값으로 되돌리기 위해서는 인가전력, 실험압력, 유량과 같은 외부변수들이 조절되어야 하는데, 공간 평균 모델(global model) 식을 이용하여 외부변수들의 변량을 계산할 수가 있다. 본 연구에서는 부피가 다른 두 반응용기에서의 플라즈마 변수 진단을 통해서 부피가 커진 환경에서의 전자온도와 전자밀도가 떨어지는 현상을 관찰하였고, 공간 평균 모델로 계산된 외부변수들의 변량을 적용하였을 때 원래의 값으로 가까워 지는 경향을 볼 수가 있었다. 이렇게 같은 공정 결과를 얻기 위한 외부변수들의 변량을 간단히 계산함으로써 대면적화가 되었을 때 외부변수들을 얼마나 변화시켜야 하는지에 대한 일반적인 방향을 제시해 줄 수 있다.
-
유도 결합 플라즈마에서 안테나 전류의 측정을 통해 시스템 저항을 계산하여 플라즈마 소비 전력을 구하는 기존의 방법은 정밀한 전류 측정의 한계를 가지고 있다. 본 연구에서는 유도 결합 방전 시스템에서 정합회로와 코일 사이에 설치된 전류 측정 장치를 사용하여 방전된 상태에서의 인가한 전력에 따른 코일 전류를 측정하였고, 방전되지 않은 상태에서 방전되었을 때와 같은 전류를 흐르게 인가 전력을 조절하였다. 이때의 측정값이 시스템이 소비하는 전력이라고 할 수 있다. 결과적으로 기존의 시스템 저항의 오차를 고려하지 않기 때문에 개선된 소비 전력값을 좀 더 용이하게 구할 수 있었다.
-
플라즈마 방전의 공간 평균 모사는 플라즈마 변수에 대한 정확한 값을 주지는 않지만 방전외부 조건에 따른 플라즈마 변수 변화를 통해 플라즈마 특성을 예측하는데 많은 도움을 준다. 본 연구에서는 준안정준위를 포함한 다단계 이온화 반응을 고려하여 헬륨 플라즈마의 공간 평균 모사를 진행하였다. 플라즈마에 흡수된 전력, 압력에 따른 각 입자의 생성, 소멸에 대한 입자 보존식과 전자에 대한 에너지 보존식을 통하여 플라즈마 변수를 얻었으며, 반응식을 세우기 위하여 헬륨 원자 에너지 준위 내의 특정 준위를 택하여 준위 간의 전이 반응 계수를 계산하였고 실험값과 비교하였다.
-
공정용 유도 결합 플라즈마에서 강자성체 페라이트를 이용하여 평형전력 공급 변압기를 사용하여 안정적인 고밀도 플라즈마 발생원 개발에 관한 연구를 수행하였다. 실험에서는2개의 평형전력 공급 변압기를 이중 구조 안테나에 설치하였다. 20-100 mTorr 압력 범위의 아르곤 기체에 30-150 W범위의 전력을 인가하여 반응용기의 중앙에서 부유 탐침법을 이용하여 플라즈마 밀도와 전자 온도를 측정하였다. 동일한 압력과 전력이 인가되었을 때, 평형전력 공급 변압기의 연결 유무에 따른 플라즈마 밀도를 비교하였으며, 본 연구에서 제시한 플라즈마 발생원에서의 플라즈마 밀도가 더욱 높음을 보였다. 또한 전자 온도와 부유 전위는 평행전력 공급방식을 이용한 플라즈마 발생원이 상대적으로 낮은 값을 가졌다. 이는 플라즈마 전위의 감소를 나타내며, 챔버 벽으로 빠져나가는 이온과 전자의 손실이 줄어들었음을 알 수 있다.
-
Jo, Lee-Hyeon;Jeon, Bu-Il;Son, Chan-Hui;Yun, Myeong-Su;Jo, Tae-Hun;Gang, Jeong-Uk;Kim, Dong-Hae;Seo, Il-Won;Gwon, Gi-Cheong 522
저온 대기압 플라즈마 제트는 가스 flow량에 따른 방전 특성 연구는 진행이 되고있으나 저온 대기압에서 플라즈마 제트 양극사이의 간격에 따른 방전전압 특성의 연구는 아직 진행이 미비한 상황이다. 본 연구에서는 저온 대기압 플라즈마 제트로 파셴곡선의 특성을 조사 분석하여 플라즈마 방전전압의 특성을 규명하고자 한다. 방전가스는 Ar을 사용하였으며 DC-AC 인버터로 고전압을 인가하여 플라즈마 제트 장비를 구동을 하였다. 그 결과 저온 대기압 방전에서 플라즈마 제트 양극 사이의 간격이 가까울수록 방전전압의 크기가 줄어드는 것을 확인을 할 수가 있었다. -
As the wafer geometric requirements continuously complicated and minutes in tens of nanometers, the expectation of real-time add-on sensors for in-situ plasma process monitoring is rapidly increasing. Various industry applications, utilizing plasma impedance monitor (PIM) and optical emission spectroscopy (OES), on etch end point detection, etch chemistry investigation, health monitoring, fault detection and classification, and advanced process control are good examples. However, process monitoring in semiconductor manufacturing industry requires non-invasiveness. The hypothesis behind the optical monitoring of plasma induced ion current is for the monitoring of plasma induced charging damage in non-invasive optical way. In plasma dielectric via etching, the bombardment of reactive ions on exposed conductor patterns may induce electrical current. Induced electrical charge can further flow down to device level, and accumulated charges in the consecutive plasma processes during back-end metallization can create plasma induced charging damage to shift the threshold voltage of device. As a preliminary research for the hypothesis, we performed two phases experiment to measure the plasma induced current in etch environmental condition. We fabricated electrical test circuits to convert induced current to flickering frequency of LED output, and the flickering frequency was measured by high speed optical plasma monitoring system (OPMS) in 10 kHz. Current-frequency calibration was done in offline by applying stepwise current increase while LED flickering was measured. Once the performance of the test circuits was evaluated, a metal pad for collecting ion bombardment during plasma etch condition was placed inside etch chamber, and the LED output frequency was measured in real-time. It was successful to acquire high speed optical emission data acquisition in 10 kHz. Offline measurement with the test circuitry was satisfactory, and we are continuously investigating the potential of real-time in-situ plasma induce current measurement via OPMS.
-
Thermal Barrier Coating (TBC)은 미사일, 로켓발사체와 같이 고온에 노출되는 장비를 열로부터 보호하기 위한 코팅이다. 일반적인 Thermal Barrier Coating (TBC)은 모재와 코팅층간의 낮은 접합력과 높은 열충격으로 인한 박리가 많이 나타난다. 그래서 접합력을 높이고, 열충격을 줄이기 위해 모재와 코팅층 사이에 본드코팅층을 만든 Duplex - Thermal Barrier Coating (Duplex-TBC)이 개발되었다. 그러나 Duplex - Thermal Barrier Coating (Duplex-TBC)은 금속재료인 본드코팅층과 세라믹재료인 탑코팅층 사이에서 박리가 많이 발생한다. 이러한 문제점을 해결하기 위해 두 가지 분말을 동시에 코팅하여 본드코팅과 탑코팅의 경계가 없는 Functional Gradient Material - Thermal Barrier Coating (FGM-TBC)의 연구가 필요하다. 본 연구에서는 Functional Gradient Material - Thermal Barrier Coating (FGM-TBC)의 열충격 특성에 미치는 진공 플라즈마 용사 조건의 영향을 조사하였다. Functional Gradient Material - Thermal Barrier Coating (FGM-TBC)는 진공 플라즈마 용사장치를 사용하여 Cu-Cr 합금위에 코팅하였다. 거리, Carrier gas flow, 그리고 챔버 내부의 압력을 달리하여 제조하였다. 사용한 분말은 본드코팅용으로 Amdry 962와 내열 세라믹코팅을 위해 204NS를 사용하였고, 각각 분말 공급조건을 조절하여 두 분말의 비율을 달리하였다. 제조한 Functional Gradient Material - Thermal Barrier Coating (FGM-TBC) 코팅은 전기로에서 50분간 가열한 후, 수조에서 10분간 냉각하는 열충격 실험을 통해 열차폐 성능을 평가 하였다. 이러한 과정에서 진공 플라즈마 용사 조건 및 FGM 조성과 비율이 내열충격 특성에 미치는 영향을 미세조직학적 관점에서 고찰하였다.
-
플라즈마 밀도와 전자온도는 반도체 및 디스플레이 공정결과에 결정적인 역할을 하므로 그에 대한 진단법 연구는 필수적이다. 하지만 대부분의 연구는 공정플라즈마와 같이 프로브 팁이 증착된 환경에서는 진단이 힘든 실정이다. 이러한 한계를 극복하기 위해서 부유전위 근처에서 고조파 진단법(floating harmonic method)에 대한 연구가 제시되었다[1]. 저밀도 플라즈마에서는 제 2 고조파의 측정이 어렵기 때문에 전자온도를 정확히 측정하기 힘들 수가 있다. 따라서 이에 대안으로 본 논문에서는 부유 고조파 진단법을 기반으로 하여 진폭과 주파수를 다르게 한 두개의 소신호 정현파 전압신호를 동시에 인가하여 플라즈마 변수를 진단하는 방법을 개발하였다. 본 방법을 이용하여 유도결합 아르곤 플라즈마에서 RF전력과 압력변화에 따라 플라즈마 변수진단을 진행하였고, 기존의 고조파 진단법의 결과와 일치하는 경향을 보이는 것을 확인하였다. 이 방법은 측정된 전류의 고조파 성분을 이용하지 않고 기본주파수를 가지는 전류의 크기 비율을 사용하여 전자온도 값을 구하기 때문에 저밀도 플라즈마에서 정밀한 진단이 가능할 것으로 예상된다.
-
DC magnetron discharges were studied using three-dimensional self-consistent particle-in-cell and Monte Carlo collisional (PIC-MCC) simulation codes. Two rectangular sputter sources (120 mm * 250 mm and 380 mm * 200 mm target sizes) were used in the simulation modeling. The number of incident ions to the Cu target as a function of position and simulation time was obtained. The target erosion profile was calculated by using the incident ions and the sputtering yields of the Cu target calculated with SRIM codes. The maximum ion density of the ion density distribution in the discharge was about
$10^{10}cm^{-3}$ due to the calculation speed limit. The result may be less than one or two order of magnitude smaller than the real maximum ion density. However, the target erosion profiles of the two sputter sources were in good agreement with the measured target erosion profiles except for the erosion profile near the target surface, in which which the measured erosion width was broader than the simulation erosion width. -
Kim, Chang-Beom;Kim, Do-Tae;Choe, Jae-Yeong;Lee, Heung-Su;Park, Eung-Su;Sin, Seung-Hwan;Hwang, Jeong-Yeon 527
In the Pohang Light Source (PLS), a major upgrade (PLS-II) of existing machine had been performed in last 3 years. Big improvements in beam parameters are expected from this major upgrade and various diagnostic instruments were installed to measure them. These include beam position monitor, beam current monitor, tune monitor, scraper, beam loss monitor, photon beam monitor, beam size monitor, streak camera, and so on. In this work, we would like to briefly introduce diagnostic instruments of the PLS-II and present measurement results in the commissioning process of the PLS-II. -
Recently, atmospheric pressure plasmas attract lots of interests for the useful applications such as surface modification and bio-medical treatment. In this study, a particle-in-cell Monte Carlo collision (PIC-MCC) simulation was adopted to investigate the discharge characteristics of a planar micro dielectric barrier discharge (DBD) with a driving frequency from 13.56 MHz to 162.72 MHz and with a gap distance of 80 micrometers. The variation of frequency, in the change in the electron energy probability function (EEPF). Through the relation between the ion trajectories and the frequency, results in the change of EEPFs is achievable with the turning point of frequency mode. Therefore, it is possible to categorize the efficient operation range of DBDs for its applications by controlling the interactions between plasmas and neutral gas for the generation of preferable radicals.
-
Dispersion of carbon nanotubes in biocompatible media are of particular interest for diverse biomedical and nanomedicine applications. Various biomolecules and biopolymers such as DNA, proteins, poly L-lysine, starch, gelatin, steroid biosurfactants, and chitosan have shown capability for the effective dispersion of carbon nanotubes in water. Chitosan has demonstrated capacity for effective dispersion of single-walled carbon nanotubes (SWCNTs) in acidic medium and it also showed tendency to preferentially disperse smaller diameter nanotubes. Chemical functionalizations of chitosan enable its solubility in neutral pH water by reducing the intra and inter molecular hydrogen bonding. Herein, we present a neutral pH water soluble chitosan derivative, chitosan-hydroxyphenyl acetamide (CHPA), obtained by functionalizing the amino groups of chitosan with 4-hydroxyphenyl acetic acid, as an efficient biocompatible dispersant for debundling and solubilization of SWNTs in neutral aqueous solutions. Various process conditions for individual dispersion of SWCNTs are analyzed based on optical absorption and Raman spectroscopy.
-
Many practical applications of carbon nanotubes(CNTs) have been proposed and there have been attempts to utilize CNT films as transparent electrodes for solar cells and displays. Our group has considered the use of the CNT film as a thin film heater (TFH) and proposed it for the first time and reported the thermal behavior of the TFH made of single walled CNTs. However, due to the relatively high electrical resistance of the CNT film, using the TFH in application areas requiring high heat flux has been a difficult problem. To overcome this obstacle, we adopted a 'branch electrodes' concept to increase the film conductance dramatically. If two branch electrodes are inserted into a TFH whose original electrical resistance is R, the total resistance will be reduced to R/9. Because of the increased aspect ratio, the resistance of each segmented TFH will be reduced to R/3. Furthermore, since they are connected in parallel, the total resistance reduces to R/9. This could be extended to n branch electrodes, and the total resistance of the film will be reduced to R/(n+1)2, if the resistance of electrodes are negligibly small. We fabricated the heaters with different number of branch electrodes. The number of branch electrodes of the fabricated heaters are 0, 2, 4, 8 and their electrical resistance are 101.4, 39.5, 20.0,
$15.4{\Omega}$ , respectively. We applied 20V to each heater and monitored the temperature variations. We could achieve high heating temperature even with low voltage supply. This technique could be applied to relevant industrial applications which need high power film heater. -
So many groups have been researching the green quantum dots such as InP, InP/ZnS for overcoming the semiconductor nanoparticles composed with heavy metals like as Cd and Pb so on. In spite of much effort to keep up CdSe quantum dots, it does not reach the good properties compared with CdSe/ZnS quantum dots. This quantum dot has improved its properties through the generation of core/shell CdSe/ZnS structure or core/multi-shell structures like as CdSe/CdS/ZnS and CdSe/CdS/ CdZnS/ZnS. In this research, we try to synthesize the InP multi-shell structure by the successiveion layer absorption reaction (SILAR) in the one pot. The synthesized multi-shell structure has improved quantum yield and photo-stability. To generate white light, highly luminescent InP multi-shell quantum dots were mixed with yellow phosphor and integrated on the blue LED chip. This InP multi-shell improved red region of the LEDs and generated high CRI.
-
탄소 나노튜브(carbon nanotube, CNT)를 사용하여 AC 구동 방식의 organic light emitting devices (OLED)를 만들었다. 이 소자는 ITO가 코팅된 유리 위에 유전체 층, 유기 발광층 그리고 맨 위의 금속 전극 층으로 총 3개의 층으로 구성되어있다. 유전물질로써는 cyanoethyl pullulan (CRS)를 N,N dimethylformamide (DMF) 용매에 녹여 ITO층 위에 코팅하였고, 유기발광 물질로 poly[2-methoxy-5-(2-ethylhexyloxy)-1,4-phenylenevinylene] (MEH-PPV)를 chloroform (CF)에 녹여 유전체 층 위에 코팅하였다. CNT를 MEH-PPV와 섞어서 유기발광 혼합물을 만들고 난 후, 유전체층 위에 코팅하였다. 마지막으로 알류미늄 전극을 시료 위에 코팅하였다. 소자에서 사용한 MEH-PPV에 의해 나오는 붉은색 발광을 확인 한 결과, CNT를 사용한 OLED 소자가 CNT를 사용하지 않는 소자보다 brightness가 좋았고, 전류도 더 작게 흘렀다. CNT의 농도에 따라 brightness의 변화는 경향을 나타냈다. CNT에 의한 percolation 효과 때문에 이러한 OLED 시료의 성능 향상이 이루어졌음을 입증하는 실험결과를 발표에서 설명할 예정이다.
-
Colloidal III-V semiconductor nanocrystal quantum dots (NQDs) have attracted attention as they can be applied in various areas such as LED, solar cell, biological imaging, and so on because they have decreased ionic lattices, lager exciton diameter, and reduced toxicity compared with II-VI compounds. However, the study and application of III-V semiconductor nanocrystals is limited by difficulties in control nucleation because the molecular bonds in III-V semiconductors are highly covalent compared to II-VI compounds. There is a need for a method that provides rapid and scalable production of highly quality nanoparticles. We present a new synthetic scheme for the preparation of InP nanocrystal quantum dots using new phosphorus precursor, P(SiMe2tbu)3. InP nanocrystals from 530nm to 600nm have been synthesized via the reaction of In(Ac)3 and new phosphorus precursor in noncoordinating solvent, ODE. This opens the way for the large-scale production of high quality Cd-free nanocrystal quantum dots.
-
We present a new synthetic route and characterization for ultra small sized PbS quantum dots in extreme quantum confinement with 1.5 to 2.9 nm in diameter. We obtained a series of nanocrystals with first absorption wavelength ranging from 580 to 820 nm (2.1-1.5 eV). To get this result, PbS quantum dots size is finely controlled by adjusting the growth temperature in the range of
$70-95^{\circ}C$ . We demonstrate that photoluminescence (PL) shows a red shift with respect to the first absorption peak that increases with decreasing PbS quantum dots size and ranges from about 500 to 125 meV as the mean PbS quantum dots diameter increases from 1.5 to 2.9 nm. We further created the assembled PbS quantum dot solids and investigated the transport properties for energy applications. -
나노와이어는 센서, 메모리소자, 태양전지등과 같은 다양한 소자로 응용이 되고 있다. Bottom-up 방법으로 길러진 나노와이어들을 금속전극 위에 정렬 및 접합시킬 때, 나노와이어와 금속전극간의 기계적 접합강도와 안정적인 전기적 특성이 매우 중요하다. 본 연구에서는 열압착 공정과 솔더전극(Cr/Au/In/Au, Cr/Cu/In/Au)을 사용함으로써, 나노와이어를 금속전극에 압입시켜 강한 기계적 접합강도와 안정적인 전기적 특성을 얻을 수 있는 공정을 제안하였다. 나노와이어와 금속 전극간의 접합부 분석을 위해 scanning electron microscopy (SEM)와 transmission electron microscopy (TEM)을 이용하였으며, 기계적 특성은 lateral force microscopy (LFM), 전기적 특성은 semiconductor analyzer (Keithley 4200-SCS)를 사용하여 측정하였다. 접합강도 측정결과 lateral force가 나노와이어에 가해질 때 나노와이어가 파괴되는 힘에서도 나노와이어와 금속전극간의 접합부파괴가 일어나지 않았다. 또한 나노와이어와 금속전극간의 전기적 접촉특성은 안정적인 ohmic contact을 이루었다.
-
We have studied a fabrication of vapor phase polymerized Poly(3,4-ethylenedioxythiophene) (PEDOT) nanowire arrays for the first time. The vapor-phase polymerization (VPP) technique is a bottom-up processing method that utilizes the organic arrangement of macromolecules to easily produce ordered aggregates, including on the nanoscale, or prepare thin films of self-assembled molecules, micropatterns, or modified microstructures of pure conducting polymers. Also, liquid-bridge-mediated nanotransfer molding (LB-nTM), which was reported as a new direct patterning method recently, is for the arrayed formation of two- or three-dimensional structures with feature sizes as small as tens of nanometers over large areas up to 4 inches across and is based on the direct transfer of various materials from a mould to a substrate through a liquid bridge between them. The PEDOT nanowires grown by VPP method and transferred on a substrate to use LB-nTM method have been fabricated to single crystal PEDOT nanowires investigated Scanning Electron Microscopy (SEM), Transmission Electron Microscopy (TEM), Selected Area Electron Diffraction (SAED), X-Ray Diffraction (XRD), X-ray Photoelectron Spectroscopy (XPS), and electrical properties.
-
전북대학교 고온 플라즈마 응용 연구 센터는 교육과학기술부 기초연구사업 중 고가연구장비 구축사업의 일환으로 고부가가치 재료 연구 및 시험생산이 가능한 소재공정용 200kW ICP(RF) 플라즈마 발생장치를 구축하고 있다. 200 kW급 ICP (RF)형 플라즈마 발생장치는 수~수십 um 크기의 금속, 세라믹 등 고융점 원료분말 등을 수~수십 um 크기의 금속, 세라믹 등 고융점 원료 분말을 순간적으로 용해, 기화 및 분해시키고 이들 기화 또는 분해된 증기를 급랭시키는 과정에서 대량으로 초미분(
$ < 1{\mu}m$ )을 합성하는 RF 플라즈마 분말 합성 시스템으로 시간당 1 kg 이상의 나노 분말의 제조가 가능하도록 설계 제작된 생산 지원용 대형 ICP(RF) 플라즈마 장치이다. -
전북대학교 고온플라즈마 응용연구센터는 교육과학기술부 기초연구사업 중 고가연구장비 구축사업의 일환으로, 고 엔탈피, 초음속 유동 환경을 모사하여, 항공우주, 군사기기, 핵융합 분야 등의 고온 재료 개발을 위한 기초 연구 장치로써, 0.4MW급 플라즈마 풍동 장치를 구축하고 있다. 0.4MW 플라즈마 풍동 장치의 플라즈마 발생부는 DC 전원 공급장치와 디스크 형태의 양극과 음극 사이에 동일 형태의 간극을 삽입한 0.4MW급 분절형 아크 플라즈마 토치로 구성되었으며, 토치에서 발생된 아크 플라즈마는 노즐을 통과하며 마하 2~4의 초음속을 나타내도록 설계 제작되었다. 시험 챔버는 노즐에서 나온 초음속 플라즈마의 특성 및 재료 시험을 위한 3차원 이송식 기판이 장착되어 있으며, 고 엔탈피 유동을 관측하기 위한 광학창을 구비하였다. 시험 챔버 하류에는 유동 안정을 위한 디퓨저(diffuser)가 설치되어 있으며, 디퓨저(diffuser)로부터 배출되는 고온가스는 열교환기를 통해 냉각된 후 진공펌프를 통해 대기로 배출되게 된다. 장치의 압력조절을 위하여
$1,000m^3/min$ 의 용량의 진공펌프 시스템이 설치될 예정이며 가스공급장치, 냉각수 공급장치, 디퓨져, 열교환기는 1MW급 용량으로 설계 제작되었다. 본 장치는 400kW의 전원 공급, 15 g/s의 공기유량 주입 시 약 13 MJ/kg의 고엔탈피를 가진, mach 2~4의 초음속 유동을 나타내는 것을 특징으로 한다. -
Park, Dae-Keun;Park, Hyung-Ju;Lee, Cho-Yeon;Hong, Dae-Wha;Lee, Young;Choi, In-Sung S.;Yun, Wan-Soo 540
Selective detection of single nucleotide polymorphism (SNP) of Cytochrome P450 2C19 (CYP2C19) was carried out by the PNA chips which were electrically-interfaced with interdigitated nanogap electrodes (INEs). The INEs whose average gap distance and effective gap length were about ~70 nm and${\sim}140{\mu}m$ , respectively, were prepared by the combination of the photo lithography and the surface-catalyzed chemical deposition, without using the e-beam lithography which is almost inevitable in the conventional lab-scale fabrication of the INEs. Four different types of target DNAs were successfully detected and discriminated by the INE-based PNA chips. -
InP quantum dots capped by myristic acid (InP-MA QDs) were synthesized by a typical hot injection method using MA as stablizing agent. The current density across the InP-MA QDs thin film which was fabricated by spin-coating method is about
$10^{-4}A/cm^2$ at the electric field of 0.1 MV/cm from I-V measurement on a metal-insulator-metal (MIM) device. The low conductivity of the InP-MA QDs thin film is interpreted as due to the long interdistances among the dots governed by the MA molecules. Therefore, replacing the MA with thioacetic acid (TAA) by biphasic ligand exchange was conducted in order to obtain TAA capped InP QDs (InP-TAA). InP-TAA QDs were designed due to: 1) the TAA is very short molecule; 2) the thiolate groups on the surface of the InP-TAA QDs are expected to undergo condensation reaction upon thermal annealing which connects the QDs within the QD thin film through a very short linker -S-; and 3) TAA provides better passivation to the QDs both in the solution and thin film states which minimizing the effect of surface trapping states. -
Kim, Chan;Kim, Yoon-Hee;Hamh, Sun-Young;Son, Jun-Gon;Khakurel, Krishna Prasad;Iqbal, Mazhar;Noh, Do-Young 542
Coherent diffraction imaging (CDI) method using hard x-ray at 5.46 keV was applied to study assembly of Ni and Ni oxide nano structures formed on a Si3N4 membrane. Density distribution of Ni nano-particles was obtained quantitatively with about 15 nm lateral resolution by reconstructing images from the speckle diffraction pattern. In addition, reconstructed images of nickel oxide particles indicated that Ni atoms diffuse out during the oxidation process leaving pores inside the nickel oxide crust. Furthermore, we recognize that really weak phase object, less than 5 nm thickness of Ni residues, can be reconstructed due to the reference particles. We achieved quantitative information of nanometer sized materials and demonstrate the effect of reference particles by using hard x-ray coherent diffractive imaging method. -
단일벽 탄소나노튜브는(SWNTs) 전기적, 광학적으로 우수한 특성을 갖고 있어 차세대 나노소자로 많은 각광을 받고 있으며, 그 외에도 다양한 응용 가능성을 갖고 있어서 활발한 연구가 진행 되고 있다. 특히, SWNTs의 전기적 물리적 광학적 특성은 튜브의 직경과 뒤틀림도 (chirality)에 직접적으로 좌우 되기 때문에, 이를 제어하기 위해 세계 각국의 많은 연구들이 활발하게 연구를 진행 중에 있다. SWNTs 직경제어의 한 방법으로는, 튜브합성 시 사용하는 촉매입자와 그로부터 성장하는 튜브의 직경은 서로 유사하다는 점에 착안하여, 합성촉매의 크기를 제어하고자 하는 연구가 본 연구그룹을 포함하여 몇몇 그룹에서 연구를 진행 중에 있다. 본 연구에서는 저융점 금속인 금 나노입자를 합성촉매로 선택하였고, 고온 열처리를 통한 금의 증발을 유도하여 나노입자의 크기를 제어하고, 나아가 SWNTs의 직경을 제어하고자 하였다. 우선, 열처리 온도와 처리압력 등을 조절하여 열처리 조건에 따른 금 나노입자의 크기 변화를 체계적으로 살펴보았다. SWNTs는 메탄가스를 이용하여 열화학기상증착법으로 합성하였고, 튜브의 다발화(bundling)를 방지하기 위하여, 수평배향 성장이 가능한 ST-cut 퀄츠를 합성 기판으로 사용하였다. 금 나노입자의 크기 및 합성되는 SWNTs의 직경에 관한 특성 평가는 AFM, Raman, TEM을 이용하였다.
-
집속이온빔장치(FIB: Focused Ion Beam System)에 사용하는 액체금속이온원(LMIS: Liquid Metal Ion Source)은 고 전류밀도, 고 휘도, 낮은 에너지퍼짐 등 많은 장점이 있다. 집속이온빔장치는 주로 표면 분석, 집적 회로의 수정, 마스크 교정(Repair) 및 잘못된 부분의 분석(Failure Analysis) 등에 사용되고 있는데 최근에는 고 분해능의 이온빔 리소그래피와 이온 주입의 기술 및 미세가공 기술 등의 분야에 집중되고 있으며 이를 위해서는 집속이온빔장치의 수렴성(Convergence)을 개선해 나가는 것이 중요하다. 집속이온빔장치의 수렴성은 이온빔의 에너지 퍼짐(Energy Spread)과 각 분포(Angular Distribution)에 많은 영향을 받으며 에너지퍼짐 특성은 색수차에 직접적인 영향을 준다. 수렴성을 개선하기 위해 기존의 에미터(Emitter), 저장소(Reservoir), 추출극(Extractor)으로 제작된 액체금속이온원에 서프레서(Suppressor)라는 새로운 전극을 사용하여 이 전극의 유 무에 따른 각 분포의 변화에 대해 연구하였다.
-
Traditional screen printing is still a dominant method to print electrodes on c-Si solar cells. In order to achieve higher efficiency for c-Si solar cells, improvement of the electrode material is one of the key approaches. Shadowing loss can be reduced by using high aspect ratio finger electrode with width of finger electrode less than 80um. The rheological properties of Ag paste for applying c-Si solar cells are improved by using Ag nanowires. The printing properties including the aspect ratio of printed electrode can be improved with higher Thixotropic index (T.I.) values.
-
As displays become larger and solar cells become cheaper, there is an increasing need for low-cost transparent electrodes. Intensive effort has been made to replace ITO (Indium Tin Oxide) based transparent electrode with cheap and flexible ones. Among those, silver nanowires have got limelight because of its great conductivity and flexibility. Even though the electric property of the Ag nanowire based transparent electrode surpassed ITO, the optical property needs to be improved (lower transmittance, higher haze). Here, we reported transparent electrode based on Ag nanowires and conducting polymer to improve optical properties. The Ag nanowires are coated onto PET films and the resulting transparent electrode film shows
$200ohm/{\Box}$ resistance and > 90% optical transmittance. -
Thermomechanical and surface chemical properties of composite films of poly(D, L-lactic-co-glycolic acid) (PLGA) were significantly improved by the addition of graphene oxide (GO) nanosheets as nanoscale fillers to the PLGA polymer matrix. Enhanced thermomechanical properties of the PLGA/GO (2 wt.%) composite film, including an increase in the crystallization temperature and reduction in the weight loss, were observed. The tensile modulus of a composite film with increased GO fraction was presumably enhanced due to strong chemical bonding between the GO nanosheets and PLGA matrix. Enhanced hydrophilicity of the composite film due to embedded GO nanosheets also improved the biocompatibility of the composite film. Improved thermomechanical properties and biocompatibility of the PLGA composite films embedded with GO nanosheets may be applicable to biomedical applications such as scaffolds.
-
Early detection of cancer biomarkers in the blood is of vital importance for reducing the mortality and morbidity in a number of cancers. From this point of view, immunosensors based on nanowire (NW) and carbon nanotube (CNT) field-effect transistors (FETs) that allow the ultra-sensitive, highly specific, and label-free electrical detection of biomarkers received much attention. Nevertheless 1D nano-FET biosensors showed high performance, several challenges remain to be resolved for the uncomplicated, reproducible, low-cost and high-throughput nanofabrication. Recently, two-dimensional (2D) graphene and reduced GO (RGO) nanosheets or films find widespread applications such as clean energy storage and conversion devices, optical detector, field-effect transistors, electromechanical resonators, and chemical & biological sensors. In particular, the graphene- and RGO-FETs devices are very promising for sensing applications because of advantages including large detection area, low noise level in solution, ease of fabrication, and the high sensitivity to ions and biomolecules comparable to 1D nano-FETs. Even though a limited number of biosensor applications including chemical vapor deposition (CVD) grown graphene film for DNA detection, single-layer graphene for protein detection and single-layer graphene or solution-processed RGO film for cell monitoring have been reported, development of facile fabrication methods and full understanding of sensing mechanism are still lacking. Furthermore, there have been no reports on demonstration of ultrasensitive electrical detection of a cancer biomarker using the graphene- or RGO-FET. Here we describe scalable and facile fabrication of reduced graphene oxide FET (RGO-FET) with the capability of label-free, ultrasensitive electrical detection of a cancer biomarker, prostate specific antigen/
${\alpha}$ 1-antichymotrypsin (PSA-ACT) complex, in which the ultrathin RGO channel was formed by a uniform self-assembly of two-dimensional RGO nanosheets, and also we will discuss about the immunosensing mechanism. -
Physically responsive field-effect transistors (physi-FETs), which are sensitive to physical stimuli, have been studied for decades. However, the primary issue of separating responses by sensing materials from interferences by other subcomponents in a FET transducer under global physical stimuli has not been completely resolved. Recent challenges of structural design and employing smart materials with a large electro-physical coupling effect for flexible physi-FETs still remain. In this article, we propose directly integrating nanocomposites of barium titanate (BT) nanoparticles (NPs) and highly crystalline poly(vinylidene fluoride-trifluoroethylene) (P(VDF-TrFE)) as gate dielectrics into flexible organic FETs to precisely separate and quantify tiny variations of remnant polarization caused by mechanical stimuli. Investigations under static stimuli resulted in first-reported giant-positive piezoelectric coefficients of d33 up to 960 pC/N, presumably due to significant contribution of the intrinsic piezoelectricity of BT NPs and P(VDF-TrFE) crystallites. This approach provides a general research direction, and not limited to physic-FETs.
-
We fabricated reduced graphene oxide field-effect transistor (RGO-FET) on glass for highly sensitive temperature and IR detection. The device has the channels of RGO responsive to physical stimuli such as temperature and IR. The RGO sensing layers are fabricated from exfoliated graphene oxide sheets that are deposited to form a thin continuous network by electrostatic assembly. These graphene oxide networks are reduced toward reduce graphene oxide by exposure to a hydrazine hydrate vapor. To improve performance and eliminate interferences from oxygen and water vapor absorption to electrical properties of RGO-FET, the sensor devices were encapsulated by the tetratetracontane layer after annealing treatment. The device with encapsulation layer showed lower hysteresis, improved stability, and better repeatability. The temperature response of RGO-FET is examined by measuring changing the temperature, the device exhibited the high sensitivity and repeatability even with the temperature interval of 1 K. We also demonstrated that our devices have capability of IR sensing.
-
Go, Eun-Hye;Yun, Yeon-Jeong;Jin, Seung-Uk;Hwang, Ji-Min;Lee, Gyu-Nam;Yang, Seong-Ho;Choe, In-Seong 553
The artificial shells of hard inorganic nanocomposites on individual cells would protect the cells physically and chemically, and control cell division. These emerging properties could be combined with cell-surface functionalizations for applications to cell-based sensors and assays as well as for fundamental studies on single-cell biology. In this work, individual Chlorella cells were encapsulated within a silica/titania nanocomposite shell in a biocompatible fashion that utilized a designed peptide, RKKRKKRKKRKKDDDDDDDD, as a catalytic template for formation of both$SiO_2$ and$TiO_2$ on the cell surface. The cell viability was maintained, and the division of the encapsulated Chlorella cells was controlled. The cell viability was enhanced compared with the$TiO_2$ -shell formation. In addition, the incorporation of$TiO_2$ to the shell made it possible to anchor the ligands of interest to the shell via catechol chemistry. All in all, the combination of biological$SiO_2$ and abiolgical$TiO_2$ for the shell formation gave more tunability of the artificial shells compared with the$SiO_2$ or$TiO_2$ shells only. -
Controlling the size distribution of gold nanoparticles (NPs) is of great importance due to the fact that their properties are strongly dependent upon the size distribution as well as the size itself. In the citrate reduction method for gold NP synthesis, the citrate works as (1) a reducing agent, (2) a surfactant, and also (3) a weak base: it raises the pH of the whole reaction mixture. Here, we have extensively studied the all three roles of the citrate, by adding other reagents separately (NaBH4, CTAB, and NaOH) for the independent control of the three roles of the citrate. Among the roles of the citrate, that as a weak base was found to be the most critical parameter affecting the size distribution of gold NPs and the size distribution became much more improved with the increase of the solution pH, while adding a supplementary surfactant or reducing agent resulted in the formation of less homogeneous NPs.
-
The thermal stability of poly(sodium 4-styrenesulfonate) intercalated graphite oxide has been investigated using a differential scanning calorimeter. The poly(sodium 4-styrenesulfonate) intercalated graphite oxide composite shows a prominent exothermic reaction near
$207^{\circ}C$ and an endothermic reaction near$453^{\circ}C$ . Graphite oxide is responsible for the exothermic reaction while the endothermic reaction is caused by the poly(sodium 4-styrenesulfonate) used in the synthesis of poly(sodium 4-styrenesulfonate) intercalated graphite oxide. The onset temperature of the exothermic reaction of poly(sodium 4-styrenesulfonate) intercalated graphite oxide decreased by$92^{\circ}C$ in comparison with that of graphite oxide, indicating the addition of poly(sodium 4-styrenesulfonate) in the composite has diminished the thermal stability of graphite oxide. -
Choi, Baek-Hap;Lee, Jun-O;Lee, Seung-Jun;Ko, Jae-Hyeon;Lee, Kyoung-Seok;Oh, Jung-Hoon;Kim, Yong-Hyun;Choi, In-Sung S.;Park, Sung-Jin 556
Transition metal-based organometallic complexes have shown great talents as a catalyst in various reactions. Designing organic molecules and coordinating them to such active centers have been a promising route to control the catalytic natures. Metallocene, which has transition metal atoms sandwiched by aromatic rings, is one of the representative systems for organometallic catalysts. Group 4-based metallocene catalysts have been most commonly used for the production of polyolefins, which have great world-wide markets in the real life. Graphenes and carbon nanotubes (CNTs) were composed of extended$sp^2$ carbon networks, showing high electron mobility as well as have extremely large steric bulkiness relative to metal centers. We were inspired by these characteristics of such carbon-based nano-materials and assumed that they could intimately interact with active centers of metallocene catalysts. We examined this hypothesis and, recently, reported that CNTs dramatically changed catalytic natures of group 4-based catalysts when they formed hybrid systems with such catalysts. In conclusion, we produced hybrid materials composed of group-4 based metallocenes,$Cp_2ZrCl_2$ and$Cp_2TiCl_2$ , and carbon-based nano-materials such as RGO and MWCNT. Such hybrids were generated via simple adsorption between Cp rings of metallocenes and graphitic surfaces of graphene/CNT. The hybrids showed interesting catalytic behaviors for ethylene polymerizations. Resulting PEs had significantly increased Mw relative to those produced from free metallocene-based catalytic systems, which are not adsorbed on carbon-based nano-materials. UHMWPEs with extremely high Mw were obtained at low Tp. -
Silicatein-
${\alpha}$ , the enzyme extracted from silica spicules in glass sponges, has been studied extensively in the way of chemistry from 1999, in which the pioneering work by Morse, D. E. - the discovery of the enzymatic hydrolysis in Silicatein-${\alpha}$ - was published. Since its reaction conditions are physiologically favored, synthesis of various materials, such as gallium oxide, zirconium oxide, and silicon oxide, was achieved without any hazardous wastes. Although some groups synthesized oxide films and particles, they have not achieved yet controlled morphogenesis in the reaction conditions mentioned above. With the knowledge of catalytic triad involved in hydrolysis of silicone alkoxide and oligomerization of silicic acid, we designed the novel peptide amphiphiles to not only form self-assembled structure, but also display similar activities to silicatein-${\alpha}$ . Designed templates were able to self-assemble into left-handed helices for the peptide amphiphiles with L-form amino acid, catalyzing polycondensation of silicic acids onto the surface of them. It led to the formation of silica helices with 30-50 nm diameters. These results were characterized by various techniques, including SEM, TEM, and STEM. Given the situation that nano-bio-technology, the bio-applicable technology in nanometer scale, has been attracting considerable attention; this result could be applied to the latest applications in biotechnology, such as biosensors, lab-on-a-chip, biocompatible nanodevices. -
최근의 원자간력현미경(AFM)은 soft한 생체물질을 비파괴적 방법 및 나노크기의 분해능으로 여러 구조적, 물리적 특성 측정이 가능하여 bio분야에 다양이 활용되고 있다. 본 연구에서는 AFM을 이용하여 줄기세포인 BM MSC(bone marrow mesenchymal stem cell)가 신경세포로 분화 여부를 측정하는 방법을 보고하고자 한다. 신경세포의 신호전달은 시냅스에서 신경전달물질을 매개로 하여 이루어지는데, 신경전달물질 중에 D-Glutamic acid는 시냅스후세포에서 흥분성 전위 크기를 증가시킨 상태를 장기간 유지시켜주는 물질로, 특정물질인 Glutamate와 항원-항체 결합을 한다. 본 연구에서는 이 두 물질간의 항원-항체 반응을 활용하여 줄기세포의 신경세포로 분화 여부를 AFM으로 측정하였다. 먼저, 수용성 시료인 두 물질을 증류수에 용해시켜 Mica 기판에 그 용액을 떨어뜨려 자연건조로 시료를 준비한 후, AFM으로 형태 및 크기를 측정하였다. D-Glutamic acid와 Glutamate는 구형 입자 형태를 보였으며, Glutamate의 너비는 ~100 nm이고, D-Glutamic acid는 ~50 nm였다. 두 물질이 든 용액을 섞었을 때, 항원-항체 반응에 의해 다른 크기의 두 구형입자가 붙어 있는 형태가 관찰되었다. 이 반응을 활용하여, 신경세포에서 분비되는 신경전달물질인 D-Glutamic acid를 선별하였다. DMEM 배지에 신경암세포주인 SH-SY5Y 를 접종한 후
$37.6^{\circ}C$ 의 incubator에서 24시간 배양하고, 화학적 자극(60~70 mM의 KCl 용액을 주입함)을 주어 신경전달물질 분비를 유도하였다. 그 배지에 항체 Glutamate 를 주입하여 자연건조 시킨 후 항원-항체 결합특성을 AFM으로 측정하여, 항원-항체 결합된 이미지와 동일함을 확인하였다. 결과적으로 AFM을 이용한 신경전달물질의 항원-항체 결합여부 측정을 통해, BM MSC 줄기세포의 신경세포로 분화를 판단할 수 있으며, 이 방법은 줄기세포의 특정 세포로의 분화 여부 판단에 활용될 것으로 기대된다. -
We developed a new scheme for the highly sensitive near-field scanning optical microscope (NSOM) by using a dithering sample stage rather than a dithering probe. In the proposed scheme, the sample is directly loaded on one prong surface of a dithering bare tuning fork. Gap control between probe and sample is performed by detecting the shear force between an immobile fiber probe and the dithering sample. In a conventional NSOM, the Q factor drastically decreases from 7783 to 1000 or even to 100 by attaching a probe to the tuning fork. In our proposed NSOM, on the contrary, the Q factor does not change significantly, 7783 to 7480, when the sample is loaded directly to the tuning fork instead of attaching a probe. Consequently, the graphene sheets that cannot be observed by a conventional NSOM were clearly observed by the proposed method with sub-nanometer vertical resolution due to the extremely high Q factor.
-
We report a one-step fabrication method of Poly(9,9-dioctylfluorene) (PFO) nanowire array with pronounced
${\beta}$ -Phase. We use liquid-bridge-mediated nanotransfer molding (LB-nTM) which is a new direct nano-patterning method based on the direct transfer of various materials from a mold to a substrate via liquid layer. The formation of the${\beta}$ -phase morphology in the resulting PFO nanowire array was evidenced by the presence of an absorption peak at 435nm. With the collection polarizer oriented parallel to the wire long axis, the PL emission was most intense and an emission dichroic ratio, DRE, of 3.7 was determined. The nanowire array have been investigated by scanning electron microscopy (SEM). Also, we simply fabricated structure of device of ITO/PFO nanowire arrays/Al and the electroluminescence spectra were recorded at various applied voltage. -
Graphene, two dimensional single layer of carbon atoms, has tremendous attention due to its superior property such as fast electron mobility, high thermal conductivity and optical transparency, and also found many applications such as field-effect transistors (FET), energy storage and conversion, optoelectronic device, electromechanical resonators and chemical sensors. Several techniques have been developed to form the graphene. Especially chemical vapor deposition (CVD) is a promising process for the large area graphene. For the electrically isolated devices, the graphene should be transfer to insulated substrate from Cu or Ni. However, transferred graphene has serious drawback due to remaining polymeric residue during transfer process which induces the poor device characteristics by impurity scattering and it interrupts the surface functionalization for the sensor application. In this study, we demonstrate the characteristics of solution-gated FET depending on the removal of polymeric residues. The solution-gated FET is operated by the modulation of the channel conductance by applying a gate potential from a reference electrode via the electrolyte, and it can be used as a chemical sensor. The removal process was achieved by several solvents during the transfer of CVD graphene from a copper foil to a substrate and additional annealing process with H2/Ar environments was carried out. We compare the properties of graphene by Raman spectroscopy, atomic force microscopy(AFM), and X-ray Photoelectron Spectroscopy (XPS) measurements. Effects of residual polymeric materials on the device performance of graphene FET will be discussed in detail.
-
Recently, graphene and graphene-based materials such as graphene oxide (GO) or reduced graphene oxide (R-GO) draws a great attention for electronic devices due to their structures of one atomic layer of carbon hexagon that have excellent mechanical, electrical, thermal, optical properties and very high specific surface area that can be high potential for chemical functionalization. R-GO is a promising candidate because it can be prepared with low-cost from solution process by chemical oxidation and exfoliation using strong acids and oxidants to produce graphene oxide (GO) and its subsequent reduction. R-GO has been used as semiconductor or conductor materials as well as sensing layer for bio-molecules or ions. In this work, reduced graphene oxide field-effect transistor (R-GO FET) has been fabricated with ITO extended gate structure that has sensing area on ITO extended gate part. R-GO FET device was encapsulated by tetratetracontane (TTC) layer using thermal evaporation. A thermal annealing process was carried out at
$140^{\circ}C$ for 4 hours in the same thermal vacuum chamber to remove defects in R-GO film before deposition of TTC at$50^{\circ}C$ with thickness of 200 nm. As a result of this process, R-GO FET device has a very high stability and durability for months to serve as a transducer for sensing applications. -
We report a one-step fabrication of single-crystal organic nanowire arrays on substrates using a new direct printing method (liquid-bridge-mediated nanotransfer moulding, LB-nTM), which can simultaneously enable the synthesis, alignment and patterning of the nanowires using molecular ink solutions. Two- or three-dimensional complex structures of various single-crystal organic nanowires were directly fabricated over a large area with a successive process. The position of the nanowires can be aligned easily on complex structures because the mold is movable on substrates before drying the polar liquid layer, which acts as an adhesive lubricant. This efficient manufacturing method can produce a wide range of optoelectronic devices and integrated circuits with single-crystal organic nanowires.
-
Song, U-Seok;Kim, Su-Yeon;Kim, Yu-Seok;Kim, Seong-Hwan;Lee, Su-Il;Jeon, Cheol-Ho;Park, Jong-Yun 564
Graphene has been emerged as a fascinating material for future nanoelectronic applications due to its extraordinally electronic properties. However, their zero-bandgap semimetallic nature is a major problem for applications in high performance field-effect transistors (FETs). Graphene nanoribbons (GNRs) with narrow widths (${\geq}10nm$ ) exhibit semiconducting behavior, which can be used to overcome this problem. In previous reports, GNRs were produced by several approaches, such as electron beam lithography patterning, chemically derived GNRs, longitudinal unzipping of carbon nanotubes, and inorganic nanowire template. Using these methods, however, the width distribution of GNRs was a quiet broad and substantial defects were inevitably occurred. Here, we report a novel approach for fabricating width-tailored GNRs by focused ion beam-assisted chemical vapor deposition (FIB-CVD). Width-tailored phenanthrene ($C_{14}H_{10}$ ) templates for direct growth of GNRs were prepared on$SiO_2$ /Si substrate by FIB-CVD. The GNRs on the templates were synthesized at$900-1,050^{\circ}C$ with introducing$CH_4$ $(20sccm)/H_2$ (10 sccm) mixture gas for 10-300 min. Structural characterizations of the GNRs were carried out using Raman spectroscopy, scanning electron microscopy, and atomic force microscopy. -
Lee, Su-Il;Kim, Yu-Seok;Song, U-Seok;Kim, Seong-Hwan;Jeong, Sang-Hui;Park, Sang-Eun;Park, Jong-Yun 565
그래핀(Graphene) 기반의 전계효과 트랜지스터(Field effect transistor) 응용에 있어, 가장 핵심적인 도전과제중 하나는 에너지 밴드갭(Energy bandgap)을 갖는 그래핀 채널의 제작이다. 그래핀은 에너지 밴드갭이 존재하지 않는 반금속(semi metal)의 특성을 지니고 있어, 그 본래의 물리적 특성을 지니고서는 소자구현에 어려움이 있다. 그러나 폭이 수~수십 나노미터인 그래핀 나노리본(Graphene nanoribbon)의 경우 양자구속효과(Quantum confinement effect)에 의하여 에너지 밴드갭이 형성되며, 갭의 크기는 리본의 폭에 반비례한다는 연구결과가 보고된 바 있다. 이러한 이유에서, 효과적이며 실현가능한 그래핀 나노리본의 제작은 필수적이다. 본 연구에서는 은 나노 와이어(Ag nanowire)를 기반으로 한 그래핀 나노리본의 합성을 연구하였다. 은 나노와이어를 열화학 기상증착법(Thermal chemical vapor deposition)을 이용, 아세틸렌(Acetylene, C2H2) 가스를 탄소공급원으로 하여 그래핀을 나노와이어 표면에 합성하였다. 합성과정에서 구조에 영향을 미치는 요인인 합성온도와 가스의 비율, 압력 등을 조절하여 최적화된 합성조건을 확립하였다. 합성된 나노리본의 특성을 라만분광법(Raman spectroscopy)과 주사전자 현미경(Scanning electron microscopy), 투과전자현미경(Transmission electron microscopy), 원자힘 현미경(Atomic force microscopy)를 통하여 분석하였다. -
Jeong, Sang-Hui;Lee, Su-Il;Kim, Yu-Seok;Song, U-Seok;Kim, Seong-Hwan;Cha, Myeong-Jun;Park, Sang-Eun;Min, Gyeong-Im;Park, Jong-Yun 566
그래핀(Graphene)은 모든 탄소 동소체의 기본구성 요소로 2 차원 결정구조를 가지며, 양자홀 효과(quantum Hall effect), 뛰어난 열 전도도, 고 탄성, 광학적 투과성 등과 같은 탁월한 물리적 성질을 보이는 물질이다. 이러한 그래핀의 우수한 특성은 전계 효과 트랜지스터(field effect transistor), 화학/바이오 센서, 투명 전극(transparent electrode) 등의 다양한 전자소자를 개발하는 응용 가능하다. 그 중, 그래핀 투명전극의 제조는 가장 응용가능성이 높은 분야이다. 현재 투명전극 물질로는 인듐-주석 산화물(indium tin oxide; ITO)가 널리 이용되고 있으나, 인듐의 고갈로 인한 공급부족 문제 및 고 생산비용, 휘어지지 않는 취성 등의 단점을 지니고 있다. 따라서, 우수한 광학적 투과성과 전기전도성을 지닌 그래핀이 ITO의 대체 물질로서 각광받고 있다.[1-5] 본 연구에서는 그래핀의 투명전도필름의 응용을 위해 면저항을 낮추기 위한 방법으로 화학적 도핑(doping)을 이용하였다. 그래핀은 구리(copper; Cu) 호일을 촉매로 사용하여 열 화학증착법(Thermal Chemical Vapor Deposition)을 이용하여 합성하였다. 합성된 그래핀은 PMMA(Poly(methyl methacrylate)) 전사법을 이용하여 산화실리콘(SiO2) 기판에 전사 후, 염화은(AgCl)과 클로로벤젠(C6H5Cl)으로 만든 콜로이드(colloid) 용액에 디핑(dipping)하여 그래핀에 은 입자를 도핑 하였다. 그 결과, 은 입자 도핑 농도에 따라 면저항이 감소하는 양상을 보였다. 제작된 그래핀 투명전도성 필름의 투과도는 자외선-가시광선-근적외선 분광법(UV-Vis-NIR spectroscopy)를 이용하여 측정하였고, 라만 분광법(Raman spectroscopy)을 통해 그래핀 필름의 질적 우수성과 성장 균일도를 조사하였다. -
Since the carbon nanotubes (CNTs) have extraordinary material properties, many researchers are trying to make a practical application in various fields [1]. In particular, the high surface area of CNTs was fascinated for nano-template on the catalytic system.
$RuO_2$ coated CNTs are useful functional nano-composites in many applications, including super capacitors, fuel cells, biosensors, and field emitters. However, the research of interaction between CNTs and$RuO_2$ was not satisfied with various fields [2]. In this study, we will introduce the change of chemical and electrical state of$RuO_2$ /CNTs at different temperatures by synchrotron radiation photoemission spectroscopy (SRPES). The t-MWCNTs used in this experiment were grown on the Ni/TiN/Si substrates by chemical vapor deposition.$RuO_2$ of 4-20 nm in thickness was deposited on the t-MWNTs by sputter. The SRPES measurements were carried out at the 4B1 beamline of the Pohang Accelerator Laboratory in Korea. The result of XPS measurement indicates that the deposited$RuO_2$ on the CNTs was reduced into pure Ru at above$300^{\circ}C$ . And we confirmed that the effective work function of$RuO_2$ /CNTs was decreased with increasing temperature. -
뛰어난 물리적, 전기적 특성을 가진 단일벽 탄소나노튜브는 여러 분야에서 응용 가능성이 매우 높은 물질이다. 그러나 단일벽 탄소나노튜브의 전기적 특성은 나노튜브의 직경과 카이랄리티(chirality)에 매우 강하게 의존되기 때문에 균일한 직경과 카이랄리티를 갖는 단일벽 탄소나노 튜브만의 사용은 나노튜브 기반의 전자소자 응용에서 매우 중요하다. 균일한 직경과 카이랄리티의 단일벽 탄소나노튜브를 얻는 방법은 나노튜브 합성을 통한 직접적인 방법과 후처리 기술을 통해 가능하며, 최근에는 금속 나노입자를 촉매로서 화학기상증착(Chemical vapor deposition, CVD)을 이용하여 좁은 직경 분포를 갖는 단일벽 탄소나노튜브의 합성이 보고되었다. 화학기상 증착은 용이하게 단일벽 탄소나노튜브를 합성하며, 성장된 나노튜브의 직경은 촉매금속 나노입자의 크기에 의해 결정된다. 본 연구는 크기가 제어된 산화철 나노입자를 촉매금속으로 사용하여 열화학기상증착법을 이용해 직경분포가 매우 좁고 균일한 단일벽 탄소나노튜브를 합성하였다. 합성된 단일벽 탄소나노튜브 직경과 카이랄리티는 라만 분광법(Raman spectroscopy)과 투과 전자현미경(Transmission electron microscope)을 이용하여 분석하였다.
-
탄소나노튜브(carbon nanotubes)의 우수한 전기적, 물리적 특성으로 인해 트랜지스터, 태양전지, 고감도 센서, 나노 섬유, 고분자-탄소나노튜브 고기능 복합체 등 다양한 분야에서 이를 응용하려는 노력이 활발히 진행되고 있다. 흥미롭게도 탄소나노튜브는 구조적인 특성 (직경, 밀도, 벽의 수)에 따라 각기 다른 비표면적, 열 전도성, 전기 전도성, 접촉각, 전계방출 특성을 지닌다고 보고되고 있다. 따라서 다양한 분야의 응용을 위해서는 구조적인 특성 제어가 핵심적인 요소라고 할 수 있다. 본 연구에서는 열화학기상증착법(thermal chemical vapor deposition)을 이용하여 수직 정렬된 탄소나노튜브를 합성 하였다. 합성과정에서 압력의 변화가 탄소나노튜브의 밀도와 길이에 큰 영향을 미친다는 것을 확인하였고, 이러한 현상을 이해하기 위해 두 가지의 가능성을 고려하였다. 첫째는 압력의 변화에 따른 촉매의 형성 변화 가능성이며, 둘째는 탄화수소가스의 유입양의 변화에 따른 영향이다. 분석 결과, 동일한 압력에서 탄화수소가스의 부분압을 변화시켜 실험한 결과로부터 탄화수소의 유입양의 변화가 합성된 탄소나노튜브의 밀도에 큰 영향을 미치고 밀도가 높은 경우 길이가 긴 탄소나노튜브가 합성되는 것을 확인할 수 있었다.
-
Cha, Myeong-Jun;Song, U-Seok;Kim, Yu-Seok;Jeong, Dae-Seong;Kim, Seong-Hwan;Lee, Su-Il;Park, Jong-Yun 570
그래핀(graphene)은 육각형의 탄소원자 한층으로 이루어진 이차원 구조체로써 우수한 물리적, 전기적 특성으로 인해 다양한 분야에서 응요을 위한 연구가 활발히 진행되고 있다. 특히, 그래핀과 금속 나노입자의 복합구조는 수소 저장체, 가스센서, 연료전지, 화학 촉매등의 다양한 분야에서 응용이 가능하다. 현재까지 그래핀/금속나노입자 복합구조의 제작 방법에는 열증발(thermal evaporation), 전기도금법(electrodeposition), 표면 기능화(surface functionalization)를 이용한 방법이 보고되었다. 하지만 이러한 방법은 긴 공정시간이 요구되며, 나노입자의 크기 분포가 넓다는 단점을 지닌다. 본 연구에서는 화학기상증착법을 통해 합성된 그래핀이 전사된 SiO2 (300nm)/Si 기판에 염화기가 포함된 백금 화합물 분산용액을 스핀코팅(spin-coating)하고 MeV 전자빔을 조사하여 Pt/grapheme 복합구조를 형성하였다. 이 방법은 균일한 크기 분포의 나노입자의 형성이 가능하며, 간단하고, 대면적 공정이 가능하며, 다른 방법에 비해 그래핀의 결함형성이 적다는 장점을 지닌다. Pt/grapheme 의 기하학적 구조를 주사전자현미경(scanning electron microscopy)와 투과전자현미경(transimission)을 통해 분석하였고, Pt와 graphene의 일함수(workfunction)의 차이에 의해 야기되는 전하이동에 의한 도핑(doping)현상을 라만 분광기(Raman spectroscopy)와 X-선 광전자 분광기(X-ray photoelectron spectroscopy)를 통해 분석하였다. -
We discovered the formation of C60 aggregates in solution by means of photoluminescence spectroscopic study on C60 in solutions. From the in-depth investigation of temperature dependence of the luminescence of C60 in toluene, benzene and CS2 solutions, we reported that the C60 aggregates are formed during cooling at the freezing temperature of these solvents. Furthermore, the C60 aggregates can be changed to stable structures by irradiating with UV pulse-laser (Nd:YAG laser, 355nm). As a consequence, we could obtain nano-scale photo-polymerized C60 clusters, which appear as round-shaped nano- scale particles in high resolution transmission electron-microscopy (HRTEM) images. However, the yield of the nano-scale C60 clusters obtained by this method is too small. So we designed and developed a system to obtain C60 cluster of macroscopic quantity by using ultrasonic nebulizer. In this system, C60 solution was vaporized to several micro-sized droplets in vacuum, resulting in the formation of C60 aggregates by evaporating solvent (toluene). The system was invented to produce nano-scale carbon clusters by the irradiation of UV light upon C60 aggregates in vacuum. We have characterized the products, C60 cluster, obtained from the system by using UV absorption spectra and HPLC spectra. Although the products have a possibility of inclusion various forms of C60 cluster, results support that the product formed from the system by using vaporizer method establishes a new method to obtain C60 cluster in macroscopic quantity. In the presentation, the details of the system and the results of characterization are reported.
-
Growth of metal oxides on graphene may lead to a better understanding of delicate effects of their growth habits on their underlying physics. The vanadium dioxide (
$VO_2$ ) is well known for its metal-to-insulator transition accompanied by a reversible first order structural phase transition at 340 K. This transition makes$VO_2$ a potentially useful material for applications in electrical and optical devices. We report a successful growth of$VO_2$ nanostructures on a graphene substrate via a vapor-solid transport route. As-grown$VO_2$ nanostructures on graphene were systematically characterized by field emission scanning electron microscopy, x-ray diffraction, Raman spectroscopy, FT-IR spectroscopy and high resolution transmission electron microscopy. These results indicate that the strain between$VO_2$ and graphene layers may be easily controlled by the number of underlying graphene layer. We also found that the strain in-between$VO_2$ and graphene layer affected its metal-to-insulator transition characteristics. This study demonstrates a new way for synthesizing$VO_2$ in a desired phase on the transparent conducting graphene substrate and an easy pathway for controlling metal-to-insulator phase transition via strain. -
High quality
$VO_2$ thin films were successfully grown on GaN substrate by optimizing oxygen partial pressure during the growth using RF sputtering technique. The$VO_2$ thin film grown on GaN substrate exhibited an unusual metal insulator transition behavior, which was known to be observed only either in doped sample or under uniaxial stress. Raman spectra also confirmed that metal insulator transition occurred from monoclinic M1 to rutile R phase via monoclinic M2 phase with increasing temperature. We believe that large lattice mismatch between$VO_2$ and GaN substrate may cause M2 phase to be thermodynamically stable. Optical transmittance and its electrical switching behavior were carefully investigated to elucidate the underlying physics of its metal insulator transition behavior. This study may lead to a unique opportunity to better understand the growth mechanism of M2 phase dominant$VO_2$ thin films. -
We report a simple way of fabricating high-quality carbon nanoscrolls (CNSs) by taking advantage of strain relief due to large difference in strain at the interface of graphene and underlying layer. This method allows strain-controlled self rolling-up of monolayer graphene during etching process at predefined positions on SiO2/Si substrates by photolithography. The size and the length of the CNSs can be easily controlled by adjusting the thickness of the underlying layer and by pre-patterning. Raman spectroscopy studies show that the CNSs is free of significant defects, and the electronic structure and phonon dispersion are slightly different from those of two-dimensional graphene. The preparation of high-quality CNSs may open up new opportunities for both fundamental and applied research of CNSs.
-
Synthesis of novel two dimensional materials has gained tremendous attention recently as they are considered as alternative materials for replacing graphene that suffers from a lack of bandgap, a property that is essential for many applications. Single layer molybdenum disulfide (
$MoS_2$ ) has a direct bandgap (1.8eV) that is promising for use in next-generation optoelectronics and energy harvesting devices. We have successfully grown high quality single layer$MoS_2$ by a facile vapor-solid transport route. As-grown single layer$MoS_2$ was carefully characterized by using X-ray diffraction, Raman spectroscopy, field emission scanning electron microscopy and electrical transport measurement. The results indicate that a high quality single layer$MoS_2$ can be successfully grown on silicon substrate. This may open up great opportunities for the exploration of novel nanoelectronic devices. -
High quality single crystalline strain controlled wurtzite ZnO nanowire arrays have been grown on conductive silicon and ITO substrates by a facile hydrothermal method. The diameter of the nanowires was found to be less than 90 nm approximately for both of the two kinds of substrates. The quality of the ZnO nanowire arrays is dramatically improved by hanging the substrate above from the bottom of the Teflon lined autoclave. The structural investigation indicates the preferential orientation of the nanowire along c-axis. In order to make the convincible comparison, the photoluminescence property of the nanowire arrays grown under different conditions are measured, the sharp near band edge emission from PL, low turn-on voltage (
$1.9V/{\mu}m$ ) from field emission measurement and Fowler-Nordheim plot was investigated from ZnO nanowire arrays grown by proposed substrate hanging method. -
자성나노유체(ferrofluid)는 계면활성제로 코팅된 직경 10 nm인 자성나노입자(magnetic nanoparticle)가 바탕액체(물 또는 오일 등)에 분산하고 있는 액체이다. 최근 연구에 의하면 자성나노 유체가 변압기 절연유로 사용될 경우 열전달 및 절연 특성이 향상된다고 보고되고 있다. 또한 자성나노유체에 포함된 자성나노입자는 영구자석 및 전자석 등에 의한 외부 자기장뿐만 아니라, 두 전극 사이에 인가된 전기장에 의한 유도자기장에 영향을 받는다고 한다. 본 연구에서는 두 전극 사이 전압을 1 kV로 인가한 경우에서 광학현미경을 이용한 자성나노입자의 마이크로 채널(microchannel) 내부 이동특성 관측 및 Maxwell 방정식을 이용한 전자기장 수치해석을 수행하였다. 실험 및 해석 결과를 통하여 자성나노유체에 포함된 자성나노입자가 인가된 전기장에 의하여 발생되는 이동특성을 분석하고, 선행연구에서 보고된 절연특성 변화에 관한 상관관계에 대해 고찰하였다. 광학현미경 관측 결과로부터 전기장이 인가되지 않은 경우에 균일하게 분산되어 있는 자성나노입자는 전기장 인가에 따라 발생되는 유도자기장에 의하여 입자 간의 뭉침(agglomeration) 현상과 전극 주위로 이동하려는 성질을 확인하였다. 또한 수치해석 결과로부터 자성나노입자의 존재로 인하여 전극 사이의 전기장 강도와 자속밀도가 증가함을 확인하였으며, 자성나노입자의 이동을 유발하는 유도자기장이 전극 주위에서 큰 것을 파악할 수 있었다. 이와 같은 결과는 자성나노입자가 변압기 절연유에 첨가된 경우우 절연파괴전압이 변화되는 이유를 설명할 수 있는 근거가 된다.
-
Graphene, a flat one-atom-thick two-dimensional layer of carbon atoms, is considered to be a promising candidate for nanoelectronics due to its exceptional electronic properties. Most of all, future nanoelectronics such as flexible displays and artificial electronic skins require low cost manufacturing process on flexible substrate to be integrated with high resolutions on large area. The solution based printing process can be applicable on plastic substrate at low temperature and also adequate for fabrication of electronics on large-area. The combination of printed electronics and graphene has allowed for the development of a variety of flexible electronic devices. As the first step of the study, we prepared the gate electrodes by printing onto the gate dielectric layer on PET substrate. We showed the performance of graphene field-effect transistor with electrohydrodynamic (EHD) inkjet-printed Ag gate electrodes.
-
Graphene has recently been a subject of much interest as a potential platform for future nanodevices such as flexible thin-film transistors, touch panels, and solar cells. And chemical vapor deposition (CVD) and related surface segregation techniques are a potentially scalable approach to synthesizing graphite films on a variety of metal substrates. The structural properties of such films have been studied by a number of methods, including Raman scattering, x-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and transmission electron microscopy (TEM). An understanding of the structural quality and thickness of the graphite films is of paramount importance both in improving growth procedures and understanding the resulting films' electronic properties. In this study, we synthesized the few-layered grapheneunder optimized condition to figure out the growth mechanism seen in CVD-grown graphenee by using various electron microscope. Especially, we observed directly film thickness, quality, nucleation site, and uniformity of grpahene by using AEM. The details will be discussed in my presentation.
-
Heterostructures has unique and important properties, which may be helpful for finding many potential applications in the field of electronic, thermoelectric, and optoelectronic devices. We synthesized CdTe/Te core-shell heterostructures by vapor-solid process at low temperatures using a quartz tube furnace. Two step vapor-solid processes were employed. First, various tellurium structures such as nanowires, nanorods, nanoneedles, microtubes and microrods were synthesized under various deposition conditions. These tellurium nanostructures were then used as substrates in the second step to synthesize the CdTe/Te core-shell heterostructures. Using this method, various sizes, shapes and types of CdTe/Te core-shell structures were fabricated under a range of conditions. These structures were analysed by scanning electron microscopy, high resolution transmission electron microscopy, and energy dispersive x-ray spectroscopy. The vapor phase process at low temperatures appears to be an efficient method for producing a variety of Cd/Te hetero-nanostructures. In addition, the hetero-nanostructures can be tailored to the needs of specific applications by deliberately controlling the synthetic parameters.
-
나노스케일에서의 자구체(magnetic domain), 자화벽(magnetic domain wall)에 대한 연구가 활발하게 진행되고 있으며 특히 자화벽의 위치를 임의로 제어할 수 있는 기술을 응용한 메모리 소자에 대한 연구가 활발하다. 반면에 이러한 연구에 필수적인 자구체, 자화벽 이미징 장비는 매우 미비한 상황이다. 이와 같은 자성이미징(magnetic domain image), 자화벽(magnetic domain wall)을 연구하는데 있어 가장 핵심적인 장비가 SEMPA(Scanning Electron Microscopy with Polarization Analysis)이다. 일반적으로 SEM의 경우 고 에너지 빔의 전자 빔을 주사 시키고 이때 발생되는 이차 전자의 수를 2차원상의 영역에 따라 달라지는 비로 형상을 측정하게 된다. 이때 전자의 수 뿐만 아니라 이들의 spin polarization을 측정할 수 있다면 형상뿐 만 아니라 표면에서의 스핀 상태를 동시에 측정할 수 있게 된다. 기 개발된 W-filament source를 이용한 SEMPA는 field emission source에 비하여 전자빔의 세기가 약하며 이차 전자의 수도 적어 spin polarization 감도가 현저히 떨어진다. 또한 초고진공(
$1{\times}10^{-10}torr$ )에서 사용할 수 없어 측정시료의 contamination을 방지할 수 없다. 이러한 문제점들을 보안하기 위하여 field emission source를 이용한 FE-SEMPA를 개발 중이며 2차전자의 spin polarization감도를 증가시키기 위하여 monte carlo simulation과 전산시늉등울 통해 스핀 검출기를 개발 및 연구결과를 발표하고자 한다. -
Characteristics of a fusion-driven transmutation reactor was investigated. A compact reactor concept is desirable from an economic viewpoint. For the optimal design of a reactor, a radial build of reactor components has to be determined by considering the plasma physics and engineering constraints which inter-relate various reactor components. In a transmutation reactor, design of blanket and shield play a key role in determining the size of a reactor; the blanket should produce enough tritium for tritium self-sufficiency, the transmutation rate of waste has to be maximized, and the shield should provide sufficient protection for the superconducting toroidal field (TF) coil. To determine the radial build of the blanket and the shield, not only a radiation transport analysis but also a burnup calculation were coupled with the system analysis and it allowed the self-consistent determination of the design parameters of a transmutation reactor.
-
최근 석유 자원의 고갈로 인한 대체자원의 관심이 커지면서 박막 태양전지에 대한 연구가 활발히 진행되고 있다. 기존의 단일 박막 태양전지는 Shockley-Queisser limit인 40.7%가 변환 효율의 최대값으로 한계가 정해져있다. 이 한계를 넘기기 위하여 현재 여러 층의 박막을 쌓은 tandem 태양전지, 양자점을 이용한 태양전지, 그리고 중간밴드계 태양전지가 제시되고 있다. 중간 밴드계 태양전지는 이론적으로 변환 효율이 63.2%에 달하며 제조 공정이 매우 용이하다는 장점을 가지고 있다. 이중에 ZnSe는 에너지밴드갭이 상온에서 2.7 eV를 가지고 있는 물질로서 파란색 빛을 내는 발광소자로 각광을 받고 있고, 산소를 주입했을 경우에 p형이 되는 성질과 자연적으로 n 형인 성질로 인해 박막 태양전지로 응용성에 대한 관심이 커지고 있다. 산소나 질소를 주입했을 경우 페르미준위 근처에서 중간밴드가 형성되었다는 연구결과들은 ZnTe(O)나 GaNAs를 통하여 확인되었으나, 현재까지 ZnSe를 이용한 중간밴드 태양전지에 대한 연구결과들은 거의 없는 상태이다. 본 연구에서는 ZnSe를 다양한 기판 온도에서 펄스레이저 증착법을 이용하여 성장하였고 성장하는 동안 산소 노출조건을 조절하여 깊은준위 에너지밴드형성에 대한 연구를 진행하였다. 성장온도와 산소 노출량에 따른 깊은준위에 대한 변화를 관찰하기 위하여 photoluminescence 스펙트럼을 분석하였으며, 박막의 품질에 대해 조사하기 위하여 X-ray diffraction을 이용하였다.
-
결정형 실리콘 태양전지 공정 중 표면 texturing 공정은 표면에 요철을 형성시켜 반사되는 빛 손실을 줄여서, 증가된 빛 흡수 양에 의해 단락전류(Isc)를 증가시키는데 그 목적이 있다. 표면 texturing 공정은 습식 식각과 건식 식각에 의한 방법으로 나눌 수 있다. 습식 식각은 KOH, TMAH, HNA 등의 실리콘 식각 용액을 사용하여 공정상의 위험도가 크고, 사용 후 용액의 폐기물에 의한 환경오염 문제가 있다. 건식 식각은 습식 식각과 달리 폐기물의 처리가 없고 미량의 가스를 이용한다. 그리고 다결정 실리콘 웨이퍼처럼 불규칙적인 결정방향에도 영향을 받지 않는 장점을 가지고 있어서 건식 식각을 이용한 표면 texturing 공정에 관한 많은 연구가 진행되고 있으며, 특히 RIE(reactive ion etching)를 이용한 태양전지 texturing 공정이 가장 주목을 받고 있다. 하지만 기존의 RIE를 이용하여 표면 texturing 공정을 하게 되면 500 nm 이하의 needle-like 구조의 표면이 만들어진다. Needle-like 구조의 표면은 전극을 형성할 때에 접촉 면적이 좁기 때문에 adhesion이 좋지 않은 것과 단파장 대역에서 광 손실이 많다는 단점이 있다. 본 논문에서는 기존의 RIE texturing의 단점을 보완하기 위해 챔버 내부에 metal-mesh를 장착한 후 RIE를 이용하여
$1{\mu}m$ 의 피라미드 구조를 형성하였고, RIE 공정 시 ion bombardment에 의한 표면 손상을 제거(RIE damage remove etching)하기 위하여 10초간 TMAH(Tetramethyl -ammonium hydroxide, 25 %) 식각 공정을 하였다. -
$Cu(In_{1-x}Ga_x)Se_2$ (CIGS) 박막 태양전지는 Chalcopyrite 계 박막 태양전지로 Cu, In, Ga, Se 각 원소의 조성을 적절히 조절하여 박막을 성장시킨다. 성장시킨 CIGS 박막은 광흡수계수가$10^5cm^{-1}$ 로 다른 물질보다 뛰어나고 직접 천이형 반도체로서 얇은 두께로도 고효율의 박막 제작이 가능하다. CIGS 태양전지를 제조하는 방법은 3-stage 동시 증착법, 금속 전구체의 셀렌화 공정법, 전기 증착법 등이 있다. 그 중에 금속 전구체의 셀렌화 공정법은 다른 제조 방법에 비해 대면적 생산에 유리한 장점이 있다. 하지만 아직 상대적으로 3-stage 동시 증착법에 비해 낮은 에너지 변환 효율이 보고된다. 본 실험에서는 기존의 금속 전구체의 셀렌화 공정법과는 달리 전구체 증착과 셀렌화 공정을 동시에 하고, Se cracker를 통하여 Se 원료를 주입하는 방식인 반응성 스퍼터링 공정에서 reservoir zone의 온도 변화에 따른 특성을 분석하였다. Se cracker의 reservoir zone 온도가 증가할수록 Cu/(In+Ga) 비가 증가한다. CIGS 박막 태양전지의 구조는 Al/Ni/ITO/i-ZnO/CdS/CIGS/Mo/Soda lime glass이다. CIGS 박막의 조성비가 Cu/(In+Ga)=0.89, Ga/(In+Ga)=0.17인 박막 태양전지에서 개방전압 0.34 V, 단락전류밀도$32.61mA/cm^2$ , 충실도 56.2% 그리고 변환 효율 6.19%를 얻었다. 본 연구는 2011년도 지식경제부의 재원으로 한국에너지 기술평가원(KTEP)의 지원을 받아 수행한 연구 과제입니다(No.20093020010030). -
The vuv spectrometer for ITER main plasma measurement is designed as a five-channel spectral system. To develop and verify the design, a two-channel prototype system was fabricated with No. 3 (14.4-31.8 nm) and No. 4 (29.0-60.0 nm) among the five channels. For test of the prototype system, a hollow cathode lamp is used as a light source. The system is composed of a collimating mirror to collect the light from source to slit, and two holographic diffraction gratings with toroidal geometry to diffract and also to collimate the light from the common slit to detectors. The overall system performance was verified by comparing the measured spectral resolutions with the calculated spectral resolutions. And we also have developed liquid jet target system. This study is about a neutron generator, which is designed to overcome many of the limitations of traditional beam-target neutron generators by utilizing a liquid target. One of the most critical aspects of the beam-target neutron generator is the target integrity under the beam exposure. A liquid target can be a good solution to overcome damage to the target such as target erosion and depletion of hydrogen isotopes in the active layer, especially for the ones operating at high neutron fluxes and maintained relatively thin with no need for water cooling. In this study, liquid target containing hydrogen has been developed and tested.
-
Supercapacitors, which can deliver significant energy with high power density, have attracted a lot of attention due to their potential application in energy storage. Among various oxide materials, sodium vanadate has been recognized as one of the most promising electrode materials because of high electrical conductivity. In addition, larger layer spacing of
${\beta}$ -Na0.33V2O5 compared to V2O5 makes easier Li+ insertion. Moreover,${\beta}$ -Na0.33V2O5 has a tunnel like structure along b axis with 3 kinds of V site allowing it to enhance the ion intercalation by introducing three different intercalation sites along the tunnel. The tunnel can act as a fast diffusion path for ion diffusion, which can improve the overall charge storage kinetics. In this study, high quality single crystalline sodium vanadate (${\beta}$ -Na0.33V2O5) nanowires were grown directly on Pt coated$SiO_2$ substrate by a facile chemical solution deposition method without employing catalyst, surfactant or carrier gas. The results show that great enhancement in capacitance was observed compared with previous reports. -
Zn-doped
$TiO_2$ mesoporous microspheres with high photocatalytic activity were synthesized via combined sol-gel and solvothermal methods for photocatalytic water splitting. It is found that the photocatalytic water splitting and photocatalytic degradation activity can be enhanced by doping an appropriate amount of Zn. Our results reveal that Zn doping inhibits the recombination of photo-generated charge carriers of$TiO_2$ and improves the probability of photo-generated charge carrier separation and hence the photocatalytic activity of$TiO_2$ . -
Indium sulfide thin films have been grown onto glass substrates using radio frequency magnetron sputtering at room temperature. The as-deposited film were annealed in nitrogen atmosphere at different temperatures of 100, 200, 300, 400 and
$500^{\circ}C$ with an 1 h annealing time. The effect of annealing temperature on composition, structure, morphology and optical properties of the as-grown In2S3 films has been studied. The XRD results indicate that the as-deposited films are composed by a mixture of both cubic${\alpha}$ and${\beta}$ crystalline phases, with some fraction of tetragonal phase. The thermal annealing on the films produces the conversion of the cubic crystalline phases to the tetragonal${\beta}$ one and a crystalline reorientation of the latter phase. The surface morphological analysis reveals that the films grown at$300^{\circ}C$ have an average grain size of ~ 58 nm. These films show a S/In ratio of 0.99. The optical band gap is found to be direct and the films grown at$300^{\circ}C$ shows a higher optical transmittance of 80% and an energy band gap of 2.52 eV. -
A transparent conducting oxide (TCO) layer was applied in crystalline Si (c-Si) solar cells without use of the conventional SiNx-coating. A high quality indium-tin-oxide (ITO) layer was directly deposited on an emitter layer of a Si wafer. Three different types of emitters were formed by controlling the phosphorous diffusion condition. A light-doped emitter forming a thinner emitter junction showed an improved photoconversion efficiency of 14.1% comparing to 13.2% of a heavy-doped emitter. This was induced by lower recombination within a narrower depletion region of the light-doped emitter. In the aspect of light management, the intermediate refractive index of ITO is effective to reduce the light reflection leading the enhanced carrier generation in a Si absorber. For the electrical aspect, the ITO layer serves as an efficient electrical conductor and thus relieves the burden of high contact resistance of the light-doped emitter. Additionally, the ITO works as a buffer layer of Ag and Si and certainly prevents the shunting problem of Ag penetration into Si emitter region. It discusses an efficient design scheme of TCO-embedded emitter Si solar cells.
-
본 연구는 Transparent conducting oxide (TCO, 산화물투명전극)를 이용한 박막태양전지 효율향상에 관한 것으로, 이중의 TCO층(Double-stacked TCO layer)의 효과적인 광학 및 전기적 설계에 관한 것이다. 기존 박막 태양전지에서는 투명전극 TCO layer로서, ITO (Indium-Tin-Oxide), FTO (Fluorine- Tin-Oxide), 및 AZO(Aluminum-doped Zinc Oxide) 등을 사용해 왔다. 각 TCO layer마다 장점이 있지만 단점 또한 존재한다. ITO의 경우 높은 전기적 특성을 가지는 반면 수소 플라즈마에 취약하고 기계적 강도에 취약해 ITO 단일층만으로 박막 태양전지에 적용하는 것에 제한을 받는다. 한편, AZO의 경우 전기적 특성도 우수할 뿐만 아니라 수소 플라즈마에도 내구성이 강한 장점이 있지만, 일함수가 p형 반도체보다 낮아 Schottky junction이 되어, 높은 전위장벽이 형성된다. 이는 정공의 이동을 방해하고, 정공의 축적이 일어나서 순방향 전압을 인가할 때 많은 전류의 감소를 가져온다. 또한, AZO와 p형 반도체 사이의 높은 직렬저항으로 인해 광전압(Voc, Open circuit voltage)와 충실률 (FF, Fill factor)가 떨어진다는 단점이 있다. 본 실험에서는 ITO/AZO 2중구조의 TCO층을 적용하여 상기의 문제점을 해결하고자 한다. 이중 구조 TCO층은 Magnetron sputter system을 이용하여, 단계적으로 증착되었다. 빛이 입사하는 유리에 ITO를 제1전도층으로 증착하였는데, ITO는 입사광의 투과도와 전기전도성이 우수하다. 제2전도층으로는 AZO층을 이용하였으며, 실리콘 반도체층과 접하게 된다. AZO는 실리콘 증착시 발생하는 수소 플라즈마에 안정적이고, 물리적 강도 또한 우수한 장점이 있다. 이중 구조층위에 실리콘 광흡수층(Si absorber)을 증착하였으며, pin 구조를 가진다. 기존, 단일막 TCO층과 2중구조 TCO층을 이용하여, 실리콘 박막 태양전지를 구성하였다. 이때, ITO/AZO의 2중구조를 적용하였을 때 태양 전지 특성이 크게 향상된 결과를 얻을 수가 있었다. 특히, 전류밀도의 경우 ITO, FTO, AZO 각각 14.5 mA/cm2, 11.2 mA/cm2, 8.18 mA/cm2를 나타낸 반면 ITO/AZO 2중구조의 경우 약 17mA/cm2 로 크게 향상 되었고, 태양전지 변환 효율도 각각 7.5%, 6.9%, 4%에서 ITO/AZO 2중 구조의 경우 8.05%로 크게 향상되었다. 본 발표에서는 2중구조 TCO를 이용한 현공정에 적용 가능한 박막태양전지 효율향상 기법에 대해 논의하고자 한다.
-
태양전지에서 고효율 달성을 위해 태양광을 더 많이 활용하기 위해서는 태양전지 표면에서의 광 반사를 줄여야 하는데 가장 효과적인 방법은 실리콘 기판의 wet etching 공정을 통한 텍스쳐링이다. 태양전지에서 가장 많이 사용되는 파장대역은 가시광선 영역인데 555 nm 파장에서 실리콘 표면의 total reflectance는 30.1%로 매우 높고 diffuse reflectance는 0.1%로서 무시할만큼 적다. 하지만 wet etching을 한 후 total reflectance는 18%까지 감소하였고, diffuse reflectance는 16%까지 증가하였다. 결정면에 따른 식각선택성을 이용하는 이방성 etching으로 V groove 모양의 표면형상을 얻을 수 있었고, 후속 등방성 etching을 하여 U groove 표면형상을 얻을 수 있었다. 또한 wet etching의 문제점중의 하나는 반응중에 생성되는 수소기체가 실리콘 표면에 부착되어 etching이 불균일하게 진행되는 것인데 초음파를 사용하여 이 문제를 해결하였다. 그리고 Etchant의 성분용액중 하나인 IPA의 농도조절을 통해 표면에 형성되는 피라미드의 크기를 조절할 수 있었다. 본 연구에서는 실리콘 표면형상의 각각 서로 다른 크기와 모양에 따라 태양전지를 만들었을 때 빛의 활용 측면에서 어떤 변화가 있고 얼마만큼의 효율변화가 있는지에 대해서도 알아보았다.
-
CIGS 박막 태양전지는 일반적으로 soda-lime glass(SLG)를 기판으로 사용하여 SLG/Mo/CIGS/CdS/ZnO/ITO/Grid의 구조로 제작된다. 하지만 SLG를 기판으로 사용할 경우, 유리의 특성상 무게가 무겁고, 유연성이 없기 때문에 건축물 적용에 적합하지 않다. 이러한 문제점을 극복하기 위해 가볍고 유연한 금속 및 폴리이미드 기판을 이용한 CIGS 태양전지가 널리 연구되고 있다. 그러나, 폴리이미드 기판의 경우, 특성이 우수한 CIGS 박막을 얻기 위한 고온 공정을 사용할 수 없기 때문에 이에 대한 고려가 필요하다. 본 논문에서는 CIGS 박막 태양전지 제작을 위한 폴리이미드 기판의 특성과 그 위에 형성한 후면 전극의 특성을 논의하고자 한다. 4종류의 폴리이미드 기판에 대한 열 특성을 시차주사열량계(differential scanning calorimeter)와 열중량분석기(thermogravimetric analysis), 열기계분석기(thermo mechanical anaylsis)를 이용해 분석하였다. 또한 Mo 후면 전극을 DC-sputter를 이용해 형성한 후, XRD와 AFM, 4-point probe를 이용하여 결정성 및 표면 거칠기, 면저항을 분석하였다. 결정성과 거칠기는 SLG에 증착했을 때와 동일한 결과를 보였으며, 면저항은 폴리이미드 필름에 증착 할 경우 더 크게 측정되었다. 본 연구는 중소기업청 산연기술개발사업(SL122689) 및 과학기술연합대학원대학교(UST)의 지원을 받아 수행된 "공동연구 지원사업"의 연구결과입니다.
-
Al doped ZnO (AZO) thin films were deposited on textured glass substrate by magnetron sputtering method. Also, AZO films on textured glass were etched by hydrochloric acid (HCl). Average thickness of etched AZO films are 90 nm. We observed morphology of AZO film by AFM with various etchant concentration and etching time. Etched AZO films have low resistivity and high haze. The surface RMS roughness of AZO film was increased from 53.8 nm to 84.5 nm. The haze ratio was also enhanced in above 700 nm of wavelength due to light trapping effect was increased by rough AZO surface. The etched AZO films on textured glass are applicable to fabricate solar cell.
-
현재 대부분의 태양전지는 약 90% 이상이 si을 기판으로 제작되고 있다. Solar cell의 효율을 감소시키는 원인은 여러 가지가 있다. bulk life time 감소, 수분침투, 우박, 바람에 의한 영향들이 태양전지 효율을 감소시킨다. 모듈에 눈이 쌓이거나 바람이 불어 외부적 힘이 가해져 micro crack 가게 된다면 전체 모듈은 과부하와 발열 현상이 일어나고 interconnection 감소로 인하여 효율도 떨어지게 된다. 본 연구에서는 평균적인 효율이 17.5%, 크기가 6인치 단결정 태양전지에 일정 간격으로 힘을 가하여 파라미터 변화를 측정하였다. 두께가
$250{\mu}m$ 인 cell에 0.8lb에 힘을 가했을 때 cell이 파괴 되는 것을 알 수 있었다. 힘을 가해줄 수록 Voc와 Isc가 감소하는 경향성을 보였고 결국에 효율도 감소하였다. 또한 ANSYS 시뮬레이션을 사용하여 셀에 힘이 가해졌을 때 어떤 변화가 생기는 지 확인하였다. 시뮬레이션을 통하여 셀에 힘이 가해졌을 때 힘의 분포도, bowing 현상을 3D 그래프로 나타내었다. 힘이 세기가 강해질수록 bowing 현상은 심해졌고 힘의 분포도도 달라졌다. -
모듈 상태에서의 태양전지 효율에 영향을 주는 외부 요인으로는 풍력과 눈 등의 하중으로 인한 물리적 스트레스와 자외선을 포함하는 광범위한 파장 대역의 빛의 영향 등이 있다. 따라서 본 연구에서는 태양전지의 야외 노출 시간에 따른 소자의 특성 및 효율 변화를 분석하고자 효율이 17.14%인 결정질 태양전지를 18시간 야외에서 노출 시켜 6시간 간격으로 전기적 특성을 분석해 태양전지의 여러 파라미터 변화를 분석하고자 한다. 본 실험에서는 태양전지의 외부 노출에 의한 소자 특성 및 파미미터 변화를 확인하고자 일정 시간 간격으로 노출 된 solar cell에 대한 Dark I-V, Light I-V 측정을 하였다. DIV 측정을 통해 노출 시간이 증가할수록 동일전압 대비 current가 증가하는 것을 알 수 있었다. 또한 역방향 전압에서는 누설전류가 증가함을 확인하였다. Turn-on 전압 감소와 누설전류 증가, 직렬저항의 변화로 인한 소자의 파라미터 변화를 확인하기 위한 LIV 측정에서는 노출 시간 증가에 따라 단락전류
$0.177(mA/cm^2)$ , 개방전압 2.699 (mV), 곡선인자 0.5%가 감소하였으며, 소자의 효율도 0.27% 감소하였다. 이처럼 태양전지의 외부 노출은 소자의 파라미터를 감소시키고 최종적으로 소자의 효율을 저해하는 원인이 됨을 확인하였다. -
A simple solution-based method is developed to deposit crystalline ultrathin (2 nm) nickel hydroxide on vertically grown ZnO nanowires to achieve high specific capacitance and long-term life for flexible and wearable energy storage devices. Ultrathin crystalline
$Ni(OH)_2$ enables fast and reversible redox reaction to improve the specific capacitance by utilizing maximum number of active sites for the redox reaction while vertically grown ZnO nanowires on wearable textile fiber effectively transport electrolytes and shorten the ion diffusion path. Under the highly flexible state$Ni(OH)_2$ coated ZnO nanowires electrode shows a high specific capacitance of 2150 F/g (based on pristine$Ni(OH)_2$ in 1 M LiOH aqueous solution with negligible decrease in specific capacitance after 1000 cycles. The synthesized energy-storage electrodes are easy-to-assemble which can provide unprecedented design ingenuity for a variety of wearable and flexible electronic devices. -
Rechargeable lithium-ion batteries have been considered the most attractive power sources for mobile electronic devices. Although graphite is widely used as the anode material for commercial lithium-ion batteries, it cannot fulfill the requirement for higher storage capacity because of its insufficient theoretical capacity of 372 mAh/g. For the sake of replacing graphite, Sn-based materials have been extensively investigated as anode materials because they can have much higher theoretical capacities (994 mAh/g for Sn, 875 mAh/g for SnO, 783 mAh/g for
$SnO_2$ ). However, these materials generate huge volume expansion and shrinkage during$Li^+$ intercalation and de-intercalation and result in the pulverization and cracking of the contact between anode materials and current collector. Therefore, there have been significant efforts of avoiding these drawbacks by using nanostructures. In this study, we present the CVD growth of SnO branched nanostructures on Cu current collector without any binder, using a combinatorial system of the vapor transport method and resistance heating technique. The growth mechanism of SnO branched nanostructures is introduced. The SnO nanostructures are evaluated as an anode for lithium-ion battery. Remarkably, they exhibited very high discharge capacities, over 520mAh/g and good coulombic efficiency up to 50 cylces. -
Seo, Il-Won;Son, Chan-Hui;Kim, Dong-Hae;Yun, Myeong-Su;No, Jun-Hyeong;Gang, Jeong-Uk;Jo, Gwang-Seop;Gwon, Gi-Cheong 599
태양광 발전은 태양광의 입사각과 셀 단면이 이루는각도에 따라 출력특성이 변화된다. 따라서 태양의 위치에 따른 출력특성이 바뀌며 이에 의해 발전가능 시간이 변화된다. 더욱이 건재 일체형(BIPV)의 경우 설치 방향을 조절 할 수 없으므로 입사각에 따른 출력특성이 더욱 중요하다. 이와 따라 결정질 태양전지의 입사각에 따른 광학 특성 변화는 태양전지 표면에 형성되는 Texture의 영향을 받는다. 일반적으로 습식 texturing 방법으로는 화학적인 반응을 이용한 WET 공정, 그리고 건식 texturing 방법으로는 플라즈마를 이용한 reactive ion etching (RIE) 공정이 사용된다. 본 연구에서는 RIE, WET 공정을 사용하여 만든 texturing 구조의 결정질 태양전지를 SEM 장비를 이용하여 표면의 형상을 분석하고, 광 입사각에 따른 양자효율의 특성에 대하여 분석하였다. -
An, Si-Hyeon;Kim, Seon-Bo;Jang, Gyeong-Su;Choe, U-Jin;Choe, Jae-U;Park, Hyeong-Sik;Jang, Ju-Yeon;Song, Gyu-Wan;Lee, Jun-Sin 600
실리콘 이종접합 태양전지는 diffused dopant를 이용하여 high conductivity의 emitter를 가지는 기존의 crystalline silicon 태양전지와는 다르게 a-Si:H를 이용한 low conductivity emitter 때문에 TCO를 front electrode 및 anti-reflection layer로 사용한다. 하지만 TCO와 emitter사이의 work function mismatch에 의한 band-offset이 발생하고 photo-generation된 carrier의 injection을 막아 효율 상승을 제한하게 된다. 본 연구는 산소 반응성 스퍼터링을 통한 front TCO의 일함수 변경과 이에 따른 TCO와 emitter 계면에 존재하는 band-offset 변화에 대하여 분석하였다. 특히 산소 분압에 따른 front TCO의 일함수 변화에 따라 개방전압 및 단락전류 변화가 두드러지게 나타났으며, 직렬저항 성분 변화에 따른 충진률 변화에 따른 효율상승을 얻을 수 있었다. -
An, Si-Hyeon;Kim, Seon-Bo;Jang, Ju-Yeon;Jang, Gyeong-Su;Park, Hyeong-Sik;Song, Gyu-Wan;Choe, U-Jin;Choe, Jae-U;Lee, Jun-Sin 601
본 연구는 Zr이 doping된 ITO target (ITO:Zr)을$200^{\circ}C$ 이하의 낮은 온도에서 RF magnetron sputtering을 이용한 증착으로 high mobility, high workfunction의 TCO 박막을 제작하고 이를 실리콘 이종접합 태양전지의 front TCO 적용에 관한 연구이다. 상기 공정으로 제작된 ITZO 박막의 가장 낮은 비저항은$2.58{\times}10-4{\Omega}-cm$ 이며 이때의 투과도는 90%를 얻을 수 있었다. 또한 기존의 TCO로 사용되던 AZO 및 ITO보다 높은 work function으로 인하여 태양전지의 front TCO 적용시 710 mV 이상의 개방 전압 상승과 band-offset 감소에 따른 34.44 mA 이상의 단락전류 상승을 얻을 수 있었다. 또한 높은 mobility에 의한 면저항 감소로 충진률 상승도 얻을 수 있었다. 상기 인자에 대한 태양전지 특성의 변화는 quantum efficiency 분석으로 규명할 수 있었다. -
An, Si-Hyeon;Park, Cheol-Min;Jang, Gyeong-Su;Kim, Seon-Bo;Jang, Ju-Yeon;Park, Hyeong-Sik;Song, Gyu-Wan;Choe, U-Jin;Choe, Jae-U;Lee, Jun-Sin 602
TCAD simulation을 이용하여 국부적 후면 접촉 구조를 가지는 단결정 실리콘 태양전지구조를 형성하고 실리콘 기판과 후면 passivation막 사이의 계면 특성 변화에 따른 태양전지의 전기적, 광학적 특성 변화에 대해서 연구하였다. 상기 연구를 진행하기 위하여 process simulator를 이용하여 후면에 국부적인 doped BSF region을 형성하고 device simulator를 이용하여 실리콘 기판과 후면 passivation막 사이의 carrier recombination 특성을 변화시켜 태양전지의 광학적, 전기적 특성을 분석하였다. Carrier recombination velocity의 감소에 따라 국부적 후면 접촉구조를 갖는 태양전지의 특성이 증가하는 것으로 관찰되었다. 이는 후면에서 실리콘과 박막 사잉의 결함이나, dangling bond에 의해서 carrier들이 재결합하는 확률이 줄어듦과 동시에, 후면 전극에서 carrier를 수집할 수 있는 확률이 커지기 때문이며, 800 nm 이상의 장파장영역 광원이 후면 passivation 박막에 의한 reflection으로 이차적인 carrier generation으로 인한 영향으로 판단되며 quantum efficiency 분석으로 규명하였다. -
An, Si-Hyeon;Park, Cheol-Min;Kim, Seon-Bo;Jang, Ju-Yeon;Park, Hyeong-Sik;Song, Gyu-Wan;Choe, U-Jin;Choe, Jae-U;Jang, Gyeong-Su;Lee, Jun-Sin 603
최근에 전면 emitter의 doping profile이 다른 selective emitter solar cell은 실제 제작시단파장 영역에서 많은 gain을 얻을 수 없어 LBC 구조의 태양전지에 관한 연구가 많이 진행되고 있다. 본 연구는 TCAD simulation을 이용하여 후면에 형성되는 locally doped BSF(p++) region의 doping profile의 변화에 따른 태양전지 특성에 관한 연구이다. Al으로 형성되는 local back contact의 doping depth 및 surface concentration에 따른 전기적, 광학적 분석을 통해 주도적인 인자를 분석하고 최적화하였다. 특히 doping depth에 따른 변화보다는 surface concentration의 변화에 따른 특성변화가 주도적으로 나타났다. -
An, Si-Hyeon;Jang, Gyeong-Su;Kim, Seon-Bo;Jang, Ju-Yeon;Park, Cheol-Min;Park, Hyeong-Sik;Song, Gyu-Wan;Choe, U-Jin;Choe, Jae-U;Jo, Jae-Hyeon;Lee, Jun-Sin 604
기존의 실리콘 이종접합 태양전지는 후면에도 passivation layer인 i-a-Si:H 및 BSF층인 n-a-Si:H가 형성되는 구조를 가지고 있었다. 이러한 구조를 대체하기 위하여 본 연구에서는 실리콘 이종접합 태양전지의 후면 구조에 passivation 층 및 BSF층을 novel material인 Sb증착 및 RTP, laser anneal을 통해 새로운 BSF층 형성하고 태양전지 특성에 대해서 분석하였다. 이를 위해서 carrier lifetime, LIV, DIV 및 QE 등 전기적, 광학적 분석뿐만 아니라 SIMS 분석을 통하여 laser annealing 공정으로 형성된 BSF층의 depth profile 분석도 진행하였다. 또한 wafer orientation에 따른 특성을 분석하기 위하여 (100) 및 (111) wafer를 이용하여 분석하였다. -
An, Si-Hyeon;Kim, Seon-Bo;Jang, Gyeong-Su;Park, Hyeong-Sik;Jang, Ju-Yeon;Song, Gyu-Wan;Choe, U-Jin;Choe, Jae-U;Lee, Jun-Sin 605
일반적으로 실리콘 이종접합 태양전지의 전면 투명산화막전도막에 요구되는 특성은 높은 투과도와 높은 전도도 특성이 요구되고 있다. 하지만 높은 전도도를 위해 carrier concentration을 높이게 되면 장파장 영역에서의 free-carrier absorption이 발생하여 투과도가 좋지 않게 되는 trade-off 관계에 있다. 그리고 일반적으로 투명산화전도막 두께 증가에 따라 전도도 상승은 투과도 하락을 가져와 태양전지의 효율 저감을 가져올 것이라고 생각되었다. 본 연구에서는 이러한 전면 투명산화전도막의 최적화에 관한 연구로써 박막 특성에 관한 분석과 태양전지 특성의 상관관계에 대하여 분석하였다. 특히 낮은 전도도를 가지는 실리콘 이종접합 태양전지의 emitter로 인해 투명산화전도막의 면저항성분에 관한 특성이 태양전지 특성에 가장 주도적인 영향을 미치는 것으로 나타났으며, 이는 직렬저항 성분에 대한 충진률 변화로 분석할 수 있었다.