Proceedings of the Korean Vacuum Society Conference (한국진공학회:학술대회논문집)
- Semi Annual
Domain
- Physics > Optics
2015.08a
-
Today, engineers are facing new set of challenges that are quite different from the conventional ones. Information technologies are rapidly commoditizing while the paths beyond the current roadmaps became uncertain as various technologies have been pushed to their limits. Along with these changes in IT ecosystems, grand challenges such as global security, health, sustainability, and energy increasingly require trans-disciplinary solutions that go beyond the traditional arenas in STEM (Science, Technology, Engineering and Mathematics). Addressing these needs is shifting engineering education and research to a new paradigm where the emphasis is placed on the consilience for holistic and system level understanding and the convergence of technology with AHSD (arts, humanities, social science, and design). At the center of this evolutionary convergence, nanotechnologies are enabling novel functionalities such as bio-compatibility, flexibility, low power, and sustainability while on a mission to meet scalability and low cost for smart electronics, u-health, sensing networks, and self-sustainable energy systems. This talk introduces the efforts of convergence based on the emerging nano technology tool sets in the newly launched School of Integrated Technology and the Yonsei Institute of Convergence Technology at Yonsei International Campus. While the conventional devices have largely depended upon the inherent material properties, the newer devices are enabled by nanoscale dimensions and structures in increasingly standardized and scalable fabrication platform. Localized surface plasmon resonance in 0 dimensional nano particles and structures leads to subwavelength confinement and enhanced near-field interactions enabling novel field of metal photonics for sensing and integrated photonic applications [1,2]. Unique properties offered by 1 dimensional nanowires and 2 dimensional materials and structures can enable novel electronic, photonic, nano-bio, and biomimetic applications [3-5]. These novel functionalities offered by the emerging nanotechnologies are continuously finding pathways to be part of smart systems to improve the overall quality of life.
-
Nanosheets of graphene and related 2D materials have attracted much attention due to excellent physical, chemical and mechanical properties. Single-layer graphene (SLG) was first synthesized by Blakely et al in 1974 [1]. Following his achievements, we initiated the growth and characterization of graphene and h-BN on metal substrates using surface segregation and precipitation in 1980s [2,3]. There are three important steps for nanosheet growth; surface segregation of dopants, surface reaction for monolayer phase, and subsequent 3-D growth (surface precipitation). Surface phase transition was clearly demonstrated on C-doped Ni(111) by in situ XPS at elevated temperatures [4]. The growth mode was clarified by inelastic background analysis [5]. The surface segregation approach has been applied to C-doped Pt(111) and Pd(111), and controllable growth of SLG has been demonstrated successfully [6]. Recently we proposed a promising method for producing SLG fully covering an entire substrate using Ni films deposited on graphite substrates [7]. A universal method for layer counting has been proposed [8]. In this paper, we will focus on the effect of competitive surface-site occupation between carbon and other surface-active impurities on the graphene growth. It is known that S is a typical impurity of metals and the most surface-active element. The surface sites shall be occupied by S through surface segregation. In the case of Ni(110), it is confirmed by AES and STM that the available surface sites is nearly occupied by S with a centered
$2{\times}2$ arrangement. When Ni(110) is doped with C, surface segregation of C may be interfered by surface active elements like S. In this case, nanoscopic characterization has discovered a preferred directional growth of SLG, exhibiting a square-like shape (Fig. 1). Also the detailed characterization methodologies for graphene and h-BN nanosheets, including AFM, STM, KPFM, AES, HIM and XPS shall be discussed. -
In this study, we study the effects of CF4 plasma treatment on the characteristics of enhancement mode (E-mode) AlGaN/GaN high electron mobility transistors (HEMTs). The CF4 plasma is generated by inductively coupled plasma reactive ion etching (ICP-RIE) system. The CF4 gas is decomposed into fluorine ions by ICP-RIE and then fluorine ions will effect the AlGaN/GaN interface to inhibit the electron transport of two dimension electron gas (2DEG) and increase channel resistance. The CF4 plasma method neither like the recessed type which have to utilize Cl2/BCl3 to etch semiconductor layer nor ion implantation needed high power to implant ions into semiconductor. Both of techniques will cause semiconductor damage. In the experiment, the CF4 treatment time are 0, 50, 100, 150, 200 and 250 seconds. It was found that the devices treated 100 seconds showed best electric performance. In order to prove fluorine ions existing and CF4 plasma treatment not etch epitaxial layer, the secondary ion mass spectrometer confirmed fluorine ions truly existing in the sample which treatment time 100 seconds. Moreover, transmission electron microscopy showed that the sample treated time 100 seconds did not have etch phenomena. Atomic layer deposition is used to grow Al2O3 with thickness 10, 20, 30 and 40 nm. In electrical measurement, the device that deposited 20-nm-thickness Al2O3 showed excellent current ability, the forward saturation current of 210 mA/mm, transconductance (gm) of 44.1 mS/mm and threshold voltage of 2.28 V, ION/IOFF reach to 108. As IV concerning the breakdown voltage measurement, all kinds of samples can reach to 1450 V.
-
Control of plasma processing methodologies can only occur by obtaining a thorough understanding of the physical and chemical properties of plasmas. However, all plasma processes are currently used in the industry with an incomplete understanding of the coupled chemical and physical properties of the plasma involved. Thus, they are often 'non-predictive' and hence it is not possible to alter the manufacturing process without the risk of considerable product loss. Only a more comprehensive understanding of such processes will allow models of such plasmas to be constructed that in turn can be used to design the next generation of plasma reactors. Developing such models and gaining a detailed understanding of the physical and chemical mechanisms within plasma systems is intricately linked to our knowledge of the key interactions within the plasma and thus the status of the database for characterizing electron, ion and photon interactions with those atomic and molecular species within the plasma and knowledge of both the cross-sections and reaction rates for such collisions, both in the gaseous phase and on the surfaces of the plasma reactor. The compilation of databases required for understanding most plasmas remains inadequate. The spectroscopic database required for monitoring both technological and fusion plasmas and thence deriving fundamental quantities such as chemical composition, neutral, electron and ion temperatures is incomplete with several gaps in our knowledge of many molecular spectra, particularly for radicals and excited (vibrational and electronic) species. However, the compilation of fundamental atomic and molecular data required for such plasma databases is rarely a coherent, planned research program, instead it is a parasitic process. The plasma community is a rapacious user of atomic and molecular data but is increasingly faced with a deficit of data necessary to both interpret observations and build models that can be used to develop the next-generation plasma tools that will continue the scientific and technological progress of the late 20th and early 21st century. It is therefore necessary to both compile and curate the A&M data we do have and thence identify missing data needed by the plasma community (and other user communities). Such data may then be acquired using a mixture of benchmarking experiments and theoretical formalisms. However, equally important is the need for the scientific/technological community to recognize the need to support the value of such databases and the underlying fundamental A&M that populates them. This must be conveyed to funders who are currently attracted to more apparent high-profile projects.
-
In this talk, I will introduce two topics. The first topic is the polymer light emitting diodes (PLEDs) using graphene oxide quantum dots as emissive center. More specifically, the energy transfer mechanism as well as the origin of white electroluminescence in the PLED were investigated. The second topic is the facile synthesis of eco-friendly III-V colloidal quantum dots and their application to light emitting diodes. Polymer (organic) light emitting diodes (PLEDs) using quantum dots (QDs) as emissive materials have received much attention as promising components for next-generation displays. Despite their outstanding properties, toxic and hazardous nature of QDs is a serious impediment to their use in future eco-friendly opto-electronic device applications. Owing to the desires to develop new types of nanomaterial without health and environmental effects but with strong opto-electrical properties similar to QDs, graphene quantum dots (GQDs) have attracted great interest as promising luminophores. However, the origin of electroluminescence (EL) from GQDs incorporated PLEDs is unclear. Herein, we synthesized graphene oxide quantum dots (GOQDs) using a modified hydrothermal deoxidization method and characterized the PLED performance using GOQDs blended poly(N-vinyl carbazole) (PVK) as emissive layer. Simple device structure was used to reveal the origin of EL by excluding the contribution of and contamination from other layers. The energy transfer and interaction between the PVK host and GOQDs guest were investigated using steady-state PL, time-correlated single photon counting (TCSPC) and density functional theory (DFT) calculations. Experiments revealed that white EL emission from the PLED originated from the hybridized GOQD-PVK complex emission with the contributions from the individual GOQDs and PVK emissions. (Sci Rep., 5, 11032, 2015). New III-V colloidal quantum dots (CQDs) were synthesized using the hot-injection method and the QD-light emitting diodes (QLEDs) using these CQDs as emissive layer were demonstrated for the first time. The band gaps of the III-V CQDs were varied by varying the metal fraction and by particle size control. The X-ray absorption fine structure (XAFS) results show that the crystal states of the III-V CQDs consist of multi-phase states; multi-peak photoluminescence (PL) resulted from these multi-phase states. Inverted structured QLED shows green EL emission and a maximum luminance of ~45 cd/m2. This result shows that III-V CQDs can be a good substitute for conventional cadmium-containing CQDs in various opto-electronic applications, e.g., eco-friendly displays. (Un-published results).
-
반도체 및 디스플레이 소자 제조를 위한 진공 플라즈마는 다양한 공정 조건하에서 다양한 공정 가스의 물리화학적 반응에 의한 박막의 형석 및 식각 반응을 유도한다. 실 공정 하에서 기체 성분의 환경 조건에 의하여 박막층 및 식각 구조 형성에 심각한 영향이 발생할 수 있으며, 공정 조건에서 기체 압력을 완벽하게 컨트롤 하는 것은 현실상 불가능하므로 기체 부분압력이 실시간으로 반드시 모니터링 되고 이를 피드백으로 하여 압력 변수가 조정되어야 완벽하게 공정을 제어할 수 있다. 이를 위하여 현장에서 플라즈마 공정을 실시간 in-situ 모니터링 할 수 있는 다양한 진단 방법이 도입되고 있으며 접촉신 진단 방법은 플라즈마와 섭동으로 인한 교란을 유발하고, 이온에너지 측정의 한계가 존재하며 비접촉식 방법 중의 하나인 유도형광법(LIF)은 측정 물질의 제한으로 인하여 플라즈마 내에 존재하는 다양한 가스 종의 거동을 살필 수 없는 등 현실 적용 측면에서 실 공정에 적용하는데 단점이 존재한다. 공정 상태 및 RF에 의한 영향을 주고받지 않고, 민감한 공정 변화의 감지 및 혼합가스를 사용하는 실시간 공정 진단을 위하여 비접촉 광학 측정 방식인 발광 분광 분석법(optical emission spectroscopy, OES)이 각광받고 있으며, 본 강습에서는 분광학의 기본 개념 및 OES를 이용한 진공 플라즈마 진단 방법에 관한 전반적인 개요를 설명하도록 한다
-
메모리 반도체 세계 시장 점유율 1위 뿐만 아니라 국내 전체 산업 가운데 가장 중추적인 역할을 하는 반도체 산업의 지속적인 성장과 국가 경제의 발전을 위해서 소자 업체 뿐만 아니라 장비, 소재, 부품 산업의 동반 성장은 반드시 필요하다. 그 중에서 특히 소재, 부품 산업을 발전시키는 것이 국산 반도체 장비의 시장 점유율이 낮은 현 시점에서 가장 필요한 선택이다. 반도체 소재, 부품 산업의 발전을 위해서 제일 먼저 해야할 일은 영세한 국내 반도체 소재, 부품 산업을 활성화 시키는 것이며, 지속 적인 연구 개발, 성능 평가 방안 확보, 수요 업체와의 연계 방안 확보 등이 주요 현안이다. 반도체 소자 업체, 장비 업체에서 원하는 소재 및 부품의 성능을 만족하는 제품을 만들기 위해서는 우선 소재 및 부품의 기본 특성을 만족하는지에 대한 평가가 필요하고, 더 나아가서는 디바이스의 특성을 만족시켜줄 수 있는 한 단계 상향된 수요자의 요구 조건을 만족 시켜줄 수 있어야 한다. 본 연구에서는 그 중 특히 중요한 요소인 성능 평가에 대해서 논하고자 한다. 우선 반도체 공정용 소재에 대해서 살펴 보면 대표적인 반도체 소재로 증착용 Precursor, Photo Resistor, Sputter Target 등이 있다, 평가 방법으로는 ALD용 Precursor의 경우 대기 노출시 폭발, 화염 발생 등의 위험 요소를 안고 있어 특별한 주의가 요구 된다. PR이나 Sputter Target은 상대적으로 위험성은 적으며, 다양한 성능 평가 들이 가능하다. 다음으로 부품 평가에 대해서 살펴 보자. 본 원에서 가장 많이 진행된 부품 평가는 개발된 Pump의 성능 평가이다. 개발된 Pump는 1차적으로 KRISS 진공센터 에서 기본 Pumping 능력 평가를 실시하고, 다음으로 공정 평가를 실시한다. Pump마다 특성이 달라서 각 펌프의 성능 평가에 적합한 공정과 장비를 우선 선정하고 그에 합당한 공정을 진행하여 평가를 실시한다. 고 진공용인 Cryo Pump는 순수한 물질의 증착이 중요한 Metal Sputter 공정 장비에 장착하여 공정용 Gas를 흘리면서 Pump의 구동에 따른 성능 평가를 하고, 다음으로 실제로 Metal Sputter를 실시해서 Wafer에 증착된 물질의 특성을 확인한다. 다음으로 Turbo Pump의 경우 Etch 장비에 장착하여 Etch Uniformity, Etch Rate, By-product 배출 정도에 대해서 평가를 한다. Dry Pump는 비교적 공정 압력이 낮은 PECVD 공정 장비에서 평가를 진행 한다. 마지막으로 공정 진단, 챔버 상태 진단 등을 할 수 있는 별도의 부품 또는 장치로 PBMS, PCDS, OES 등의 평가에 대해서 논한다. 본 장치들은 실제 반도체 공정 장비와 환경에서 평가가 되어야지만 최종 사용자 입장에서 신뢰를 가지고 결과에 대해서 접근할 수 있다. 위에 논의된 장치들은 현재 공정 장비에 부착되어서 판매 되고 있는 것이 아니라 수요가 많지 않으나, 자체 성능 개선과 적합한 평가를 통해서 장치의 성능이 인정되면 300 mm 이상 Wafer 공정에서 반드시 필요한 실시가 공정 진단을 위해서 폭발적인 수요를 창출할 수 있으리라 본다.
-
Strong interactions between electromagnetic radiation and electrons at metallic interfaces or in metallic nanostructures lead to resonant oscillations called surface plasmon resonance with fascinating properties: light confinement in subwavelength dimensions and enhancement of optical near fields, just to name a few [1,2]. By utilizing the properties enabled by geometry dependent localization of surface plasmons, metal photonics or plasmonics offers a promise of enabling novel photonic components and systems for integrated photonics or sensing applications [3-5]. The versatility of the nanoplasmonic platform is described in this talk on three folds: our findings on an enhanced ultracompact photodetector based on nanoridge plasmonics for photonic integrated circuit applications [3], a colorimetric sensing of miRNA based on a nanoplasmonic core-satellite assembly for label-free and on-chip sensing applications [4], and a controlled fabrication of plasmonic nanostructures on a flexible substrate based on a transfer printing process for ultra-sensitive and noise free flexible bio-sensing applications [5]. For integrated photonics, nanoplasmonics offers interesting opportunities providing the material and dimensional compatibility with ultra-small silicon electronics and the integrative functionality using hybrid photonic and electronic nanostructures. For sensing applications, remarkable changes in scattering colors stemming from a plasmonic coupling effect of gold nanoplasmonic particles have been utilized to demonstrate a detection of microRNAs at the femtomolar level with selectivity. As top-down or bottom-up fabrication of such nanoscale structures is limited to more conventional substrates, we have approached the controlled fabrication of highly ordered nanostructures using a transfer printing of pre-functionalized nanodisks on flexible substrates for more enabling applications of nanoplasmonics.
-
Metamaterials, artificially structured nanomaterials, have enabled unprecedented phenomena such as invisibility cloaking and negative refraction. Especially, hyperbolic metamaterials also known as indefinite metamaterials have unique dispersion relation where the principal components of its permittivity tensors are not all with the same signs and magnitudes. Such extraordinary dispersion relation results in hyperbolic dispersion relations which lead to a number of interesting phenomena, such as super-resolution effect which transfers evanescent waves to propagating waves at its interface with normal materials and, the propagation of electromagnetic waves with very large wavevectors comparing they are evanescent waves and thus decay quickly in natural materials. In this abstract, I will focus discussing our efforts in achieving the unique optical property overcoming diffraction limit to achieve several extraordinary metamaterials and metadevices demonstration. First, I will present super-resolution imaging device called "hyperlens", which is the first experimental demonstration of near- to far-field imaging at visible light with resolution beyond the diffraction limit in two lateral dimensions. Second, I will show another unique application of metamaterials for miniaturizing optical cavity, a key component to make lasers, into the nanoscale for the first time. It shows the cavity array which successfully captured light in 20nm dimension and show very high figure of merit experimentally. Last, I will discuss the future direction of the hyperbolic metamaterial and outlook for the practical applications. I believe our efforts in sub-wavelength metamaterials having such extraordinary optical properties will lead to further advanced nanophotonics and nanooptics research.
-
Industrial crystalline silicon (c-Si) solar cells with using a screen printing technology share the global market over 90% and they will continue to be the same for at least the next decade. It seems that the
$2^{nd}$ generation and the$3^{rd}$ generation technologies have not yet demonstrated competitiveness in terms of performance and cost. In 2014, new world record efficiency 25.6% (Area-$143.7cm^2$ , Voc-0.740V,$Jsc-41.8mA/cm^2$ , FF-0.827) was announced from Panasonic and its cell structure is Back Contact$HIT^*$ c-Si solar cell. Here, amorphous silicon passivated contacts were newly applied to back contact solar cell. On the other hand, 24.9%$TOPCon^{**}$ cell was announced from Fraunhofer ISE and its key technology is an excellent passivation quality applying tunnel oxide (<2 nm) between metal and silicon or emitter and base. As a result, to realize high efficiency, high functional technologies are quite required to overcome a theoretical limitation of c-Si solar cell efficiency. In this presentation, Si solar cell technology summarized in the International Technology Roadmap for Photovoltaics ($^{***}ITRPV$ 2014) is introduced, and the present status of R&D associated with various c-Si solar cell technologies will be reviewed. In addition, national R&D projects of c-Si solar cells to be performed by Korea University are shown briefly. -
현재 인류가 직면한 에너지 부문의 큰 난제는 화석연료의 한정된 매장이기도 하지만 그 사용에 따른 환경오염으로 인한 피해들이 더 큰 문제로 대두되고 있다. 그중 지구 온난화의 영향으로 최근 세계에 국지적인 홍수, 가뭄, 태풍등의 기후 불안정으로 피해보는 상황이 가속화 되고 있다. 또한, 북극지방의 해빙으로 해수면 상승이 예상되며 머지않은 시간에 해수면 상승의 직접적인 피해가 인류에게 오리라는 보고가 많이 발표되고 있다. 그런데 우리가 더욱 중요한 것은 지구촌83의 관성이 크기 때문에 지구 온난화 와 해수면 상승이 인류에 큰 피해를 미치기 시작할 때는 이미 돌이킬 수 없는 상황에 진입해버린다는 사실을 간과하고 있는다는 것이다. 그러므로 과학적 분석에 근거하여 피해를 축소하기위한 활동을 실천해야할 시기이다. 특히, 우리나라의 경우 에너지의 97% 이상을 해외에서 수입하고 있으며, 세계에너지 소비 10위, 석유 소비 7위의 열악한 에너지 자원 빈국인 만큼, 중장기적인 관점에서 석유의존도를 개선하고 신재생에너지원을 확보하는 등 대책마련이 시급한 실정이다. 신재생에너지원으로 현재까지 가장 큰 역할을 해왔던 것 중의 하나가 풍력발전기인데, 오늘날 세계 풍력에 의한 발전량은 세계 총 발전량의 2% 미만에 불과하이다. 이러한 풍력 시장에 발맞춰 국내에서도 풍력발전기 개발에 많은 힘을 쏟고 있는데, 2002년부터 750 kW급 풍력발전기가 처음 개발되기 시작하였고, 이후 2 MW급 풍력발전기와 3 MW급 풍력발전기가 개발되었으며, 최근에는 5 MW와 7.5 MW급등 초대형 풍력발전기가 대기업 중심으로 개발 진행되고 있었으나, 2008년 세계 금융위기 이후 세계 경기침체의 영향으로 국내 풍력산업도 적지않은 영향으로 구조조정되어 기존 7~8개 풍력발전기 제조사들이 3개사 이하로 축소되고 있다. 이에 정부 및 전라남도는 해상풍력산업을 침체기를 겪는 조선산업의 대체산업으로 육성하기위해, 정부 주도로 부안 앞바다에 2.5 GW 해상풍력발전단지개발을 준비 중에 있으며, 전라남도는 전남 서해안에 4 GW 해상풍력발전단지 개발을 서두드고 있다. 특히, 전라남도는 국내에서 가장 많은 풍력에너지 포텐셜을 가지고 있으며, 바람의 질 또한 우수하여 이를 지역산업 활성화의 모멘텀으로 가져가기위해 많은 노력을 기울이고 있다. 그 일례로 영광군 백수읍 하사리 바닷가
$4,342m^2$ 부지에 "풍력테스트베드"를 개발하여 국내 풍력발전기 제조사들이 활용하도록 도움을 주고 있다. 종래의 테스트베드는 해외지역을 이용하였는데, 이는 국내에서 개발된 풍력발전기들이 국제인증을 받기위해 바람의 질이 좋은 해외 테스트베드에 설치되어 평가받았으며 고가의 비용이 소모되었다. 제주도에 테스트베드 장소가 있긴 하지만 수용 규모가 작아 풍력발전기 제조사들이 대기할 수 없어 해외로 나가는 실정에 전라남도의 내륙 테스트베드를 개발함으로서 풍력발전기 제조사들에게 큰 도움을 주고 있다. 본 보고에서는 국가 해상풍력 산업발전의 단계별 전략과 전라남도의 해상풍력산업의 방향 그리고 풍력테스트베드의 현황을 소개하고자 한다. -
현재 태양광에너지 시장은 해마다 빠른 속도로 성장하는 추세이며 50 GWp/년 이상의 시장으로 변화하였고 앞으로도 전체적인 성장세는 지속 유지될 것으로 판단된다. 하지만 이와 아울러 각 Value chain 별로 많은 기업들이 생겨나게되어 각각의 기업들이 제품을 고효율 저가화 함으로서 경쟁력을 확보하기 위해 많은 노력들이 기울이고 있으며 본 강연에서는 이러한 측면에서 태양광 에너지의 분야별 고효율/저가화를 위한 기술동향을 살펴보고자 한다. 태양광 산업은 아직은 다소 높은 발전단가로 인해 일부 정부의 지원이나 정책에 의해 산업의 규모가 결정되게 되는데 주요한 지원제도는 RPS 제도와 FIT 제도가 있으며 우리나라는 초기 FIT 제도로 국가에서 태양광에서 생산된 전기를 높은 가격에 사주었으나 근래에들어 RPS 제도를 운영하게 되었으며 매전을 하면서 SMP에 준하는 수익을 창출하고 이와 아울러 REC 를 확보하여 확보된 REC 단가에 의해 추가적인 수익을 창출하는 구조의 발전사업이 진행되고 있다. 그리고 RPS나 FIT와 같은 정부의 지원없이도 발전단가의 경쟁력을 확보하는 시점을 그리드패러티라고 하며 이는 매우 중요한 의미를 갖는다. 태양광의 저가화는 그리드패러티 달성을 확보하기 위해 필수적으로 필요한 사안이며 앞으로도 이러한 저가화 / 고효율화 기술노력은 계속 진행될 것으로 판단된다. 우선 소재의 가격을 줄이기 위해 웨이퍼의 두께가 점점 박형화 되어가고 박형화 되면서도 안정적인 공정수율 및 효율을 향상시키기 위한 기술개발이 진행되고 있으며 Cell 분야에 있어서도 고효율을 위한 다양한 Texturing 기술 및 패시베이션 기술의 개발이 이루어 지고 있으며 고효율 컨셉의 MRT cell, Back contact cell 등 고효율 구조의 cell의 양산을 진행하고 있는 등 최근 n-type 기반의 고효율 cell 기술이 활발하게 양산화 검토가 이루어 지고 있다. 모듈 분야에 있어서는 저가/고효율화와 아울러 제품의 신뢰성 확보가 무엇보다도 중요하게 다루어 지고 있으며 이는 모듈이 최소 25년 이상 Field 에서 운용되어 수익창출이 가능해야 하므로 가장 중요한 요소중에 하나라고 할 수 있다. 신뢰성 측면에서 중요하게 다루어 지고 있는 것 중 하나가 PID 저감을 위한 노력이며 이와 관련된 각 소재의 개발이 가장 활발하게 진행되고 있으며 이와 아울러 장수명을 보장하기 위한 내구성이 겸비된 봉지재의 개발 또한 많은 관심을 불러 일으키고 있다. 저가/고효율화를 위해 CTM loss를 줄이기 위한 다양한 시도가 이루어지고 있으며 특수 형태의 리본으로 빛의 흡수를 증가시키거나 컨택저항을 최소화 하기위한 소재의 개발이 이루어 지고 있다. 태양광 시스템 분야의 경우 발전량과 수익창출에 있어 직접적인 영향을 미치는 분야로서 전체 시스템의 loss 를 줄이고 최적의 환경에서 최대한의 발전량을 확보하기 위한 array 설계 및 운용기술이 활발하게 개발되고 있으며 시스템에서의 loss를 줄여줄수 있는 마이크로 인버터나 multi string 인버터의 적용도 이루어 지고 있으나 저가화를 위한 추가적인 노력이 필요한 상황이다. 본 강연의 마지막으로 이러한 노력들의 산물인 특수 태양광 제품 및 시스템의 기술동향에 대해 살펴하고자 한다. 사막은 전체면적의 1/3을 차지할 정도로 넓은 면적을 자랑하지만 밤과 낮의 기온차 그리고 계통 선로의 부재 등 적용하기 어려운 환경적인 제약도 함께 존재하며 이러한 문제를 해결하기 위한 방안에 대해 살펴보고 최근 Hot issue 중의 하나인 수상 태양광 시스템의 장, 단점과 기술적 특성 등을 살펴보고자 한다.
-
Kim, Dong-Bin;Mun, Ji-Hun;Kim, Hyeong-U;Kim, Deuk-Hyeon;Lee, Jun-Hui;Gang, Sang-U;Kim, Tae-Seong 91
반도체 공정의 발전에 의해 최근 생산되는 메모리 등은 십 수 나노미터까지 좁아진 선 폭을 갖게 되었다. 이러한 이유로, 기존에는 큰 문제를 발생시키지 않던 나노미터 영역의 입자들이 박막 증착 공정과 같은 반도체 제조공정 수율을 저감시키게 되었다. 따라서 오염입자의 유입을 막거나 제어하기 위해 transmission electron microscopy (TEM)나 scanning electron microscopy (SEM)과 같은 전자현미경을 활용한 비 실시간 입자 측정 방법 및 광원을 이용하는 in-situ particle monitor (ISPM) 및 전기적 이동도를 이용한 scanning mobility particle sizer (SMPS) 등 다양한 원리를 이용한 실시간 입자 측정방법이 현재 사용중에 있다. 이 중 진공 내 입자의 수농도를 측정하기 위해 개발된 particle beam mass spectrometer (PBMS) 기술은 박막 증착 공정 등 chemical vapor deposition (CVD) 방법을 이용하는 진공공정에서 활용 가능하여 개발이 진행되어 왔다. 본 연구에서는 PBMS의 한계점인 입자 밀도, 형상 등의 특성분석이 용이하도록 PBMS와 scanning electron microscopy (SEM), 그리고 energy dispersive spectroscopy (EDS) 기술을 결합하여 입자의 직경별 개수농도, 각 입자의 형상 및 성분을 함께 측정 가능하도록 하였다. 협소한 반도체 제조공정 내부 공간에 적용 가능하도록 기존 PBMS 대비 크기 또한 소형화 하였다. 각 구성요소인 공기역학 집속렌즈, electron gun, 편향판, 그리고 패러데이 컵의 설치 및 물리적인 교정을 진행한 후 입자발생장치를 통해 발생시킨 sodium chloride 입자를 상압 입자 측정 및 분류장치인 SMPS 장치를 이용하여 크기별로 분류시켜 압력차를 통해 PBMS로 유입시켜 측정을 진행하였다. 나노입자의 입경분포, 형상 및 성분을 측정결과를 토대로 장치의 측정정확도를 교정하였다. 교정된 장치를 이용하여 실제 박막 증착공정 챔버의 배기라인에서 발생하는 입자의 수농도, 형상 및 성분의 복합특성 측정이 가능하였으며, 최종적으로 실제 공정에 적용가능하도록 장치 교정을 완료하였다. -
전 세계적으로 화석연료의 고갈 및 환경오염 문제를 해결하기 위해 신재생에너지에 대한 관심이 급증하고 있다. 이러한 신재생에너지에는 수소 에너지, 자연 에너지(태양열, 지열 등), 바이오 매스 에너지 등이 포함된다. 이 중 수소 에너지는 지구상에 풍부하게 존재하고 있는 물과 탄화수소로부터 얻어지며, 연소 시에도 다시 물을 형성하여 오염 물질을 배출하지 않는 차세대 무공해 에너지원으로써 주목을 받고 있다. 수소 제조를 위한 공정에는 수증기 개질 공정(steam reforming), 부분 산화(partial oxidation) 및 자열개질(autothermal reforming) 등이 있으며 실제로 생산되는 대부분의 수소는 탄소/수소비(1:4)가 높은 메탄(
$CH_4$ ) 가스를 이용한 메탄 수증기 개질 공정(steam methane reforming)을 통하여 제조된다. 이 때 수소 제조의 고효율화 및 저비용화를 위해서는 반응물에 대한 높은 선택도, 고활성도 및 높은 안정성을 갖는 촉매가 반드시 필요하며, 대표적으로 Ni, Pt, Ru 등이 보고되고 있다. 이러한 촉매들은 대부분 세라믹 pellet 형태로 제작되어 왔으나 열전도도가 낮고 물리적 충격에 취약하다는 단점이 존재한다. 따라서 우리는 이러한 단점을 극복하고, 촉매의 활성을 높이기 위하여 다공성 금속 합금 폼을 촉매 지지체로 도입하였다. 또한, 다공성 금속 합금 폼 표면에 촉매의 분산 및 안정성을 향상시키기 위해 지지체와 촉매 사이에 원자층 증착법을 이용하여 inter-layer를 도입하였다. 이들의 구조, 형태, 및 표면의 화학적 상태는 주사전자현미경, EDS (energy dispersive spectroscopy)가 탑재된 주사전자현미경, X-선 회절, 및 X-선 광전자 분광법을 이용하여 규명하였다. 더하여 정전압-전류 측정법 및 유도 결합 플라즈마 분광 분석기을 이용하여 전기 화학 반응을 유도하고, 반응 후 전해질의 성분분석을 통해 촉매와 지지체 간의 안정성을 평가하였다. 따라서 본 결과들은 한국진공학회 하계정기학술대회를 통해 좀 더 자세히 논의될 것이다. -
Due to the demand of the cold neutron flux in the neutron science and beam utilization technology, the cold neutron source (CNS) has been constructed and operating in the nuclear research reactor all over the world. The majority of the heat load removal scheme in the CNS is two-phase thermosiphon using the liquid hydrogen as a moderator. The CNS moderates thermal neutrons through a cryogenic moderator, liquid hydrogen, into cold neutrons with the generation of the nuclear heat load. The liquid hydrogen in a moderator cell is evaporated for the removal of the generated heat load from the neutron moderation and flows upward into a heat exchanger, where the hydrogen gas is liquefied by the cryogenic helium gas supplied from a helium refrigeration system. The liquefied hydrogen flows down to the moderator cell. To keep the required liquid hydrogen stable in the moderator cell, the CNS consists of an in-pool assembly (IPA) connected with the hydrogen system to handle the required hydrogen gas, the vacuum system to create the thermal insulation, and the helium refrigeration system to provide the cooling capacity. If one of systems is running out of order, the operating research reactor shall be tripped because the integrity of the CNS-IPA is not secured under the full power operation of the reactor. To prevent unscheduled reactor shutdown during a long time because the research reactor has been operating with the multi-purposes, the introduction of the standby cooling system (STS) can be a solution. In this presentation, the design considerations are considered how to design the STS satisfied with the following objectives: (a) to keep the moderator cell less than 350 K during the full power operation of the reactor under loss of the vacuum, loss of the cooling power, loss of common electrical power, or loss of instrument air cases; (b) to circulate smoothly helium gas in the STS circulation loop; (c) to re-start-up the reactor within 1 hour after its trip to avoid the Xenon build-up because more than certain concentration of Xenon makes that the reactor cannot start-up again; (d) to minimize the possibility of the hydrogen-oxygen reaction in the hydrogen boundary.
-
Hong, Man-Su;Ha, Tae-Gyun;Gwon, Hyeok-Chae;Han, Hong-Sik;Hwang, Il-Mun;Lee, Tae-Yeon;Park, Jong-Do 105
포항가속기연구소 PLS-II 저장링에 설치 운용중인 In-vacuum undulator (이하, IVU) 내부 Cu/Ni foil에 발생한 국부적 손상에 대하여, 원인을 분석하고 수리 및 정상 운용을 위한 절차들이 진행 되었다. 해체된 IVU의 손상부위를 세밀하게 조사하고 손상 원인에 대하여 다각도로 분석하였다. 손상된 Cu/Ni foil은 개선된 신규 제품으로 교체하고, 손상 원인이 될 수 있는 부품들을 제거토록 하였다. IVU 내부 관측을 위한 장치를 추가 설치하고 안정적인 온도 데이터 획득을 위한 노력도 함께 검토 되었다. 본 발표는 IVU 손상에 대한 원인분석 내용과 함께 해체, 수리, 재설치 과정 등에 대한 종합적인 내용으로 한다. -
표면에너지는 계면특성을 지배하는 핵심인자로 디스플레이의 터치 스크린 패널 공정, 이종소재의 접합, 금속의 클래딩 등 실제 산업에 있어서 매우 중요하다. 표면에너지는 코팅과 본딩 이론에 있어서 기본이 되는 물리량으로 표면에너지가 높을수록 코팅 또는 박막 증착시 코팅, 증착이 용이하며 이종소재의 접합도 쉽게 일어난다. 본 연구에서는 플라즈마 표면처리시 산소 분율의 변화에 따른 기판의 표면에너지와 코팅층과 기판의 부착력의 변화에 대해 연구하였다. 연구의 주요 기판으로 ITO, PET 기판을 사용하였고, 표면 에너지 변화를 확인하기 위해 기판을 상온 상압 플라즈마에 노출시켰다. 플라즈마는 아르곤(Ar)의 공급량을 20 LPM으로 고정하고 산소(
$O_2$ )의 공급량을 0 sccm에서 40 sccm 까지 10 sccm 간격으로 변수를 주었다. 표면에너지 값은 기판 위에 형성된 액체의 접촉각을 통해 도출하였다. 표면에너지 측정 액체로 증류수(deionized water)와 디오도메탄(diiodo-methane)을 사용하였다. 표면에너지는 산소분압이 10 sccm에서 최대값인 76 mJ/m2으로 증가한 후 20 sccm까지 유지하다 다시 직선적으로 감소하였다. 기판에 증착된 크롬 박막의 부착력은 스크래치 테스트를 통해 측정하였다. 표면에너지의 증가와 비례하게 부착력은 증가하였고 표면에너지가 감소하는 범위에서는 부착력도 감소하였다. 기판과 코팅층의 부착력 증가 원인 중 하나인 계면 산화물 층의 생성 여부를 알아보기 위해 auger electron spectroscopy (AES) 분석을 진행하였다. AES 분석을 통해 플라즈마 표면처리시 기판과 코팅층의 계면 산화물층의 두께가 표면에너지의 변화와 비례하게 증가하였다가 감소하는 것을 확인하였다. 산소분압이 10 sccm 이었을 경우 산화물층의 두께가 가장 두꺼웠다. 또한 계면의 화학적 결합 상태를 알아보기 위해 X-ray photoelectron spectroscopy (XPS) 분석을 진행하였으며 산소 분율의 변화에 따라 크롬 산화물의 양이 증가하였다 감소하는것을 확인하였다. 이 연구를 통해 산소를 포함한 플라즈마 표면개질이 기판과 코팅층의 부착력 증가에 영향을 끼침을 확인 할 수 있었다. 또한 이를 응용하여 부착력 증가가 필요한 다양한 분야에서도 쉽게 적용시킬 수 있을 것이다. -
유기 분자들은 대부분 전기가 잘 통하지 않아, 그 응용이 매우 제한적이었으나, 1985년의 C. W. Tang 교수의 다층 구조 전자소자의 보고를 기점으로 급격한 발전을 이루었다. 현재는 유기분자를 이용한 디스플레이인 AMOLED(아몰레드)를 적용한 스마트폰, TV등이 상용화 되었을 정도로 기술 성숙도가 매우 높아졌다. 그러나 여전히 분자 시스템에서의 전하 수송에 대해서는 하나의 정립된 모델이 없다. 일례로, 밴드 수송과 호핑 수송 등 두 가지 다른 전하수송 특성이 보고되고 있다. 본 발표에서는 계면 에너지레벨 접합과, 분자층 내부의 분자간 상호작용(호핑 수송 위주로) 측면에서 분자 시스템의 전하 수송에 대해 논의한다.
-
Many efforts have been devoted on chemical modification of graphene layer to modulate its electrical properties. In the previous report, laser irradiation on the CYTOP (Amorphous Fluoropolymer) covered graphene layer induces chemical modification wherein carbon fluoride is formed on the graphene surface. This results in the insulating I-V characteristics, which have been attracting much research interests on it. However, the direct analytical evidence of the fluoride formation on graphene surface is not yet studied. In this work we investigated what happened on the CYTOP/graphene interface during photon irradiation using spatially resolved photoemission spectroscopy method. It is found that the soft x-ray (614 eV) induces desorption of fluoride atoms from the CYTOP and change di-fluoride form to mono-fluoride. As the photo-induced fluorine desorption is continue strong dipole field generated by initial di-fluoride forms is gradually decreased, resulting in the overall binding energy shift of the C 1s core levels. Both photo-modified CYTOP and CYTOP starts to desorb above
$286^{\circ}C$ (~ 0.047 eV), which means that no strong chemical interaction between CYTOP and graphene is established. -
Availability of X-ray photoelectron spectroscopy (XPS) for the identification of ionic liquids (ILs) was tested. Commercially available ionic liquids (1-butyl-3-methyl imidazolium tetrafluoroborate ([BMIM]
$BF_4$ ), (1-butyl-3-methyl imidazolium trifluoromethanesulfonate ([BMIM] OTf), (1-butyl-3-methyl imidazolium hexafluorophosphate ([BMIM]$PF_6$ ), 1-hexyl-3-imidazolium hexafluorophosphate ([HMIM]$PF_6$ ), and 1-ethyl-3-methylimidazolium bis(trifluoromethylsulfonyl)imide ([EMIM]$Tf_2N$ ) were qualitatively and semi-quantitatively analyzed with XPS. In order to confirm whether the results of XPS were correct, conventional method such as a nuclear magnetic resonance (NMR) was performed. After the XPS results were convinced by NMR, we synthesized ILs (1-(4-sulfonic acid) butyl-3-butylimidazolium trifluoromethanesulfonate ([SBBIM] OTf), 1-(4-sulfonic acid) propyl-3-methylimidazolium trifluoromethanesulfonate ([SPMIM] OTf), and 1-(4-sulfonic acid) propyl-3-butylimidazolium trifluoromethanesulfonate ([SPBIM] OTf) and analyzed it with XPS and NMR as well. It was successful the usage of XPS to analyze ILs without any purification processes. -
플렉서블 기판을 기반으로 하는 휴대용 기기는 다양한 형태로 가공이 가능하고 가벼워 휴대가 용이하며 충격에 강하여 내구성이 좋은 장점을 가지고 있으나 플렉서블 전극 개발이 어려운 문제가 있다. 플렉서블 전극으로 그래핀, 은나노선, 금속메쉬 등의 다양한 재료, 구조에 대한 연구가 진행되고 있으며 특히 은나노선 전극은 공정이 단순하고 투과도 및 전도도가 비교적 우수하녀 가장 강력한 후보재료로 알려져 있다. 그러나 은나노선은 표면거칠기가 매우 크고 헤이즈가 발생하여 OLED 디스플레이용 전극으로 응용시 화면의 선명도가 떨어지며 은나노선 네트워크 형성시 은나노선간의 접촉저항이 증가하는 문제를 가지고 있다. 이러한 문제를 해결하기 위해 최근 산화그래핀을 적용하여 은나노선의 투과도, 전도도, 표면거칠기를 개선하는 연구가 진행되고 있다. 본 연구에서는 은나노선과 산화그래핀 나노복합체를 형성하고 플렉서블 기판에 전사하는 공정방법을 통해 투명전극을 형성하였고 산화그래핀이 포함되지 않은 전극과 비교하여 전극의 성능이 향상됨을 확인하였다. 주사전자현미경 측정을 통해 플렉서블 기판에 포함된 산화그래핀-은나노선 전극의 구조적 특성을 조사하였고 면저항측정을 통해 산화그래핀 처리로 인해 전기적 특성이 개선되는 결과를 확인하였다. 전도도 개선의 원인을 조사하기 위해 라만, XPS, 투과도 측정결과를 분석하여 그래핀에 포함된 pyridinic 질소가 감소하고 quaternary 질소가 증가하며 이로 인해 defect sites의 수가 감소하는 결과를 확인하였다. 산화그래핀과 은나노선의 접합부분에서 산화그래핀의 quaternary 질소가 증가하고 산화그래핀에 전하밀도를 증가하여 전도도를 향상하였다. 투과도 측정을 통해 은나노선의 가로방향 플라즈몬 공명 흡수가 산화그래핀 처리에 의해 감소한 결과를 확인하였다. 산화그래핀-은나노선 나노복합체의 전도도 향상 원인에 대한 연구는 은나노선의 플렉서블 전극 응용을 가속화하고 잠재적인 응용분야를 확대하기 위한 원천지식을 제공할 것이다.
-
Ion beam sputtering has been widely used in Secondary Ion Mass Spectrometry (SIMS), X-ray Photoelectron Spectroscopy (XPS), and Auger Electron Spectroscopy (AES) for depth profile or surface cleaning. However, mainly due to severe matrix effects such as surface composition change from its original composition and damage of the surface generated by ion beam bombardment, conventional sputtering skills using mono-atomic primary ions with energy ranging from a few hundred to a thousand volts are not sufficient for the practical surface analysis of next-generation organic/inorganic device materials characterization. Therefore, minimization of the surface matrix effects caused by the ion beam sputtering is one of the key factors in surface analysis. In this work, the electronic structure of a
$Ta_2O_5$ thin film on$SiO_2/Si$ (100) after Ar Gas Cluster Ion Beam (GCIB) sputtering was investigated using X-ray photoemission spectroscopy and compared with those obtained via mono-atomic Ar ion beam sputtering. The Ar ion sputtering had a great deal of influence on the electronic structure of the oxide thin film. Ar GCIB sputtering without sample rotation also affected the electronic structure of the oxide thin film. However, Ar GCIB sputtering during sample rotation did not exhibit any significant transition of the electronic structure of the$Ta_2O_5$ thin films. Our results showed that Ar GCIB can be useful for potential applications of oxide materials with sample rotation. -
Al doped ZnO(AZO) films as a transparent conductive oxide (TCO) electrode were deposited on glass, polyethylene naphthalate (PEN) and polyethylene terephthalate (PET) at room temperature by a conventional rf-magneton sputtering (CMS) and a facing target sputtering (FTS) using Al2O3 and ZnO targets. In order to investigation of AZO properties, the structural, surface morphology, electrical, and optical characteristics of AZO films were respectively analyzed. The resistivities of AZO films using FTS system were
$6.50{\times}10-4{\Omega}{\cdot}cm$ on glass,$7.0{\times}10-4{\Omega}{\cdot}cm$ on PEN, and$7.4{\times}10-4{\Omega}{\cdot}cm$ on PET substrates, while the values of AZO films using CMS system were$7.6{\times}10-4{\Omega}{\cdot}cm$ on glass,$1.20{\times}10-3{\Omega}{\cdot}cm$ on PEN, and$1.58{\times}10-3{\Omega}{\cdot}cm$ on PET substrates. The AZO-films deposited by FTS system showed uniform surface compared to those of the films by CMS system. We thought that the films deposited by FTS system had low stress due to bombardment of high energetic particles during CMS process, resulted in enhanced electrical conductivity and crystalline quality by highly c-axis preferred orientation and closely packed nano-crystalline of AZO films using FTS system. -
Dry etcher, PECVD등의 플라즈마 공정 장비의 구조물에는 유전체로 절연된 금속판들이 사용된다. 플라즈마 이론을 보면 이들 표면의 전위는 양전하 플럭스와 음전하 플럭스가 같아서 순전류가 0이 되는 부유 전위를 갖는다. 금속과 같은 전도체의 표면은 모두 같은 전위를 가져야 한다. 일반적인 플라즈마 시뮬레이션 소프트웨어에는 이런 경계 조건이 선택 가능하지 않다. ESI사의 CFD-ACE+의 사용자 루틴 개발 기능을 이용하여 Fortran90문법으로 경계면 최인접 셀의 중심에서 구한 전자 온도와 경계면의 이온 입사 플럭스로 가중평균을 구한 이온 질량을 맥스웰분포를 가정한 부유 전위식에 대입하여 시뮬레이션을 CCP에서 구현하였다. 원형 챔버의 가장자리에 떠 있는 사각 링 전극을 가정하고 이 전극 표면이 접지 전위일때, 유전체 일때, 본 연구에서 개발한 루틴을 적용한 결과를 Ar CCP에 대해서 비교 분석하였다.
-
플라즈마를 이용한 cleaning, etching, sputtering 공정에서 발생하는 마이크로아크방전이나 turn-off후의 잔류정전기는 공정대상물의 절연파괴나 전자소자에 전기적 손상을 유발함으로서 공정의 불량률을 증가시키는 중요한 요인이 된다. 본 연구에서는 잔류정전기를 관찰하기위하여 실린더형 챔버구조의 평행평판 전극구조를 지닌 용량결합형 플라즈마에서 powered electrode에 부착된 유전체 기판 표면의 잔류 정전기의 변화 양상을 planar type probe로 측정해보았다. 300mtorr 압력에서 아르곤가스로 발생시킨 플라즈마가 존재할 때 낮은 음전위 평균값을 가지던 기판표면 전위가 전력인가가 중지되었을때 20V 가량의 양전위를 가질 수 있음을 측정 하였고, 이것을 COMSOL MULTIPHYSICS TOOL을 활용한 시뮬레이션과 비교하였다. 이 현상이 파워인가 전극이 플라즈마 영역에 노출되느냐에 따라 발생할 수 있음을 알게 되었고, 그 크기와 지속시간은 입력전력 및 블로킹 커패시터와 유전체 기판의 정전용량에 의존함을 확인 하였다.
-
Park, Seolhye;Lee, Juyoung;Jeong, Sangmin;Jang, Yunchang;Ryu, Sangwon;Roh, Hyun-Joon;Kim, Gon-Ho 132
Virtual metrology (VM) model based on plasma information (PI) parameter for C4F8 plasma-assisted oxide etching processes is developed to predict and monitor the process results such as an etching rate with improved performance. To apply fault detection and classification (FDC) or advanced process control (APC) models on to the real mass production lines efficiently, high performance VM model is certainly required and principal component regression (PCR) is preferred technique for VM modeling despite this method requires many number of data set to obtain statistically guaranteed accuracy. In this study, as an effective method to include the 'good information' representing parameter into the VM model, PI parameters are introduced and applied for the etch rate prediction. By the adoption of PI parameters of b-, q-factors and surface passivation parameters as PCs into the PCR based VM model, information about the reactions in the plasma volume, surface, and sheath regions can be efficiently included into the VM model; thus, the performance of VM is secured even for insufficient data set provided cases. For mass production data of 350 wafers, developed PI based VM (PI-VM) model was satisfied required prediction accuracy of industry in C4F8 plasma-assisted oxide etching process. -
Recently, it has been shown that the
${\omega}B97X-D/aVTZ$ method is strongly recommended as the best practical density functional theory(DFT) for rigorous and extensive studies of saturated or unsaturated$C_4F_8$ species because of its high performance and reliability especially for van der Waals interactions. All the feasible isomerization and dissociation paths of$C_4F_8$ molecules were investigated at this theoretical level and rate constants of their chemical reactions were computed by using variational transition-state theory for a deep insight into$C_4F_8$ reaction mechanisms. Fates and roles of C4F8 molecules and their fragments in plasma phases could be clearly explained based on our computational results. -
최근 액체 플라즈마에 대한 주된 이슈는 방전에 의해 발생하는 히드록실라디칼(OH-)과 버블이다. 액체 플라즈마를 이용한 다양한 응용분야에서는 히드록실라디칼에 주목하고 있다. 액체 플라즈마는 그래핀 파생물의 용액 친화도 향상을 위해 이용될 수 있다. 흑연이 포함된 과산화수소(H2O2) 용액에서 전기적인 방전으로 만들어진 히드록실라디칼로 그래핀 파생물의 용액 친화도를 향상시킨다. 이는 잠재적인 프린팅(printing) 기술 발전에 기대된다. 그리고 이 라디칼은 폐수에서 발암성의 트라이클로로아세트산(CCl3COOH)을 탈 염소하고 분해하는 역할을 하여 액체 플라즈마가 새로운 수처리 기술로 부상되고 있다. 또한 인체에서는 살균 작용을 하는 것 뿐만 아니라 단백질 고리를 끊는 역할을 하여 전립선 수술과 같은 인체수술에 적용될 수 있다. 최근 액체 플라즈마를 이용한 돼지 각막 임상수술에서 레이저와 필적할 정도로 매우 정밀하게 수술된 연구결과가 발표되어 인체 각막수술 적용에 기대된다. 이처럼 액체 플라즈마를 이용한 대부분의 응용분야에서 히드록실라디칼의 역할이 중요하다. 액체 플라즈마의 또 다른 이슈인 버블은 2가지의 역할을 한다. 첫 번째로 방전소스의 역할이다. 액체 속에 담긴 얇은 전극에 전압을 인가하면 전극 주변에서 강한 전기장의 발생으로 줄열(joule heating)에 의해 버블이 생성된다. 전극에서 버블이 생성되었을 때, 서로 다른 유전율을 가진 두 물질로 나누어진다. (버블 안은 공기로 상대 유전율
${\varepsilon}r{\fallingdotseq}=1$ , 용액은${\varepsilon}r{\fallingdotseq}=80$ 이다.) 시스템에 인가된 전압이 항복 전압(breakdown voltage)을 넘어서면 유전율이 상대적으로 낮은 버블내부에 강한 전기장이 걸리게 되어 방전이 일어난다. 만약 버블이 존재하지 않는다면 방전을 위해서 매우 높은 전압이 필요하다. 따라서 버블은 플라즈마 방전의 소스역할을 한다. 두번째로 버블은 전극의 부식을 방지하는 역할을 한다. 전극 부식은 주로 전기분해로 인한 산화반응에 의해 발생하는데 버블을 전극에 오래 머무르게 하면 부식을 방지할 수 있다. 이처럼 액체 플라즈마 시스템에서 버블의 역할들은 상당히 중요하다. 일반적으로 버블은 시스템에 인가하는 전원, 전극 극성 그리고 전압크기에 따라 거동이 달라진다. 시스템에 AC파워를 인가하면 버블은 주파수가 높을수록 전극에서 떨어지는 속도가 빨라지는 특성을 보인다. 핀 전극 극성이 음극일 때는 양극일 때보다 버블이 더 잘 생성된다. 또한 인가전압크기에 따라 거동이 달라지며 시스템에 같은 전압을 인가하여도 크기가 항상 같지 않고, 거동도 일관성을 보이지 않은 랜덤적인 모습을 보인다. 본 연구에서는 이 랜덤적인 버블의 거동을 정리하고 응용분야에서 중요하게 여기는 히드록실라디칼 생성에 대해 공부하기 위해 염류 용액(saline solution)에 핀(pin)-면(plane) 전극 구조를 설치하여 10Hz 주파수(1% duty cycle)를 가진 0-600V 구형펄스로 실험하였다. 실험을 통한 결과로서 랜덤적인 버블의 거동을 전극에서 버블이 떨어지는 속도와 플라즈마 특성에 따라 슈팅모드(shooting mode)와 유지모드(keeping mode) 2가지 모드로 분류하였다. 슈팅모드에서는 버블이 핀 전극에서 성장하지 못하고 빠른 속도로 떨어지는 모드로 플라즈마 방전이 잘 이루어지지 않는다. 반면 유지모드에서는 버블이 핀 전극에서 떨어지지 않고 지속적으로 성장한다. 이 모드에서는 펄스 시간 동안 하나의 버블로 연속적인 방전이 가능하다. 방전이 일어날 때 발생하는 히드록실라디칼의 생성은 버블 내부의 쉬스와 관련이 있다. 이 라디칼을 만들기 위해서는 높은 에너지가 요구되기 때문에 버블 내부의 쉬스(sheath)에서 만들어진다. 펄스 동안 쉬스는 주로 핀 전극 주변에서 유지되며 히드록실라디칼은 이곳에서 주로 만들어진다. 따라서 버블과 함께 쉬스도 성장하는 버블유지모드에서 슈팅모드보다 히드록실라디칼이 더 많이 생성된다. -
Tubes are of extreme importance in industries as for fluid channels or wave guides. Furthermore, some weapon systems such as cannons use the tubes as gun barrels. To increase the service life of such tubes, a protective coating must be applied to the tubes' inner surface. However, the coating methods applicable to the inner surface of the tubes are very limited due to the geometrical restriction. A small-diameter cylindrical magnetron sputtering gun can be used to deposit coating layers on the inner surface of the large-bore tubes. However, for small-bore tubes with the inner diameter of one inch (~25 mm), the magnetron sputtering method can hardly be accommodated due to the space limitation for permanent magnet assembly. In this study, a new approach to coat the inner surface of small-bore tubes with the inside diameter of one inch was developed. Instead of using permanent magnets for magnetron operation, an external electro-magnet assembly was adopted around the tube to confine the plasma and to sustain the discharge. The electro-magnet was operated in pulse mode to provide the strong axial magnetic field for the magnetron operation, which was synchronized with the negative high-voltage pulse applied to the water-cooled coaxial sputtering target installed inside the tube. By moving the electro-magnet assembly along the tube's axial direction, the inner surface of the tube could be uniformly coated. The inner-surface coating system in this study used the tube itself as the vacuum chamber. The SS-304 tube's inner diameter was 22 mm and the length was ~1 m. A water-cooled Cu tube (sputtering target) of the outer diameter of 12 mm was installed inside of the SS tube (substrate) at the axial position. The 50 mm-long electro-magnet assembly was fed by a current pulse of 250 A at the frequency and pulse width of 100 Hz and 100 usec, respectively. The calculated axial magnetic field strength at the center was ~0.6 Tesla. The central Cu tube was synchronously driven by a HiPIMS power supply at the same frequency of 100 Hz as the electro-magnet and the applied pulse voltage was -1200 V with a pulse width of 500 usec. At 150 mTorr of Ar pressure, the Cu deposition rate of ~10 nm/min could be obtained. In this talk, a new method to sputter coat the inner surface of small-bore tubes would be presented and discussed, which might have broad industrial and military application areas.
-
처음 유기물의 인광 발견 이후 Host-dopant 시스템을 이용하여 Emission layer(EML)을 Co-deopsition 하는 방법으로 주로 인광 유기 발광 다이오드를 제작 하였다. [1] co-deposition을 이용해 만든 유기 발광 다이오드에 많은 장점이 있지만, 반대로 소자를 제작하는데 있어서는 많은 문제점을 가지고 있다. [2-4] 이러한 문제점을 개선하기 위하여 co-deposition 대신 non-doped Multi Quantum Well(MQW) 구조를 사용하여 doping 하지 않는 방법을 이용하는 논문들이 보고 되고 있다. Hole, electron, exciton이 MQW 구조를 지나면서, dopant well 안에 갇히게 되고, 그 안에서 다른 layer 간에 energy transfer와, hole-electron leakage가 줄어 들어, 더 효율적인 유기 발광 다이오드를 만들 수 있게 된다. [5-7] 이 연구에서는 CBP를 Potential Barrier로 사용하고, Ir(ppy)3 (Green dopant), Ir(btp)2 (Red dopant) 를 각각 Potential Well로 사용하였고, 두께는 CBP 9nm, dopant 1nm로 하였다. 이러한 소자를 만들고 dopant를 3개의 well에 적당히 배치하여, 각 well에서의 실험적인 발광 량 과, EML 안에서의 발광 mechanism 그리고 각 potential barrier를 줄여가며 dexter, forster에 의한 energy transfer에 대하여 알 수 있었다.
-
We report the enhanced performance of poly(N-vinylcarbozole) (PVK)/poly(3,4-ethylenedioxythiophene) polystyrene sulfonate (PEDOT:PSS)-based quantum-dot light-emitting diodes by inserting the polyaniline:poly (p-styrenesulfonic acid) (PANI:PSS) interlayer. The QD-LED with PANI:PSS interlayer exhibited a higher luminance and luminous current efficiency than that without PANI:PSS. Ultraviolet photoelectron spectroscopy results exhibited different electronic energy alignments of QD-LEDs with/without the PANI:PSS interlayer. By inserting the PANI:PSS interlayer, the hole-injection barrier at the QD layer/PVK interface was reduced from 1.45 to 1.23 eV via the energy level down-shift of the PVK layer. The reduced barrier height alleviated the interface carrier charging responsible for the deterioration of the current and luminance efficiency. This suggests that the insertion of PANI:PSS interlayer in QD-LEDs contributed to (i) increase the p-type conductivity and (ii) reduce the hole barrier height of QDs/PVK, which are critical factors leading to improve the efficiency of QD-LEDs.
-
The multilayer structure of the organic light emitting diode has merits of improving interfacial characteristics and helping carriers inject into emission layer and transport easier. There are many reports to control hole injection from anode electrode by using transition metal oxide as an anode buffer layer, such as V2O5, MoO3, NiO, and Fe3O4. In this study, we apply thin films of LiF which is usually inserted as a thin buffer layer between electron transport layer(ETL) and cathode, as an anode buffer layer to reduce the hole injection barrier height from ITO. The thickness of LiF as an anode buffer layer is tested from 0 nm to 1.0 nm. As shown in the figure 1 and 2, the luminous efficiency versus current density is improved by LiF anode buffer layer, and the threshold voltage is reduced when LiF buffer layer is increased up to 0.6 nm then the device does not work when LiF thickness is close to 1.0 nm As a result, we can confirm that the thin layer of LiF, about 0.6 nm, as an anode buffer reduces the hole injection barrier height from ITO, and this results the improved luminous efficiency. This study shows that LiF can be used as an anode buffer layer for improved hole injection as well as cathode buffer layer.
-
Over the past several years, Colloidal core/shell type quantum dots lighting-emitting diodes (QDLEDs) have been developed for the future of optoelectronic applications. An inverted-type quantum-dot light-emitting-diode (QDLED), employing low work function organic material polyethylenimine ethoxylated(PEIE) (<10 nm)[1] modified ZnO nanoparticles (NPs) as electron injection and transport layer, was fabricated by all solution processing method, instead of electrode in the device. The PEIE surface modifier incorporated on the top of the ZnO NPs film, facilitates the enhancement of both electorn injection into the CdSe-ZnS QD emissive layer by lowering the workfunction of ZnO from 3.58eV to 2.87eV and charge balance on the QD emitter. In this inverted QDLEDs, blend of poly (9,9-di-n-octyl-fluorene-alt-benzothiadiazolo) and poly(N,N'-bis(4-butylphenyl)-N,N'-bis(phenyl)benzidine] are used as hole transporting layer (HTL) to improve hole transporting property. At the operating voltage of 7.5 V, the QDLED device emitted spectrally orange color lights with high luminance up to 11110 cd/m2, and showed current efficiency of 2.27 cd/A.[2]
-
본 연구에서는 Host 물질 Alq3와 Dopant 물질 Ir(piq)3, Zn(BTZ)2를 사용한 ITO/NPB/Alq3+ metal complexes/Alq3/LiF/Al 다층 구조의 PHOLED 소자를 제작하고 특성 변화를 파악하였다. Dopant Ir(piq)3를 발광 영역에 도핑하였을 경우에는 소자의 발광 효율이 감소하였다. 이는 Co-deposition 조건에 따른 분자간의 거리가 충분히 가까워지지 않았기 때문이다. 분자간의 거리가 Co-deposition 조건보다 멀게 되면 Host - Dopant 간의 에너지 전달이 제대로 일어날 수 없게 되며, 결과적으로 Host 영역과 Dopant영역에서 각각 발광을 하게 된다. Dopant Zn(BTZ)2를 도핑하였을 경우에는 Host - Dopant 간의 에너지 전달에 의한 효과로 인해, J-V 특성은 50% 이상, L-V 특성은 20% 이상, L-J 특성은 10% 이상 효율이 증가하였다.
-
최근에 백색 발광체 개발에 많은 관심이 고조되고 있다. 본 연구에서는 고상반응법을 사용하여 활성제 이온
$Dy^{3+}$ 의 함량을 0.05 mol로 고정하고,$Eu^{3+}$ 이온의 함량을 각각 0, 0.5, 1, 5, 10, 15 mol%로 변화시켜$Y_2WO_6:Dy^{3+}$ ,$Eu^{3+}$ 형광체 분말을 합성하였다.$Dy^{3+}$ 이온만 도핑된$Y_2WO_6$ 형광체의 흡광 수펙트럼은 전하 전달 밴드 ($Dy^{3+}-O^{2-}$ )에 의한 250~350 nm에 존재 하는 밴드폭이 넓은 전이 신호와 360~500 nm 영역에 걸쳐 있는 상대적으로 흡광 세기가 약한 다수의$Dy^{3+}$ 이온의 전이 신호가 관측 되었다.$Dy^{3+}$ 와$Eu^{3+}$ 가 동시 도핑된$Y_2WO_6$ 형광체의 발광 스펙트럼의 경우에,$Eu^{3+}$ 이온의 몰 비가 증가함에 따라$Dy^{3+}$ 이온에 의한 577 nm에 주 피크를 갖는 황색 발광 파장의 세기는 감소하였고,$Eu^{3+}$ 이온에 의해 발생하는 612 nm의 적색 발광 파장의 세기는 순차적으로 증가하였다. 이 결과는$Dy^{3+}$ 와$Eu^{3+}$ 이온의 몰 비를 적절히 조절함으로써 최적의 백색 발광 형광체를 제조할 수 있음을 제시한다. -
Application of the plasma is already highlighted as a new technology in the last few years. In these days, there are lots of attempt in various application with plasma in that it is known as an effective treatment to animal, plants, material and so on. Plasma in liquid, one of new plasma applications, has advantages in ability to treat bio-cell or solutions. For example, electro-surgery, water purification, radical generation and so on. Especially, plasma discharge in solutions is very useful technique and difficult to generate due to electrolysis, vaporization and something else. In this study, we have performed plasma discharge and checked sustainability of plasma in solution(saline 0.9%). And we have measured basic characteristics of plasma in liquid. Such as electrical energy and plasma density are calculated from discharging current and voltage. Also, its thermal energy is measured with IR camera.
-
최근에 백색 발광 소자와 조명 장치에 응용하기 위하여 희토류 이온이 도핑된 산화물 형광체의 제조에 많은 노력이 경주되고 있다. 본 연구에서는
$Eu^{3+}$ 이온이 첨가된$La_2MoO_6$ 형광체를 고상반응법을 사용하여 합성하였다.$La_2MoO_6:Eu^{3+}$ 형광체 분말 시료는 활성체$Eu^{3+}$ 이온의 함량을 0, 0.01, 0.05, 0.10, 0.15, 0.2 mol로 변화시켜 볼밀과 건조 작업을 거쳐$400^{\circ}C$ 에서 3시간 동안 하소 공정과$1100^{\circ}C$ 에서 5시간 동안 소결 공정을 수행하여 합성하였다. 흡광 스펙트럼의 경우에, 양이온$Eu^{3+}$ 와 음이온$O^{2-}$ 사이의 전하 전달 밴드에 의해 250~370 nm 영역에 폭넓게 발생한 흡광 신호와 370~450 nm 파장 영역에 발생한 다수의 약한$Eu^{3+}$ 이온의 흡광 스펙트럼으로 구성되었다. 발광 스펙트럼의 경우에, 파장 333 nm로 여기시켰을 때, 620 nm에서 최대 세기를 갖는 적색 발광 신호, 593 nm의 주황색 발광 스펙트럼과 704 nm의 적색 발광 스펙트럼이 관측되었다. 620 nm에서 관측된 적색 발광 신호의 세기는 활성체 이온$Eu^{3+}$ 의 함량이 0.20 mol일 때 최대이었다. -
Recently, the growing interest in organic microelectronic devices including OLEDs has led to an increasing amount of research into their many potential applications in the area of flexible electronic devices based on plastic substrates. However, these organic devices require a gas barrier coating to prevent the permeation of water and oxygen because organic materials are highly susceptible to water and oxygen. In particular, high efficiency OLEDs require an extremely low water vapor transition rate (WVTR) of
$1{\times}10^{-6}g/m^2day$ . The Key factor in high quality inorganic gas barrier formation for achieving the very low WVTR required ($1{\times}10^{-6}g/m^2day$ ) is the suppression of defect sites and gas diffusion pathways between grain boundaries. In this study NBAS process was introduced to deposit enhanced film density single gas barrier layer with a low WVTR. Fig. 1. shows a schematic illustration of the NBAS apparatus. The NBAS process was used for the$Al_2O_3$ nano-crystal structure films deposition, as shown in Fig. 1. The NBAS system is based on the conventional RF magnetron sputtering and it has the electron cyclotron resonance (ECR) plasma source and metal reflector.$Ar^+$ ion in the ECR plasma can be accelerated into the plasma sheath between the plasma and metal reflector, which are then neutralized mainly by Auger neutralization. The neutral beam energy is controlled by the metal reflector bias. The controllable neutral beam energy can continuously change crystalline structures from an amorphous phase to nanocrystal phase of various grain sizes. The$Al_2O_3$ films can be high film density by controllable Auger neutral beam energy. we developed$Al_2O_3$ high dense barrier layer using NBAS process. We can verified that NBAS process effect can lead to formation of high density nano-crystal structure barrier layer. As a result, Fig. 2. shows that the NBAS processed$Al_2O_3$ high dense barrier layer shows excellent WVTR property as a under$2{\times}10^{-5}g/m^2day$ in the single barrier layer of 100nm thickness. Therefore, the NBAS processed$Al_2O_3$ high dense barrier layer is very suitable in the high efficiency OLED application. -
Myoblast are myogenic precursors that proliferate, activate, and differentiate on muscle injury to sustain the regenerative capacity of skeletal muscle; The neuronal isoform of nitric oxide synthase (nNOS, termed also NOS-I) is expressed in normal adult skeletal muscle, suggesting important functions for Nitric oxide (NO) in muscle biology1,2,3. However, the expression and subcellular localization of NO in muscle development and myoblast differentiation are largely unknown. In this study, we examined effects of the nitric oxide generated by a microwave plasma torch, on proliferation/differentiation of rat myoblastic L6 cells. Experimental data pertaining to nitric oxide production are presented in terms of the oxygen input in units of cubic centimetres per minute. The various levels of nitric oxide are observed depending on the flow rate of nitrogen gas, the ratio of oxygen gas, and the microwave power4. In order to evaluate the potential of nitric oxide as an activator of cell differentiation, we applied nitric oxide generated from the microwave plasma torch to L6 skeletal muscles. Differentiation of L6 cells into myotubes was significantly enhanced the differentiation after nitric oxide treatment. Nitric oxide treatment also increase the expression of myogenesis marker proteins and mRNA level, such as myogenin and myosin heavy chain (MHC), as well as cyclic guanosine monophosphate (cGMP), However during the myotube differentiation we found that NO activate oxidative stress signaling erks expression. Therefore, these results establish a role of NO and cGMP in regulating myoblast differentiation and elucidate their mechanism of action, providing a direct link with oxidative stress signalling, which is a key player in myogenesis. Based on these findings, nitric oxide generated by plasma can be used as a possible activator of cell differentiation and tissue regeneration.
-
A new approach for antimicrobial is based on the overproduction of reactive nitrogen species (RNS), especially; nitric oxide (NO) and peroxinitrite (ONOO-) are important factors to deactivate the bacteria. Recently, non-thermal atmospheric pressure plasma jet (APPJ) has been frequently used in the field of microbial sterilization through the generation of different kinds of RNS/ROS species. However, in previous study we showed APPJ has combine effects ROS/RNS on bacterial sterilization. It is not still clear whether this bacterial killing effect has been done through ROS or RNS. We need to further investigate separate effect of ROS and RNS on bacterial sterilization. Hence, in this work, we have enhanced NO production, especially; by applying a 1% of HNO3 vapour to the N2 based APPJ. In comparison with nitrogen plasma with inclusion of water vapour plasma, it has been shown that nitrogen plasma with inclusion of 1% of HNO3 vapour has higher efficiency in killing the E. coli through the high production of NO. We also investigate the enhancement of NO species both in atmosphere by emission spectrum and inside the solution by ultraviolet absorption spectroscopy. Moreover, qPCR analysis of oxidative stress mRNA shows higher gene expression. It is noted that 1% of HNO3 vapour plasma generates high amount of NO for killing bacteria.
-
InGaZnO (IGZO) thin-film transistors (TFTs) are very promising due to their potential use in high performance display backplane [1]. However, the stability of IGZO TFTs under the various stresses has been issued for the practical IGZO applications [2]. Up to now, many researchers have studied to understand the sub-gap density of states (DOS) as the root cause of instability [3]. Nomura et al. reported that these deep defects are located in the surface layer of the IGZO channel [4]. Also, Kim et al. reported that the interfacial traps can be affected by different RF-power during RF magnetron sputtering process [5]. It is well known that these trap states can influence on the performances and stabilities of IGZO TFTs. Nevertheless, it has not been reported how these defect states are created during conventional RF magnetron sputtering. In general, during conventional RF magnetron sputtering process, negative oxygen ions (NOI) can be generated by electron attachment in oxygen atom near target surface and accelerated up to few hundreds eV by self-bias of RF magnetron sputter; the high energy bombardment of NOIs generates bulk defects in oxide thin films [6-10] and can change the defect states of IGZO thin film. In this study, we have confirmed that the NOIs accelerated by the self-bias were one of the dominant causes of instability in IGZO TFTs when the channel layer was deposited by conventional RF magnetron sputtering system. Finally, we will introduce our novel technology named as Magnetic Field Shielded Sputtering (MFSS) process [9-10] to eliminate the NOI bombardment effects and present how much to be improved the instability of IGZO TFTs by this new deposition method.
-
The effect of nematic liquid crystal(5CB-4-Cyano-4'-pentylbiphenyl) on the amorphous indium gallium zinc oxide thin film transistors(a-IGZO TFTs) was investigated. Through dropping the 5CB on the a-IGZO TFT's channel layer which is deposited by RF-magnetron sputtering, properties of a-IGZO TFTs was dramatically improved. When drain bias was induced, 5CB molecules were oriented by Freedericksz transition generating positive charges to one side of dipoles. From increment of the capacitance by orientation of liquid crystals, the drain current was increased, and we analyzed these phenomena mathematically by using MOSFET model. Transfer characteristic showed improvement such as decreasing of subthreshold slope(SS) value 0.4 to 0.2 and 0.45 to 0.25 at linear region and saturation region, respectively. Furthermore, in positive bias system(PBS), prevention effect for electron trapping by 5CB liquid crystal dipoles was observed, which showing decrease of threshold voltage shift [(
${\delta}V$ ]_TH) when induced +20V for 1~1000sec at the gate electrode. -
최근에 고효율의 적색 발광체를 개발하고자 무기물 모체에 다양한 활성제 이온을 주입하는 연구가 상당한 관심을 끌고 있다. 본 연구에서는 발광 효율이 높은 적색 형광체 분말을 제조하고자 두 종류의 활성제 이온
$Eu^{3+}$ 와$Sm^{3+}$ 가 도핑된$Y_2MoO_6$ 형광체 분말을 고체상태 반응법을 사용하여 전기로에서$400^{\circ}C$ 에서 하소와$1100^{\circ}C$ 에서 소결공정을 통하여 제조하였다. 활성제 이온의 몰 비에 따른 적색 형광체의 결정 구조, 발광과 흡광 스펙트럼을 조사하였다. 파장 299 nm로 여기 시킨$Y_2MoO_6:Eu^{3+}$ 경우, 발광 세기가 가장 강한 611 nm의 주 피크를 방출하는 적색 스펙트럼이 관측되었으며, 함량이 0.01 mol에 0.2 mol로 증가함에 따라 611 nm의 주 적색 발광 스펙트럼의 세기가 증가하는 경향을 나타내었다. 파장 611 nm로 제어한 흡광 스펙트럼은 299 nm에 피크를 갖는 전하전달밴드 (CTB) 이었다 [그림 참조]. -
Solution processed Resistive random access memory (ReRAM)은 간단한 공정 과정, 고집적도, 저렴한 가격, 대면적화 플라즈마 데미지 최소화 등의 장점으로 차세대 비휘발성 메모리로 써 많은 관심을 받고 있으며, 주로 high-k 물질인 HfOx, TiOx, ZnO 가 이용 된다. IGZO와 ZTO와 같은 산화물 반도체는 높은 이동도, 대면적화, 넓은 밴드갭으로 인하여 투명한 장점으로 LCDs (Liquid crystal displays)에 이용 가능하며, 최근에는 IGZO와 ZTO에서 Resistive Switching (RS) 특성을 확인한 논문이 보고되면서 IGZO와 ZTO를 ReRAM의 switching medium와 TFT의 active material로써 동시에 활용하는 것에 많은 관심을 받고 있다. 이와 같은 산화물 반도체는 flat panel display 회로에 TFT와 ReRAM의 active layer로써 집적가능 하며 systems-on-panels (SOP)에 적용 가능하다. 하지만 IGZO 보다는 ZTO가 In과 Ga을 포함하지 않기 때문에 저렴하다. 그러므로 IGZO를 대신하는 물질로 ZTO가 각광 받고 있다. 본 실험에서는 ZTO film에 Al을 doping하여 메모리 특성을 평가하였다. 실험 방법으로는 p-type Si에 습식산화를 통하여 SiO2를 300 nm 성장시킨 기판을 사용하였다. 그리고 Electron beam evaporator를 이용하여 Ti를 10 nm, Pt를 100 nm 증착 한다. 용액은 Zn와 Tin의 비율을 1:1로 고정한 후 Al의 비율을 0, 0.1, 0.2의 비율로 용액을 각각 제작하였다. 이 용액을 이용하여 Pt 위에 spin coating방법을 이용하여 1000 rpm 10초, 6000 rpm 30초의 조건으로 AZTO (Al-ZnO-Tin-Oxide) 박막을 증착한 뒤, solvent 및 불순물 제거를 위하여
$250^{\circ}C$ 의 온도로 30분 동안 열처리를 진행하였다. 이후 Electron beam evaporator를 이용하여 top electrode인 Ti를 100 nm 증착하였다. 제작된 메모리의 전기적 특성은 HP 4156B semiconductor parameter analyzer를 이용하여 측정하였다. 측정 결과, AZTO (0:1:1, 0.1:1:1, 0.2:1:1)를 이용하여 제작한 ReRAM에서 RS특성을 얻었으며 104 s이상의 신뢰성 있는 data retention특성을 확인하였다. 그리고 Al의 비율이 증가할수록 on/off ratio가 증가하고 endurance 특성이 향상되는 것을 확인하였다. 결론적으로 Al을 doping함으로써 ZTO film의 메모리 특성을 향상 시켰으며 AZTO film을 메모리와 트랜지스터의 active layer로써 활용 가능할 것으로 기대된다. -
Indium tin oxide (ITO)는 넓은 밴드갭을 가지는 n-type의 축퇴 반도체로 태양전지, 스마트윈도우, 터치 센서, organic light emitting displays (OLEDs) 등에 널리 적용된다. 최근 touch screen panels (TSPs)의 높은 전기적 특성 및 고해상도 요구에 따라 고품질 ITO 박막개발의 수요도 증가하는 추세이다. 지금까지 ITO 박막의 물성 및 기계적 특성에 관한 많은 연구가 진행되어 왔지만 ITO 초박막 에서의 근본적인 물성 변화에 대한 연구는 미흡한 실정이므로, 이러한 연구는 필수적이라 할 수 있다. ITO 초박막은 광학적 특성은 우수하나, 낮은 결정성으로 인해 전기적 특성이 나쁘다는 단점을 가지며, 이러한 ITO 박막의 결정성은 초기 박막 성장과정에 많은 영향을 받는다. ITO 박막의 초기성장과정은 핵이 생성된 후(nucleation), 각각의 위치에서 성장하게 되고(growth), 합쳐지면서(coalescence) 연속적인 막을 형성 하는데(continuous), 이러한 초기 박막 성장 과정 중에 핵 생성 밀도를 증가시키고 박막이 연속적으로 되는 두께를 감소시킨다면, 더욱 더 고품질의 ITO 초박막을 얻을 수 있을 것이다. 따라서, 본 연구에서는 박막 초기 형성 과정 중 섬들이 합체되는 두께를 최소화시키기 위하여 EMF(electromagnetic field strength) 시스템을 이용하였다. EMF 시스템은 DC 캐소드에 전자석 코일을 장착하여 전자기장을 추가로 부가한 것으로, 이를 이용할 경우 스퍼터 원자가 중성상태로 기판에 도달하는 것이 아니라, 이온화되어 Vp-Vf의 차이로 가속되어 추가적인 에너지를 공급받음으로써 기판표면상에서 확산을 촉진시키므로 박막이 연속적으로 되는 임계 두께를 감소시킬 수 있는 것으로 기대된다. 실험은 실온에서 DC 마그네트론 스퍼터링법을 이용하였으며, 유리기판위에 4, 6, 8, 10, 12, 20 nm의 두께로 ITO 박막을 제작하였다. 스퍼터링 파워는 150 W (3.29 W/cm3), 작업 압력은 0.13 Pa, 기판과 타깃 사이의 거리는 70 mm였다. 각각의 두께에서 EMF 파워 0, 5, 10, 15, 20, 25, 30 W로 인가하여 박막을 제작한 후, EMF 파워에 따른 ITO 박막의 초기 성장 과정중 표면상태를 AFM (atomic force microscope) 이미지를 통하여 관찰하였다. 또한, 두께 약 8 nm에서와 20 nm일 때의 전기적 특성 및 광학적 특성을 관찰하였으며, 두 박막 모두 EMF 파워 15 W를 인가하였을 때 그 특성이 가장 향상되는 것을 확인하였다. 이러한 결과를 통하여 박막은 초기 성장이 중요하므로, 매우 얇은 두께에서 좋은 특성을 가진 박막을 제작하여야 박막의 두께를 증가시켰을 때도 좋은 특성의 막을 얻을 수 있음을 알 수 있었다. 또한, EMF 파워를 증가시킴에 따라 자장강도를 증가시키는 것과 같은 효과 즉, 플라즈마 임피던스가 감소하는 효과를 내어 증착 중 고 에너지 입자 (Ar0, O-)에 의한 박막손상이 감소한 것으로 판단된다. 따라서 적정 EMF 파워 15 W를 인가하였을때 가장 물성이 좋은 ITO 박막을 얻을 수 있었다. 즉, EMF 시스템을 이용하여 저온 공정에서 결함농도가 적은 고품질의 ITO 초박막을 제작할 수 있었다.
-
We have introduced multifunctional ITO single thin films formed by normal sputtering system equipped with a plasma limiter which effectively blocks the bombardment of energetic negative oxygen ions. MFSS ITO also possesses high gas diffusion barrier properties simultaneously low resistivity even it deposited at room temperature without post annealing on plastic substrate. Nano-crystalline enhancement by Ar energy has energy window from 20 to 30 eV under blocking NOI condition. Effect of blocking NOI and optimal Ar energy window enhancement facilitate that resistivity is minimized to
$3.61{\times}10^{-4}{\Omega}cm$ and the WVTR of 100 nm thick MFSS ITO is$3.9{\times}10^{-3}g/(m^2day)$ which is measured under environmental conditions of 90% relative humidity and 50oC that corresponds to a value of${\sim}10^{-5}g/(m^2day)$ at room temperature. The multifunctional MFSS ITO with low resistivity, and low gas permeability will be highly valuable for plastic electronics applications. -
The past thirty years have seen increasingly rapid advances in the field of Indium Tin Oxide (ITO) transparent thin film.[1] However, a major problem with this ITO thin film application is high cost compared with other transparent thin film materials.[2] So far, in order to overcome this disadvantage, we show a transparent ITO/Ag/i-ZnO multilayer thin film electrode can be the solution. In comparison with using amount of ITO as a transparent conducting material, intrinsic-Zinc-Oxide (i-ZnO) based on ITO/Ag/i-ZnO multilayer thin film showed cost-effective and it has not only highly transparent but also conductive properties. The aim of this research has therefore been to try and establish how ITO/Ag/i-ZnO multilayer thin film would be more effective than ITO thin film. Herein, we report ITO/Ag/i-ZnO multilayer thin film properties by using optical spectroscopic method and measuring sheet resistance. At a certain total thickness of thin film, sheet resistance of ITO/Ag/i-ZnO multilayer was drastically decreased than ITO layer approximately
$40{\Omega}/{\square}$ at same visible light transmittance.(minimal point$5.2{\Omega}/{\square}$ ). Tendency, which shows lowly sheet resistive in a certain transmittance, has been observed, hence, it should be suitable for transparent electrode device. -
Plasmonics, sensor, field effect transistors, solar cells 등 다양한 적용분야를 가지는 실리콘 구조체는 제작공정에 의해 전기적 및 광학적 특성이 달라지기 때문에 적합한 나노구조 제작방법이 요구되고 있다. 나노구조체 제작방법으로는 Photo lithography, Extreme ultraviolet lithography (EUV), Nano imprinting lithography (NIL), Block copolymer (BCP) 방식의 방법들이 연구되고 있으며, 특히 BCP는 direct self-assembly 특성을 가지고 있으며 가격적인 면에서도 큰 장점을 가진다. 하지만 BCP를 mask로 사용하여 식각공정을 진행할 경우 BCP가 버티지 못하고 변형되어 mask로서의 역할을 하지 못한다. 이러한 문제를 해결하기 위하여 본 논문에서는 BCP와 질화막을 이용한 double mask 방법을 사용하였다. 기판 위에 BCP를 self-assembly 시키고 mask로 사용하여 hole 부분으로 노출된 기판을 Ion gun을 통해 질화 시킨 후에 BCP를 제거한다. 기판 위에 hole 모양의 질화막 표면은 BCP와 다르게 etching 공정 중 변형되지 않는다. 이러한 질화막 표면을 mask로 사용하여 pillar pattern의 실리콘 나노구조체를 제작하였다. 질화막 mask로 사용되는 template은 PS와 PMMA로 구성된 BCP를 사용하였다. 140kg/mol의 polystyrene과 65kg/mol의 PMMA를 톨루엔으로 용해시키고 실리콘 표면 위에 spin coating으로 도포하였다. Spin coat 후 230도에서 40시간 동안 열처리를 진행하여 40nm의 직경을 가진 PS-b-PMMA self-assembled hole morphology를 형성하였다. 질화막 형성 및 etching을 위한 장비로 low-energy Ion beam system을 사용하였다. Reactive Ion beam은 ICP와 3-grid system으로 구성된 Ion gun으로부터 형성된다. Ion gun에 13.56 MHz의 frequency를 갖는 200W 전력을 인가하였다. Plasma로부터 나오는 Ion은
$2{\Phi}$ 의 직경의 hole을 가지는 3-grid hole로 추출된다. 10~70 voltage 범위의 전위를 plasma source 바로 아래의 1st gird에 인가하고, 플럭스 조절을 위해 -150V의 전위를 2nd grid에 인가한다. 그리고 3rd grid는 접지를 시켰다. chamber내의 질화 및 식각가스 공급은 2mTorr로 유지시켰다. 그리고 기판의 온도는 냉각칠러를 이용하여 -20도로 냉각을 진행하였다. 이와 같은 공정 결과로 100 nm 이상의 높이를 갖는 40 nm직경의 균일한 Silicon pillar pattern을 형성 할 수 있었다. -
반도체 제조 시 ohmic contact을 형성하고, barrier metal layer형성을 위해 NH3 기체를 사용하는 rapid thermal nitridation (RTN)은 반도체 공정에 있어 매우 중요한 핵심 기술이다. 그러나 공정 진행 시 발생하는 공정 부산물에 의한 chamber오염으로 인해 매우 정확히 입사 되어야 할 thermal energy의 controllability가 저하되고 있어, 미세 공정능력 구현의 한계에 부닥치고 있다. 본 연구에서는 quartz plate liner를 적용하여 RTN 공정에서 발생하는 공정 부산물인 ammonium chloride (NH4Cl)의 chamber 표면 증착을 최소화하였고, 공정 진행 온도의 controllability를 확보하였다.
-
전기변색재료는 전압을 인가하였을 때 전계방향에 따라 가역적으로 색이 변화하는 재료를 말한다. 스마트윈도우용 전기 변색재료는 지속적으로 전기를 가해줄 필요 없이 한번 변색되면 색이 지속되는 특징을 가지므로 에너지 효율적으로 우수하여 태양열 차단 창호나 디스플레이 분야에 응용될 것으로 기대된다. 이러한 전기 변색재료에는 산화형 전기 변색 재료, 환원형 전기 변색 재료가 있는데 이중 가장 널리 연구되고 있는 재료는 환원형 전기변색재료이다. 대표적인 재료로
$WO_3$ 가 쓰이는 데 이는 전기 변색적 특성이 우수하고 또한 내구성이 다른 재료에 비해 우수하다는 장점 때문이다. 그러나, 상용화를 위해서는 내구성의 개선이 요구되고 있다. 한편,$TiO_2$ 는 안정성이 매우 뛰어나지만 전기변색적 특성이$WO_3$ 에 비해 낮은 점이 지적되고 있다. 이러한$WO_3$ 및$TiO_2$ 박막은 스퍼터링 또는 sol-gel법 등으로 제작되고 있는데, 일반적으로 스퍼터링의 경우 치밀한 박막이 형성되기 때문에 Porous 한 박막을 얻기 힘들다. 따라서 본 연구에서는 기판에 입사하는 스퍼터 입자들의 각도를 조절하여 shadowing 효과로 인해 박막의 구조가 porous해지는 Glancing angle deposition을 도입하였다. 이러한 증착법을 이용하여$WO_3$ 와$TiO_2$ 를 각도를 조절하여 증착하고$TiO_2$ 와$WO_3$ 박막의 특성을 비교하여 본다. 두께 300 nm를 가지는$WO_3$ 및$TiO_2$ 박막은 GLAD RF 마그네트론 스퍼터링법을 이용하여 Corning glass(corning E-2000)기판 위에 증착하였다. 기판 입사 각도는$0^{\circ}$ ,$30^{\circ}$ ,$45^{\circ}$ ,$60^{\circ}$ 로 증착하였고 직경 3 in의$TiO_2$ ,$WO_3$ 타겟을 사용하였다. 또한 스퍼터링 파워는 400 W, 작업압력 1.0 Pa, 그리고 스퍼터링 가스는 O2/Ar+O2 유량 10%에서 30%로 증착을 상온에서 진행하였다. 전기화학적 특성을 평가하기 위하여$TiO_2$ 및$WO_3$ 박막을 100 nm 두께의 ITO/glass 위에서 증착하였다. 박막의 미세구조는 XRD와 SEM을 통해 확인하였고, 전기화학적 특성은 Ar 분위기의 Glove box안에서 parstat 2273을 통해 측정하였다. 전해질은 1 M$LiPF_6/PC$ 로 진행하였고, 대향 전극는 Pt전극을, 참고 전극은 칼로멜 전극을 사용하였다. Potential 범위는 2 V에서 4 V로 진행하였고, scan rate는 50 mV/s로 측정하였다. 투과도는 UV/VIS spectrometer로 측정하였다. 전기변색 특성의 상관관계 및 에 대해서는 학회 당일 발표할 예정이다. -
Gallium Oxide (Ga2O3) has been widely investigated for the optoelectronic applications due to its wide bandgap and the optical transparency. Recently, with the development of fabrication techniques in nanometer scale semiconductor materials, there have been an increasing number of extensive reports on the synthesis and characterization of Ga2O3 nano-structures such as nano-wires, nano-belts, and nano-dots. In contrast to typical vapor-liquid-solid growth mode with metal catalysts to synthesis 1-dimensional nano-wires, there are several difficulties in fabricating the nano-structures by using sputtering techniques. This is attributed to the fact that relatively low growth temperatures and higher growth rate compared with chemical vapor deposition method. In this study, Ga2O3 nanowires (NWs) were synthesized by using radio-frequency magnetron sputtering method. The NWs were then coated by Au thin films and annealed under Ar or N2 gas enviroment with no supply of Gallium and Oxygen source. Several samples were prepared with varying the post annealing parameters such as gas environment annealing time, annealing temperature. Samples were characterized by using XRD, SEM, and PL measurements. In this presentation, the details of fabrication process and physical properties of branched Ga2O3 NWs will be reported.
-
최근, 비정질 산화물 반도체를 이용한 TFT는 투명성, 유연성, 저비용, 저온공정이 가능하기 때문에 차세대 flat-panel 디스플레이의 back-plane TFT로써 다양한 방면에서 연구되고 있다. 산화물 반도체 In-Zn-O-시스템에서는 Gallium (Ga)을 suppressor로 사용한 a-In-Ga-Zn-O (a-IGZO) 뿐만 아니라, Magnesium (Mg), Hafnium (Hf), Tin (Sn), Zirconium (Zr) 등의 다양한 물질이 연구되었다. 그 중 Silicon (Si)은 Ga, Hf, Sn, Zr, Mg과 같은 suppressor에 비해 구하기 쉬우며 가격적인 측면에서도 저렴하다는 장점이 있다. solution 공정으로 제작한 산화물 반도체 TFT는 진공 시스템을 사용한 공정보다 공정시간이 짧고, 저비용, 대면적화가 가능하다는 장점이 있다. 하지만, 투명하고 유연한 device를 제작하기 위해서는 저온 공정과 low thermal budget은 필수적이다. 이러한 측면에서 MWI (Microwave Irradiation)는 저온공정이 가능하며, 짧은 공정 시간에도 불구하고 IZO 시스템의 산화물 반도체의 전기적 특성 향상을 기대할 수 있는 효율 적인 열처리 방법이다. 본 연구에서는 In-Zn-O 시스템의 TFT에서 silicon (Si)를 Suppressor로 사용한 a-Si-In-Zn-O (SIZO) TFT를 제작하여 두 가지 열처리 방법을 사용하여 TFT의 전기적 특성을 확인하였다. 첫 번째 방법은 Box Furnace를 사용하여 N2 분위기에서
$600^{\circ}C$ 의 온도로 30분간 열처리 하였으며, 두 번째는 MWI를 사용하여 1800 W 출력 (약$100^{\circ}C$ )에 2분간 열처리 하였다. MWI 열처리는 Box Furnace 열처리에 비해 저온 공정 및 짧은 시간에도 불구하고 향상된 전기적 특성을 확인 할 수 있었다. -
Lee, Yoo-Yong;Kang, Ho-Young;Gwon, Seok-Hyeon;Choi, Gwang Mook;Lim, Seung-Min;Sun, Jeong-Yun;Joo, Young-Chang 224
Gel have enormous applicable region due to inherently high stretchability and bio-compatibility. Here, we fabricated highly stretchable electronic conductive organogels which have long-term stability in environment. By introducing a dialysis step which can incorporate conducting polymer, PEDOT, on the procedure of gel synthesis, residual ions inside the gel were removed. In addition, we replaced the water with organic solvent, EG, inside the gels which is high stability in air. Unlike conventional hydrogels, there are no ionic conduction occurred and electrochemically driven current was prevented during electrical voltage was applied. The fabricated organogels are hardly dried during air exposure, and only electrically conductive without any electrochemical reaction at even high voltage. In order to utilize as stretchable conductor, we demonstrated a LED array circuit using the conductive organogels as electrical interconnects. It was successfully operative even stretched up to 300% strain. -
We report on a simple method for inducing physical and chemical property-gradient on nanoimprinted patterns by intensity-regulated plasma treatment under caved sample stage. As for the size gradient, a line pattern having a linewidth of 294.9 nm was etched to have gradually varying width from 277.4 nm to 147.9 nm. Modified pattern was proven to be adaptable to replica stamp for reversal patterning. To investigate the wettability gradient, imprinted nanopatterns were coated with fluoroalkylsilane to increase the hydrophobicity, and the surface was modified to have gradually varying wettability from hydrophobic to hydrophilic (contact angle was
${\sim}160^{\circ}$ to${\sim}5^{\circ}$ on a single chip). This method is expected to be applicable to the selective adsorption of biological entities and hydrodynamic manipulation of liquid droplets for the pumpless microfluidics. -
Cu(In,Ga)Se2 (CIGS) 박막 태양전지는 높은 효율과 낮은 제조비용, 높은 신뢰성으로 인해 박막 태양전지 중 가장 각광받고 있다. 특히 유리기판 대신 가볍고 유연한 철강소재나 플라스틱 소재를 이용하여 발전분야 외에 건물일체형, 수송용, 휴대용등 다양한 분야에 적용이 가능하다. 이러한 유연 기판을 이용한 CIGS 태양전지의 개발을 위해서는 기판의 특성에 따른 다양한 공정개발이 선행되어야 한다. 특히 CIGS 태양전지에서는 Na의 역할이 매우 중요한데 유연기판의 경우 이러한 Na이 없을 뿐만 아니라 철강기판의 경우 Fe, Ni, Cr등의 불순물이 확산되어 흡수층의 특성을 저하시켜 효율을 감소시킨다. 따라서 이러한 철강 기판의 경우 불순물의 확산을 방지하는 확산방지막이 필수적이다. 또한 플라스틱기판의 경우 내열성이 낮아 저온에서 공정을 진행해야하는 단점이 있다. 이러한 유연기판의 특성을 고려하여 본 연구에서는 유연기판으로 STS 430 stainless steel과 poly-imide를 이용하여 특성 개선을 진행하였다. 먼저 stainless steel과 Poly-imide, soda-lime glass, coning glass의 기판을 이용하여 기판에 따른 흡수층의 특성을 비교 분석하였으며 stainless steel 기판을 이용하여 확산 방지막의 유무 및 두께에 따른 흡수층 및 소자의 특성을 분석하였다. 이때 확산 방지막은 기존 TCO 공정에서 사용되는 i-ZnO를 사용하였으며 RF sputter를 이용하여 50~200nm로 두께를 달리하며 특성 비교를 실시하였다. 이때 효율은 확산방지막을 적용하지 않았을 때 약 5.9%에서 확산 방지막 적용시 약 10.6%로 증가하였다. 또한 poly-imide 기판을 이용하여
$400^{\circ}C$ 이하에서 흡수층을 제조하여 특성평가를 진행하였으며 소자 제조 후 효율은 약 12.2%를 달성하였다. 모든 흡수층은 Co-Evaporation 방법을 이용하여 제조하였으며 제조된 흡수층은 SEM, XRF, XRD, GD-OES, PL, Raman등을 이용하여 분석하였으며 그 외 일반적인 방법을 이용하여 Mo, CdS, TCO, Al grid를 제조하였다. AR 코팅은 제외 하였으며 제조된 소자는 솔라 시뮬레이터를 이용하여 효율 특성 분석을 실시하였으며 Q.E. 분석을 실시하였다. -
현재 주로 쓰이는 표면 텍스쳐링 공정은 주로 진공에서 진행하기 때문에 높은 비용과 및 생산성의 한계, 비교적 낮은 효율 등의 단점을 가지고 있다. 그러므로 비용을 줄이고 생산성을 높이기 위해 기존의 공정 방법과 달리 진공을 사용하지 않는 대기압 플라즈마를 연구하고 대기압 플라즈마의 특성을 분석하였다. 위 연구를 통해 대기압 플라즈마를 반도체, 디스플레이, 태양전지에 쓰이는 공정에 적용시킨다면 새로운 공정 방향을 제시 할 수 있으며 사회 및 산업분야에 긍정적 영향을 미칠 것으로 예상한다. 본 연구에서는 대기압 플라즈마 특성을 OES(Optical Emission Spectroscopy)를 이용하여 광학적으로 분석하였다. RF전극과 웨이퍼 사이 간격, 가스 종류, 가스 유량, 스테이지의 움직이는 속도, RF 인가전압에 따라 어느 플라즈마의 광학적 특성이 나오는지 알아보았다.
-
최근 세계적으로 대체 에너지는 중요한 이슈가 되고 있으며 특히 열전 재료는 유망한 에너지 기술로서 주목 받고 있다. 특히 고 직접화 전자 소자의 발열 문제를 해결하기 위해, 소형화와 정밀 온도 제어가 가능한 박막형 열전 소자에 대한 관심이 크다. 박막형 열전소자 중 산화물 반도체계에 대한 연구가 활발히 진행되고 있으며, 이러한 산화물 반도체계 중 In2O3는 BiTe, PbTe 등의 기존의 재료에 비해 독성이 낮을 뿐만 아니라 고온에서 열적 안정성이 우수하여 고온에서 적용 불가능한 금속계 열전 재료의 한계를 극복할 수 있다는 장점을 가진다. 좋은 성능의 열전 재료는 높은 전기 전도도 및 제백 계수 그리고 낮은 열전도도 특성을 가져야 한다. 비정질 구조를 가지는 박막 열전 재료는 격자에 의한 열 전도도가 낮기 때문에 결정질 구조와 비교하여 전체 열 전도도 값이 낮을 것으로 기대된다. 이러한 특성을 바탕으로 본 연구에서는 비정질 구조를 갖는 ZnO와 SnO2를 동시에 첨가한 In2O3 박막의 전기적 특성과 열전 특성에 관한 연구를 하였다.
-
Lee, Yul-Hui;Choe, Hyeon-Ji;Yu, Jeong-Hun;Kim, Dong-In;Lee, Yong-Min;Seo, Hyeon-Jin;Hwang, Gi-Hwan;Kim, Ji-Yun;Nam, Sang-Hun;Bu, Jin-Hyo 254
가시광선에 대해 선택적으로 투과할 수 있는 스마트 윈도우를 제작하기 위해 우리는 전기 에너지를 이용하여 유리의 색을 조절할 필요가 있다. 특히 환원되면서 가시광선 파장의 흡광도를 증폭시켜 색을 변화시키는 무기물질들이 존재하는데, 이러한 특성을 가진 균일한 박막을 만들 경우 그 표면적을 달리 하는 것이 중요하다. 이번 연구에서 우리는 Polystyrene 단일층을 만들어 WOx의 나노구조체 template를 만드는 연구를 진행하였다. 먼저, WOx nanorod 졸을 ITO 위에 스핀코팅 기법을 이용하여 도포시키고, 이후 단일 크기의 PS beads를 monolayer로 올렸다. 이 때의 PS beads의 크기는 400 ~ 1800nm의 크기를 이용하였다. 만들어진 monolayer에 다시 WOx sol을 도포시켜 반구형의 template를 갖는 WOx를 제작하였다. 우리는 이러한 방식으로 만들어진 Tungsten oxide 나노 구조체의 전기변색 특성이 어떻게 달라지는지 확인했다. 결정형 분석을 위해 XRD를 분석했고 투과도와 표면상을 보기 위해 UV-visible과 FE-SEM을 이용하였으며 마지막으로 Cyclic Voltametry를 이용해 전기변색특성 변화를 알아보았다. -
Lee, Dong-Won;Jin, Hyeong-Gon;Lee, Eo-Hwak;Yun, Jae-Seong;Kim, Seok-Gwon;Park, Seong-Dae;Jo, A-Ra;An, Mu-Yeong;Jo, Seung-Yeon 255
국제핵융합실험로(ITER)의 3대 목표 중 하나는 핵융합로 개발을 위한 삼중수소증식블랑켓 개념을 시험하고 검증하는 것이며, 이를 위해 시험증식블랑켓(TBM, Test Blanket Module) 프로그램을 마련, 각국이 참여할 수 있도록 하고 있다. 한국도 2012년 국가핵융합위원회 결정에 따라, EU, 일본, 중국, 인도와 함께 TBM 프로그램에 참여하고 있으며, 2021년 설치를 목표로 헬륨냉각 고체증식재 개념의 HCCR (Helilum Cooled Ceramic Reflector) TBM을 설계, 개발하고 있다. 한국형 TBM은 총 4개의 서브모듈과 하나의 후벽(Back Manifold, BM) 으로 구성되며, 각 서브모듈은 플라즈마와 대면하는 일차벽(First Wall, FW), 증식재와 증배재, 반사재를 담고 있는 증식영역(Breeding Zong, BZ), 냉각재 매니폴드 및 구조물 역할을 하는 측벽(Side Wall, SW) 등의 기능부품으로 구성되어 있다. 냉각재는 8 MPa,$300-500^{\circ}C$ 의 고온고압헬륨을 사용하고, Li2SiO4 혹은 Li2TiO4 형태의 Li 세라믹 증식재를 사용하며, 중성자 증배를 위해 Be 증배재 및 흑연 반사재를 사용한다 [1-3]. 2015년 2월 개념설계검토(CDR, Conceptual Design Review)를 위해, TBM-shield를 포함한 TBM-set 설계가 완료되었으며, 열수력, 구조, 지진, 전자기, 복합하중에 대한 평가가 진행되었다. 본 논문에서는 이 중 H/He-phase에 시험될 EM-TBM과 D-T phase에 시험될 INT-TBM에 대한 열수력 성능 결과를 소개하였다[5]. 각각의 열부하 조건은 0.17과$0.3MW/m^2$ 이며, 중성자 조사는 D-T phase 에서만 고려되었다. 구조재 및 사용된 기능소재별 온도 요건을 정의하고, 성능해석 결과와 비교하였으며, 이를 통해 모든 온도 요건을 만족함을 최종 확인하였다. 이러한 온도 분포는 열응력 평가를 위해 구조해석 입력자료로 활용되었다. -
Kim, Dong In;Yu, Jung-Hoon;Nam, Sang-Hun;Seo, Hyeon Jin;Hwang, Ki-Hwan;Kim, Jee Yun;Joo, Yong Tae;Boo, Jin-Hyo 256
이산화 바나듐 ($VO_2$ )은 340 K 임계온도를 기점으로 금속-절연체 상전이를 통해 전기적, 광학적 특성이 가역적으로 변하는 물질로 잘 알려져 있다. 그러나 낮은 가시광 투과율과 비선호적인 색상(황갈색)으로 인해 열변색 스마트 창호응용과 관련하여 해결해야 할 문제로 남아있다. 본 연구에서는 상기 문제를 해결하고자 고분자 나노 구 템플릿을 응용하여 2차원의 벌집구조를 갖는$VO_2$ 박막을 졸 겔 방법을 통해 제작하였으며 가시광 투과율 향상을 유도하였다. 나노 구의 지름과 코팅조건에 따라 구조변화를 유도하였으며 FE-SEM과 AFM을 통해 박막의 구조적 변화를 측정하였다. 결과로부터 나노 구의 역상모양을 갖는 박막이 형성 되었으며 직경에 따라 패턴 간격이 확연하게 변화되었음을 확인 하였다. 나노 구가 위치하고 있던 자리로부터 빈 공간형성을 유도할 수 있었으며 이는 가시광 투과율향상에 직접적 영향을 주었다. 또한 상기 패턴화된$VO_2$ 박막은 광학 스위칭 효율을 유지하면서 주기적 패턴으로부터 시각적으로 광결정유도를 통한 미적 시너지를 보였며 본 연구로부터$VO_2$ 기반 스마트 창호 응용에 많은 기여가 기대된다. -
Single crystalline indium-tin-oxide (ITO) nanowires (NWs) were grown by sputtering method. A thin Ni film of 5 nm was deposited before ITO sputtering. Thermal treatment forms Ni nanoparticles, which act as templates to diffuse Ni into the sputtered ITO layer to grow single crystalline ITO NWs. This Ni diffusion through an ITO NW was investigated by transmission electron microscope to observe the Ni-tip sitting on a single crystalline ITO NW. Meanwhile, a single crystalline ITO structure was found at bottom and body part of a single ITO NW without remaining of Ni atoms. This indicates the Ni atoms diffuse through the oxygen vacancies of ITO structure. Rapid thermal process (RTP) applied to generate an initial stage of a formation of Ni nanoparticles with variation in time periods to demonstrate the existence of an optimum condition to initiate ITO NW growth. Modulation in ITO sputtering condition was applied to verify the ITO NW growth or the ITO film growth. The Ni-assisted grown ITO layer has an improved electrical conductivity while maintaining a similar transmittance value to that of a single ITO layer. Electrically conductive and optically transparent nanowire-coated surface morphology would provide a great opportunity for various photoelectric devices.
-
OLED commercialization has been led in mobile market by Samsung since 2007, but more suppliers in Korea, China and Japan are joining the market. However, there remain some challenges in expanding its application to large size TV and flexible displays, especially in competition with dominant LCD products. This talk will discuss future prospects of the OLED technology after brief review of the progress.
-
Understanding interfacial phenomena has been one of the main research issues not only in semiconductors but only in life sciences. I have been trying to meet the atomic scale surface and interface analysis challenges from semiconductor industries and furthermore to extend the application scope to biomedical areas. Optical imaing has been most widely and successfully used for biomedical imaging but complementary ion beam imaging techniques based on mass spectrometry and ion scattering can provide more detailed molecular specific and nanoscale information In this presentation, I will review the 27 years history of medium energy ion scattering (MEIS) development at KRISS and DGIST for nanoanalysis. A electrostatic MEIS system constructed at KRISS after the FOM, Netherland design had been successfully applied for the gate oxide analysis and quantitative surface analysis. Recenlty, we developed time-of-flight (TOF) MEIS system, for the first time in the world. With TOF-MEIS, we reported quantitative compositional profiling with single atomic layer resolution for 0.5~3 nm CdSe/ZnS conjugated QDs and ultra shallow junctions and FINFET's of As implanted Si. With this new TOF-MEIS nano analysis technique, details of nano-structured materials could be measured quantitatively. Progresses in TOF-MEIS analysis in various nano & bio technology will be discussed. For last 10 years, I have been trying to develop multimodal nanobio imaging techniques for cardiovascular and brain tissues. Firstly, in atherosclerotic plaque imaging, using, coherent anti-stokes raman scattering (CARS) and time-of-flight secondary ion mass spectrometry (TOF-SIMS) multimodal analysis showed that increased cholesterol palmitate may contribute to the formation of a necrotic core by increasing cell death. Secondly, surface plasmon resonance imaging ellipsometry (SPRIE) was developed for cell biointerface imaging of cell adhesion, migration, and infiltration dynamics for HUVEC, CASMC, and T cells. Thirdly, we developed an ambient mass spectrometric imaging system for live cells and tissues. Preliminary results on mouse brain hippocampus and hypotahlamus will be presented. In conclusions, multimodal optical and mass spectrometric imaging privides overall structural and morphological information with complementary molecular specific information, which can be a useful methodology for biomedical studies. Future challenges in optical and mass spectrometric imaging for new biomedical applications will be discussed.
-
RF 마그네트론 스퍼터링법을 이용하여 유리 기판위에 ZnO:Al 박막을 증착하고 열공정에 따른 박막의 구조적, 광학적, 전기적 특성을 연구하였다. 열공정 파라미터로는 공정 온도와 어닐링 온도를 이용하였다. 각각의 열공정 파라미터 변화에 따라 ZnO:Al 박막의 특성이 영향 받음을 확인하였다. 모든 샘플에서(002) 우선 배향성을 보였으며 80% 이상의 투과도 특성을 보였다. 하지만, 열공정에 따라 결정성이 나빠지기도 좋아지기도 하였다. 표면 거칠기는 열공정 종류에 상관없이 온도 증가에 따라 증가하였다. 또한, 투과도도 열공정 종류에 상관없이 온도 증가에 따라 감소함을 보인 반면 광학적 밴드갭은 적색이동 현상을 나타내었다. 적색이동 현상은 Burstein-Moss effect와 관련이 있으며 온도증가에 따라 캐리어 이동도가 감소하여 나타난 현상이다. 열공정에서 따라 비저항이 민감하게 변화하였다. 각각의 열공정에서 온도가 증가함에 따라 비저항이 증가하였고 캐리어 농도와 이동도는 감소함을 보이고 있다. ZnO:Al 박막의 화학적인 상태를 분석한 결과, 열공정 온도에 따라 Al 농도 변화와 불순물 표면 흡착 변화가 발생하였으며 이에 따라캐리어 농도와 이동도의 감소가 나타난 것으로 판단된다.
-
Nonthermal Atmospheric Pressure Plasmas and their Applications to Plasma Bioscience and Medicines have been introduced for next generation human healthcare's quantum developments. Various kinds of nonthermal atmospheric pressure plasmas have been introduced and their electron temperature and plasma densities along with reactive oxygen and nitrogen species have been diagnosed and analyzed for biological cell interactions, especially, used in Plasma Bioscience Research Center (PBRC), Korea. Herein, we have also introduced the plasma-initiated ultraviolet photolysis, which might be a generation mechanism for the reactive oxygen and nitrogen species (RONS) intracellular and extracellular regions inside the liquid when the plasma has been bombarded onto the water. Finally we have investigated the interactions of these RONS with the various cancer cells resulting in apoptotic cell death.
-
In the Linford group at Brigham Young University we have recently developed three new sets of materials for three different areas of separations science: thin layer chromatography (TLC), high performance liquid chromatography (HPLC), and solid phase microextraction (SPME). First, via microfabrication we have grown patterned carbon nanotube (CNT) forests on planar substrates that we have infiltrated with inorganic materials such as silicon nitride. The coatings on the CNTs are conformal and typically deposited in a process like low pressure chemical vapor deposition. The resulting materials have high surface areas, are porous, and function as effective separation devices, where separations on our new TLC plates are typically significantly faster than on conventional devices. Second, we used the layer-by-layer (electrostatically driven) deposition of poly (allylamine) and nanodiamond onto carbonized poly (divinylbenzene) microspheres to create superficially porous particles for HPLC. Many interesting classes of molecules have been separated with these particles, including various cannabinoids, pesticides, tricyclic antidepressants, etc. Third, we have developed new materials for SPME by sputtering silicon onto cylindrical fiber substrates in a way that creates shadowing of the incoming flux so that materials with high porosity are obtained. These materials are currently outperforming their commercial counterparts. Throughout this work, the new materials we have made have been characterized by X-ray photoelectron spectroscopy, time-of-flight secondary ion mass spectrometry, scanning electron microscopy, transmission electron microscopy, etc.
-
Modification of film structure and properties in inductively-coupled plasma (ICP) assisted dc and pulsed dc sputtering has been reported by Oya and Kusano [1] and by Sakamoto, Kusano, and Matsuda [2], showing drastic changes in films structure and properties by the ICP assistance in particular to the pulsed dc discharge. Although mechanisms involved in the modification has been reported to be the increase in energy transferred to the substrate, details of effects of low-energy ion bombardment on the modification and origin of an anomalous increase in the ion quantity by the ICP assistance to the pulsed dc discharge have not been discussed. In this presentation, mechanisms involved in film structure and property modification in ICP assisted dc and pulsed dc sputtering, in which a number of low-energy ions are formed, will be discussed based on ion energy distribution as well as effectiveness of energy transfer to the substrate by low energy particles [3]. The results discussed in this presentation will emphasize the fact that the energetic particles playing an important role in the film structure modification are those to be deposited, but not those of inert gas, when their energies range in less than 100 eV in the pressure range of magnetron sputtering.
-
Nanoscale semiconductor plasma processing has become one of the most challenging issues due to the limits of physicochemical fabrication routes with its inherent complexity. The mission of future and emerging plasma processing for development of next generation semiconductor processing is to achieve the ideal nanostructures without abnormal profiles and damages, such as 3D NAND cell array with ultra-high aspect ratio, cylinder capacitors, shallow trench isolation, and 3D logic devices. In spite of significant contributions of research frontiers, these processes are still unveiled due to their inherent complexity of physicochemical behaviors, and gaps in academic research prevent their predictable simulation. To overcome these issues, a Korean plasma consortium began in 2009 with the principal aim to develop a realistic and ultrafast 3D topography simulator of semiconductor plasma processing coupled with zero-D bulk plasma models. In this work, aspects of this computational tool are introduced. The simulator was composed of a multiple 3D level-set based moving algorithm, zero-D bulk plasma module including pulsed plasma processing, a 3D ballistic transport module, and a surface reaction module. The main rate coefficients in bulk and surface reaction models were extracted by molecular simulations or fitting experimental data from several diagnostic tools in an inductively coupled fluorocarbon plasma system. Furthermore, it is well known that realistic ballistic transport is a simulation bottleneck due to the brute-force computation required. In this work, effective parallel computing using graphics processing units was applied to improve the computational performance drastically, so that computer-aided design of these processes is possible due to drastically reduced computational time. Finally, it is demonstrated that 3D feature profile simulations coupled with bulk plasma models can lead to better understanding of abnormal behaviors, such as necking, bowing, etch stops and twisting during high aspect ratio contact hole etch.
-
In the past decades, green energy, such as solar energy, wind power, hydropower, biomass energy, geothermal energy, and so on, has been widely investigated and developed to solve energy shortage. Recently, organic solar cells have attracted much attention, because they have many advantages, including low-cost, flexibility, light weight, and easy fabrication [1-3]. Organic solar cells are as a potential candidate of the next generation solar cells. In this abstract, to improve the power conversion efficiency and the stability, the inverted polymer solar cells with various structures were developed [4-6]. The novel cell structures included the P3HT:PCBM inverted polymer solar cells with AZO nanorods array, with pentacene-doped active layer, and with extra P3HT interfacial layer and PCBM interfacial layer. These three difference structures could respectively improve the performance of the P3HT:PCBM inverted polymer solar cells. For the inverted polymer solar cells with AZO nanorods array as the electronic transportation layer, by using the nanorod structure, the improvement of carrier collection and carrier extraction capabilities could be expected due to an increase in contact area between the nanorod array and the active layer. For the inverted polymer solar cells with pentacene-doped active layer, the hole-electron mobility in the active layer could be balanced by doping pentacene contents. The active layer with the balanced hole-electron mobility could reduce the carrier recombination in the active layers to enhance the photocurrent of the resulting inverted polymer solar cells. For the inverted polymer solar cells with extra P3HT and PCBM interfacial layers, the extra PCBM and P3HT interfacial layers could respectively improve the electron transport and hole transport. The extra PCBM interfacial layer served another function was that led more P3HT moving to the top side of the absorption layer, which reduced the non-continuous pathways of P3HT. It indicated that the recombination centers could be further reduced in the absorption layer. The extra P3HT interfacial layer could let the hole be more easily transported to the MoO3 hole transport layer. The high performance of the novel P3HT:PCBM inverted polymer solar cells with various structures were obtained.
-
The next generation electronics need to not only be smaller but also be more flexible. To meet such demands, van der Waals (vdW) heterostructures using two dimensional (2D) atomic crystals such as graphene, hexagonal boron nitride (h-BN) and transition metal dichalcogenides (TMDCs) have been attracted intensely. In particular, for high performance of vdW heterostructures device, ultraclean interface between stacked 2D atomic crystals should be guaranteed. In this talk, I will present fabrication and characterization of the vdW field effect transistors toward performance enhancement by employing TMDCs channel, h-BN insulating layer and graphene electrode. Furthermore, it will also be introduced the characterization and surface engineering of graphene for gas molecule sensor.
-
Controlling and sensing spin states of magnetic molecules such as metallo-porphyrins at the single molecule level is essential for spintronic molecular device applications. Axial coordinations of diatomic molecules to metallo-porphyrins also play key roles in dynamic processes of biological functions such as blood pressure control and immune response. However, probing such reactions at the single molecule level to understand their physical mechanisms has been rarely performed. Here we present on our single molecule association and dissociation experiments between diatomic and metallo-porphyrin molecules on Au(111) describing its adsorption structures, spin states, and dissociation mechanisms. We observed bright ring shapes in NO adsorbed metallo-porphyrin compelxes and explained them by considering tilted binding and precession motion of NO. Before NO exposure, Co-porphryin showed a clear zero-bias peak in scanning tunneling spectroscopy, a signature of Kondo effect in STS, whereas after NO exposures it formed a molecular complex, NO-Co-porphyrin, that did not show any zero-bias feature implying that the Kondo effect was switched off by binding of NO. Under tunneling junctions of scanning tunneling microscope, both positive and negative energy pulses. From the observed power law relations between dissociation rate and tunneling current, we argue that the dissociations were inelastically induced with molecular orbital resonances. Our study shows that single molecule association and dissociation can be used to probe spin states and reaction mechanisms in a variety of axial coordination between small molecules and metallo-porphyrins.
-
Plasma medicine is an upcoming research area that has attracted the scientists to explore more deeply the utility of plasma. So, apart from the treating biomaterials and tissues with plasma, we have studied the effect of plasma with different feeding gases on modification of biomolecules. Additionally, we have checked the action of nanosecond pulsed plasma on the biomolecules. We have checked the plasma action on proteins ((Hemoglobin (Hb) Myoglobin (Mb) and lysoenzyme), calf thymus DNA and amino acids. The structural changes or structural modification of proteins and DNA have been studied using circular dichroism (CD), dynamic light scattering (DLS), gel electrophoresis, protein oxidation test, UV-vis spectroscopy and 1D NMR, while Liquid Chromatograph/Capillary Electrophoresis-Mass Spectrometer(LC/CE-MS) based qualitative bio-analysis have been used to study the modification of amino acids. We have also shown the effect of NaCl and ionic liquid on the formation of OH radicals using electron spin resonance and fluorescence techinques.
-
There is the urgent need of new human health care's technology against cancers or tumors based on plasma electronics, medicine and biology. Main target of our study is to enhance efficacy and selectivity of plasma on cancer cells with metabolic modifiers and by inducing immune-modulations. We have evaluated the combination effect of plasma with metabolic modifiers (2-DG) on various solid and liquid cancers. Our findings suggest that 2-DG enhances the efficacy and selectivity of plasma and induces apoptosis in blood cancer cells through glucose deprivation. Finally, we conclude that 2-DG with non-thermal plasma may be used as a combination treatment against cancer cells. Our work also comprises plasma induced activation of immune cells; which find applications for curing various kinds of resistant tumors and other dreadful diseases. Plasma significantly activates immune cells which increases cell death in solid tumors in co-culture conditions.
-
우주환경은 고진공 환경과 태양 복사열에 의한 고온 환경 및 극저온이 반복되는 가혹한 환경으로 특징지어지는데, 위성체는 지상에서 발사되어 우주궤도에 진입한 순간부터는 계속해서 우주환경에 노출된다. 위성체가 이러한 가혹한 우주환경에 노출될 경우 주요부품에 기능장애가 초래되기도 하며 이는 결국 임무의 실패로 이어지도 한다. 따라서 위성체는 지상에서 우주환경시험을 거쳐 기능 및 작동상태를 점검해야 하며, 이를 위해서는 우주환경을 모사 할 수 있는 우주환경 모사장비가 필요하다. 우주환경모사장비라함은 우주환경의 주특징인 고진공상태와 극저온 및 고온 환경을 모사할 수 있는 지상장비를 말하며, 통상 열진공챔버라고 불린다. 한국항공우주연구원에서는 위성의 부품레벨에서부터 대형위성시스템의 열진공시험 수행을 위한 다양한 진공챔버를 보유하고 있으며, 그 직경이 0.7 m인 소형에서부터 직경 9 m, 길이 10 m급의 대형열진공챔버에 이른다. 대형 챔버의 경우 고기능화에 따라 대형화 되어 가는 위성의 국산화 개발을 위하여 순수 국내 기술로 제작된 우주환경모사용 챔버이다. 본 대형열진공챔버의 제작 및 유지 현황에 대해 논의한다.
-
가속기의 진공용기는 안지름이 대략 20~40 mm 정도로 아주 작지만 길이는 수백 미터 이상이다. 이 같은 진공장치에서 기체 컨덕턴스가 그 진공성능을 결정하게 되며 점점 더 작아지고 있다. 이때 진공배기 방법은 주로 균등배기(distributed pumping)을 하는 데 초기에는 distributed ion pump와 Strip NEG를 주로 사용하였으며 최근에는 coated NEG가 대세이다. 균등배기의 또 다른 한 가지 방법으로 작은 동전 모양의 게터를 사용하여 그 성능을 평가하여 보고하고자 한다.
-
The facility for RAON superconducting heavy-ion accelerator at a beam power of up to 400 kW will be produced rare isotopes with two electron cyclotron resonance (ECR) ion sources. Highly charged ions generated by the ECR ion source will be injected to a superconducting LINAC to accelerate them up to 200 MeV/u. During the acceleration of the heavy ions, a good vacuum system is required to avoid beam loss due to interaction with residual gases. Therefore ultra-high vacuum (UHV) is required to (i) limit beam losses, (ii) keep the radiation induced within safe levels, and (iii) prevent contamination of superconducting cavities by residual gas. In this work, a RAON vacuum design for all the accelerator system will be presented along with Monte Carlo simulation of vacuum levels in order to validate the vacuum hardware configuration, which is needed to meet the baseline requirements.
-
현재 반도체 및 디스플레이이 공정 분야는 1 um 이상의 입자에서부터 10 nm이하 크기의 오염입자를 제어해야 한다. 현재 오염원인을 파악하기 위해서 사용하는 방법은 공정 완료 후 대상물(웨이퍼 및 글래스)을 CD-SEM (Critical Dimension Scanning Electron Microscope)와 같은 첨단 분석장비를 사용하여 사후 (Ex-situ) 진행하고 있다. 이러한 방법은 오염원이 이미 공정 대상물을 오염시키고 난 후 그 원인을 분석하는 방법으로 그 원인을 찾기가 어려울 뿐만 아니라, 최근 공정관리가 공정 진행 중(In-situ) 행해져야 하는 추세로 봤을 때 합당한 방법이라 할 수 없다. 이를 해결하기 위해 진공공정 중 레이저를 이용하여 측정하고자 하는 여러 시도들이 있었지만, 여전히 긍정적인 답변을 보여주지 못하고 있다. 본 발표에서 소개하는 PCDS (Particle Characteristic Diagonosis System)은 PBMS (Particle Beam Mass Spectrometer)와 SEM (Scanning Electron Microscope), 그리고 EDS (Energy Dispersive X-ray Spectroscopy)를 통합하여 만든 시스템으로 진공공정 중 (In-situ) 챔버 내부에서 발생하고 있는 입자의 크기 분포, 입자의 형상, 그리고 입자의 성분을 실시간으로 분석할 수 있는 방법을 제공한다. 이러한 방법 (PCDS)에 대한 개념과 원리, 그리고 현재까지 개발된 단계에서 얻어진 결과에 대해 소개할 것이다.
-
Optical resonances of metallic or dielectric nanoantennas enable to effectively convert free-propagating electromagnetic waves to localized electromagnetic fields and vice versa. Plasmonic resonances of metal nanoantennas extremely modify the local density of optical states beyond the optical diffraction limit and thus facilitate highly-efficient light-emitting, nonlinear signal conversion, photovoltaics, and optical trapping. The leaky-mode resonances, or termed Mie resonances, allow dielectric nanoantennas to have a compact size even less than the wavelength scale. The dielectric nanoantennas exhibiting low optical losses and supporting both electric and magnetic resonances provide an alternative to their metallic counterparts. To extend the utility of metal and dielectric nanoantennas in further applications, e.g. metasurfaces and metamaterials, it is required to understand and engineer their scattering characteristics. At first, we characterize resonant plasmonic antenna radiations of a single-crystalline Ag nanowire over a wide spectral range from visible to near infrared regions. Dark-field optical microscope and direct far-field scanning measurements successfully identify the FP resonances and mode matching conditions of the antenna radiation, and reveal the mutual relation between the SPP dispersion and the far-field antenna radiation. Secondly, we perform a systematical study on resonant scattering properties of high-refractive-index dielectric nanoantennas. In this research, we examined Si nanoblock and electron-beam induced deposition (EBID) carbonaceous nanorod structures. Scattering spectra of the transverse-electric (TE) and transverse-magnetic (TM) leaky-mode resonances are measured by dark-field microscope spectroscopy. The leaky-mode resonances result a large scattering cross section approaching the theoretical single-channel scattering limit, and their wide tuning ranges enable vivid structural color generation over the full visible spectrum range from blue to green, yellow, and red. In particular, the lowest-order TM01 mode overcomes the diffraction limit. The finite-difference time-domain method and modal dispersion model successfully reproduce the experimental results.
-
I will present my research group's recent investigation on how the localized plasmon of a nanoparticle interacts with another plasmon, and with nearby molecules. First, I will demonstrate the use of scattering-type scanning near-field microscopy (s-SNOM) to directly visualize the capacitive / conductive coupling in dimeric nanoparticles and heterometallic nanorods. Second, I will talk about the use of gap-plasmons to locally induce photochemical reactions, and to follow chemical kinetics of individual organic molecules using the gap-plasmons. As a last topic, I will talk about the use of near-field coupling between a scanning probe and graphenes to visualize / identify the stacking domains (e. g., ABA versus ABC-type stacking in triple layer) hidden in multilayer graphenes.
-
The Center for Advanced Meta-Materials (CAMM) was launched in 2014 as a center for Global Frontier Projects supported by the Ministry of Science, ICT and Future Planning. The center is geared towards developing core technologies in controlling wave energies by incorporating creative artificial structures of sub-wavelength sizes. Furthermore, the center not only investigates novel meta-materials and devices but also builds new design, fabrication and application platforms in order to realize these technologies. The center will create new markets in various industries such as national defense, housing and medical care. In order to accomplish its goals, CAMM is composed of three major divisions: the fabrication/characterization technologies and application division, the advanced metamaterials for electromagnetic wave division and the advanced metamaterials for mechanical wave division. The center will concentrate its efforts in bringing innovations to conventional technologies in sectors such as machinery, ICT, energy and biomedical technology by adopting the use of advanced metamaterial systems. In this talk, we will introduce principles of advanced wave control and describe some advanced metamaterials which can provide new solutions for various social problems in future.
-
Recently, metamaterials attracted much attention because of the potential applications for superlens, cloaking and high precision sensors. We developed several dielectric metamaterials for enhancing antireflection or light trapping capability in solar energy harvesting devices. Colloidal lithography and electrochemical anodization process were employed to fabricate self-assembed nano- and microscale dielectric metamaterials in a simple and cost-effective manner. We improved broadband light absorption in c-Si, a-Si, and organic semiconductor layer by employing polystyrene (PS) islands integrated Si conical-frustum arrays, resonant PS nanosphere arrays, and diffusive alumina nanowire arrays, respectively. We also demonstrated thin metal coated alumina nanowire array which is utilized as an efficient light-to-heat conversion layer of solar steam generating devices. The scalable design and adaptable fabrication route to our light management nanostructures will be promising in applications of solar energy harvesting system. On the other hands, broadband invisible cloaks, which continuously work while elastically deforming, are developed using smart metamaterials made of photonic and elastic crystals. A self-adjustable, nearly lossless, and broadband (10-12GHz) smart meatamaterials have great potentials for applications in antenna system and military stealth technology.
-
최근 실리콘(Si) 원재료 가격의 하락으로 인하여, 태양광 시장에서 성능 좋은 저가의 태양광 모듈을 요구하고 있다. 즉, 와트(W)당 낮은 가격의 태양광 모듈을 선호하기 때문에 경쟁력을 갖추기 위하여서는 많은 출력을 낼 수 있는 고효율의 태양전지가 요구된다. 그래서 주목을 받고 있는 것이 N-type 실리콘 기판을 사용한 고효율 태양전지이다. 하지만, n-type Si 기판의 경우, pn 접합의 형성을 위하여서 기존의 열 확산(Thermal diffusion)법에 의한 에미터(Emitter) 형성방법은 양질의 pn접합을 형성하기에는 한계가 있다. 그로 인하여 주목하고 있는 기술이 반도체 공정에서 널리 사용되고 있는 이온 주입(Ion implantation)방식이다. 이 기술은 양질의 에미터 형성을 위하여, 동일한 양의 불순물(dopant) 주입, 정확한 접합 깊이 제어 등이 가능한 방법으로 고효율 태양전지 제작에 필수적이며, 가능한 기술이라고 할 수 있다. 본 발표에서는 어플라이드 머트리얼즈(Applied Materials)사가 보유하고 있는 고효율 태양전지 제작에 필수적인 이온주입방식의 기술과 양산화 가능한 관련장비 등을 소개 하고자 한다.
-
2014년을 기준으로 국내 태양광발전시스템 누적설치 용량이 약 2 GW(원전 2기분) 에 도달하였다. 하지만 태양광발전시스템의 많은 도입에도 불구하고 국내 환경을 고려한 실질적인 타당성 분석, 설계, 시공, 운영, 유지보수 등의 가이드라인이 부재하여 잦은 고장, 발전출력 저하, 민원 제기 등의 많은 문제점들이 발생하고 있다. 본 발표에서는 태양광발전시스템의 최적화를 위한 여러 방법들에 대해 살펴보고, 향후 개선 방안을 공유하고자 한다.
-
Recent years in particular in Korea see intensive interests in a deep geothermal engineering and its application in different uses as far as from direct uses to power generation sectors, that are achieved by harnessing hot energy sources from the earth. For instance widespread interest has been generated because the geothermal energy is the source that one extracts it for more than 20 hours per day and for about 30 years of an operation of the plant, which enables to give base load as for heating as well as an electric generation. In retrospect, shallow geothermal energy using heat pumps is commonplace in Korea while the deep geothermal is in the early stage of the development. Geothermal energies in view of the way of extracting heat are mainly categorized into several types such as a single well system, a hydrothermal system, an enhanced geothermal system (EGS) etc. In this talk, this speaker focuses on the thermo-fluid engineering of the single well system by introducing the modeling in order to harness hot fluid that is thermally balanced with the fluid of an injection well, which provides a challenge to assess the life time of the well. To avoid the loss of the temperature in producing the hot fluid, a specialized pipe or a borehole heat exchanger has been designed, and its concept is introduced. On the other hand, a binary system or an organic Rankine cycle, which provides the methodology to convert the heat into an electricity, is briefly introduced. Some experimental results of the binary system which has been constructed in our lab will be presented. Lastly as for the future direction, some comments for the industrialization of the deep geothermal energy in this country will be discussed.
-
Growing market of electric vehicles such as hybrid, plug-in hybrid, and bare electric vehicles in the world is accelerating the significance of Li-ion batteries as a renewable green energy. According to such market flow, the developing components such as cathode, anode, electrolyte, and separator, composing the Li-ion batteries, is significantly important tasks for the commercialization. In particular, development of the cathode material having high capacity and stable thermal stability is essential for long-distance electric vehicle in the near future. Herein we introduce various applications of Li-ion batteries such as portable electronics, electric vehicles, and energy storage system, and also its research trend, in particular on the cathode materials.
-
As the feature size of Si-based semiconductor shrinks to nanometer scale, we are facing to the problems such as short channel effect and leakage current. One of the solutions to cope with those issues is to bring III-V compound semiconductors to the semiconductor structures, because III-V compound semiconductors have much higher carrier mobility than Si. However, introduction of III-V semiconductors to the current Si-based manufacturing process requires great challenge in the development of process integration, since they exhibit totally different physical and chemical properties from Si. For example, epitaxial growth, surface preparation and wet etching of III-V semiconductors have to be optimized for production. In addition, oxidation mechanisms of III-V semiconductors should be elucidated and re-growth of native oxide should be controlled. In this study, surface preparation methods of various III-V compound semiconductors such as GaAs, InAs, and GaSb are introduced in terms of i) how their surfaces are modified after different chemical treatments, ii) how they will be re-oxidized after chemical treatments, and iii) is there any effect of surface orientation on the surface preparation and re-growth of oxide. Surface termination and behaviors on those semiconductors were observed by MIR-FTIR, XPS, ellipsometer, and contact angle measurements. In addition, photoresist stripping process on III-V semiconductor is also studied, because there is a chance that a conventional photoresist stripping process can attack III-V semiconductor surfaces. Based on the Hansen theory various organic solvents such as 1-methyl-2-pyrrolydone, dimethyl sulfoxide, benzyl alcohol, and propylene carbonate, were selected to remove photoresists with and without ion implantation. Although SPM and DIO3 caused etching and/or surface roughening of III-V semiconductor surface, organic solvents could remove I-line photoresist without attack of III-V semiconductor surface. The behavior of photoresist removal depends on the solvent temperature and ion implantation dose.
-
Gang, Myeong-Gil;Hong, Chang-U;Yun, Jae-Ho;Gwak, Ji-Hye;An, Seung-Gyu;Mun, Jong-Ha;Kim, Jin-Hyeok 86.2
Cu2ZnSn(S,Se)4 thin film solar cells have been fabricated using sputtered Cu/Sn/Zn metallic precursors on Mo coated sodalime glass substrate without using a toxic H2Se and H2S atmosphere. Cu/Sn/Zn metallic precursors with various thicknesses were prepared using DC magnetron sputtering process at room temperature. As-deposited metallic precursors were sulfo-selenized inside a graphite box containing S and Se pellets using rapid thermal processing furnace at various sulfur to selenium (S/Se) compositional ratio. Thin film solar cells were fabricated after sulfo-selenization process using a 65 nm CdS buffer, a 40 nm intrinsic ZnO, a 400 nm Al doped ZnO, and Al/Ni top metal contact. Effects of sulfur to selenium (S/Se) compositional ratio on the microstructure, crystallinity, electrical properties, and cell efficiencies have been studied using X-ray diffraction, Raman spectroscopy, field emission scanning electron microscope, I-V measurement system, solar simulator, quantum efficiency measurement system, and time resolved photoluminescence spectrometer. Our fabricated Cu2ZnSn(S,Se)4 thin film solar cell shows the best conversion efficiency of 9.24 % (Voc : 454.6 mV, Jsc : 32.14 mA/cm2, FF : 63.29 %, and active area : 0.433 cm2), which is the highest efficiency among Cu2ZnSn(S,Se)4 thin film solar cells prepared using sputter deposited metallic precursors and without using a toxic H2Se gas. Details about other experimental results will be discussed during the presentation. -
우리나라는 세계적으로 보기 드문 조류발전의 적지이며, 특히 진도 울돌목, 장죽수도, 맹골수도, 완도 횡간수도 등 서남해안에 조류에너지 여건이 좋은 상태이다. 조류발전시스템은 물속에 잠겨서 동작하는 형태이므로, 대형 고정 설비가 요구되어 높은 부대비용 발생과 이상유무 발생시 유지보수가 어려운 문제가 있다. 이를 위해 설비 및 설치비용을 줄이는 부유식 형태와 유지보수가 용이한 구조 설계를 적용한 실용적인 조류발전시스템 개발하며, 주요 적용처로 관심이 높아져 가는 에너지자립섬 적용을 목표로 섬내 전기 수요에 부합되는 15 kW급 조류발전을 공급하고자 하며, 이외 다양한 해양에너지를 활용한 에너지 자립화 방향을 제시하고자 한다.
-
Transparent conductors are commonly used in photoelectric devices, where the electric energy converts to light energy or vice versa. Energy consumption devices, such as LEDs, Displays, Lighting devices use the electrical energy to generate light by carrier recombination. Meanwhile, solar cell is the only device to generate electric energy from the incident photon. Most photoelectric devices require a transparent electrode to pass the light in or out from a device. Beyond the passive role, transparent conductors can be employed to form Schottky junction or heterojunction to establish a rectifying current flow. Transparent conductor-embedded heterojunction device provides significant advantages of transparent electrode formation, no need for intentional doping process, and enhanced light-reactive surface area. Herein, we present versatile applications of transparent conductors, such as NiO, ZnO, ITO in photoelectric devices of solar cells and photodetectors for high-performing UV or IR detection. Moreover, we also introduce the growth of transparent ITO nanowires by sputtering methods for large scale application.
-
In recent printed electronics technology, Photo-Sintering, a technique for sintering materials using a light source, has attracted attention as an alternative to time-consuming high-temperature thermal processes. The key principle of this technique is the selective heating of a strongly absorbent thin film, while preventing the heating of the transparent substrate by the light source. Many recent studies have used a flash lamp as the light source, and investigated the material-dependent effect of the width or intensity of the pulsed light. However, the flash lamp for sintering is not suitable for industry yet, because of needing too high power to sinter for a large scale. In energy-saving and large-scale sintering, LED technologies would be very useful in the near future. In this work, we investigated a sintering process for silver nanoparticles using UV-LED array. Silver nanoparticles in ink were inkjet-printed on a
$1{\times}1cm$ area of a PET film and photo-sintered by 365 nm UV-LED module. A sheet resistance value as low as$72.6m{\Omega}/sq$ (2.3 - 4.5 times that of bulk silver) was obtained from the UV-LED sintering at 300 mW/cm2 for 50 min. -
최근 CIGS 박막태양전지에 대한 저가/고효율화에 대한 연구가 심도있게 진행되는 상황에서 태양전지를 구성하고 있는 요소박막에 대한 기능향상 또한 chalcophyrite 구조를 개선하기 위한 실험에 대하여 연구가 많이 진행되고 있다. 전자빔 조사방식은 플라즈마에서 발생되는 이온과 전자 클러스터 중에 전자를 그리드로 선택하여 조사할수 있는 방식으로 가속전압, 인가시간에 따라 샘플에 인가받는 에너지세기의 양을 조절할수 있다. 결정화를 위한 전자빔 조사와 표면구조 개선을 위한 공정조건은 서로 상이한데, CIGS를 구성하는 박막태양전지의 구성박막인 Mo, CIGS, ZnO에 대한 전자빔 조사 변수로서 가속전압을 1.5~5.0 keV로 조사시간은 300 sec이내로 했을때의 각 구성박막의 조성적, 광학적, 전기적, 구조적 특성변화를 관찰하였고, 이에 대한 태양전지 소자로서의 특성을 발표한다. 결론적으로 전자빔 조사는 아주 빠른 시간 이내에 표면을 modify할수 있으며, 가해지는 전자빔의 운동에너지와 매칭이 되는 공정조건 구현 및 탐색을 통해 소결, 결정화까지도 가능한 아주 유용한 방법으로 간주될수 있다.
-
열전소재의 열전성능을 평가하기 위해서는 샘플 내 상하부 온도구배가 필요하다. 하지만 진공챔버 내에서는 대류효과가 제한되어, 1 mm 이하 두께의 얇은 샘플은 상하부 방향으로 온도 구배를 조성하기 어렵다. 온도 구배를 조성하기 위해서는 샘플의 두께 방향을 관통하는 열유속이 필요하며, 진공 분위기에서 열유속을 조성하기 위해서는 히터뿐만 아니라 별도의 열배출기가 요구된다. 본 연구에서는 열전특성 측정 장비 내 수냉식 열배출기의 설계를 위해, 열배출기의 층수를 달리하며 열전달거동을 수치해석적인 방법으로 연구하였다. 열배출기의 층수에 따른 영향을 평가하기 위해서 동일한 채널길이를 유지시키면서 층수를 달리하는 기하학적인 구조들을 설계하였다. 수치해석을 용이하게 진행하기 위해, 열배출기의 형태는 단순한 bar 형태를 가진 1-5층의 다층 구조 로 설계하였다. 열배출기들 각각의 열전달 효율을 평가하기 위해, 수냉식 열배출기의 열배출량에 가장 큰 영향을 미치는 질량유량을 0.1-1 g/s로 변화 시키면서 열전달 거동을 확인하였다. 또한 냉각수의 기화 현상을 방지하기 위해 발열체의 온도를 290-370 K로 바꿔 가며 열전달 거동을 확인하였다. 수치 해석결과, 5층의 열배출기가 최대 120 W/cm2 로 높은 단위면적당 열배출량을 가지는 것을 확인하였으나, 열배출기 전체의 열배출량을 기준으로하는 열배출효율은 0.6 정도로 낮은 효율을 가짐을 확인하였다. 반면에 3층의 열배출기의 경우, 열배출 효율이 0.8에 달하며, 2층의 열배출기 보다 열배출 효율이 좋다는 것을 확인할 수 있었다.
-
Jeong, Won-Jun;Kim, Dong-Bin;Park, Sang-Hyeon;Im, Seong-Gyu;Kim, Yong-Seong;Lee, Chang-Hui;Yun, Ju-Yeong;Kim, Tae-Seong;Sin, Jae-Su;Gang, Sang-U 92.2
Negative-AND (NAND) flash의 대용량 및 소형화로 인해 10 nm급 공정을 도입한 128 Gb NAND flash가 개발된 이래, 공정이 미세화되면서 셀이 작이지고 간격이 좁아지게 되었다. 이로 인해 전자가 누설되는 간섭현상이 심화되게 된다. 이러한 문제를 해결하기 위해 기존 NAND의 평면 구조를 수직으로 적층하는 3D NAND 기술이 개발되었으며 차세대 소자를 위한 필수 기술로 각광받고 있다. 3D NAND에서 channel hole etching시 고 선택 비의 중요도가 증가하여 증착막 보호 역할을 하는 hardmask의 두께가 증가하게 되었으며 기존 하드마스크 대비 내식각성이 2배 이상 향상된 hard material 개발이 필요한 실정이다. 본 연구에서는 dopant에 따른 amorphous carbon layer (ACL)의 etch rate의 변화량을 Raman spectroscopy등의 측정장비를 이용하여 비교분석 하였다. dopant의 각각 유량별에 대한 etch rate 변화의 영향성을 비교하였다. dopant의 유량에 따라 etch rate이 변화하는 것을 관찰할 수 있었으며, 2000 sccm 이후에는 etch rate이 급격히 감소하는 경향을 보였다. Raman 측정결과, etch rate의 감소에 따라 G-peak의 red shift가 발생하였으며 두 peak 간의 차이 값이 etch rate의 변화율과 유사한 경향을 보이는 것을 확인하였다. -
근래 디스플레이 분야에서 OLED가 시장을 주도하면서 이 공정에 가장 적합한 진공펌프로 크라이오 펌프가 주목을 받고 있다. 화소 형성 공정에 사용되는 유기물이 수분에 취약하기 때문인데, 크라이오 펌프가운데서 특별히 수분만 집중적으로 배기할 수 있는 워터펌프(CWP or cold trap)가 각광받고 있다. 이에 HM GVT는 중소기업청 중소기업개발지원사업의 일환으로 진행된 2014년도 구매조건부 신제품 개발사업에 선정되어 '극저온 G-M냉동기를 이용한 대용량 Cold Trap개발' 과제를 수행하면서 32인치 급으로 수분에 대해서 30,000 [L/s] 이상의 배기속도를 가지는 대형 CWP를 개발하고 있다(수요처: (주)아바코). 통상적으로 흡기구가 30인치라면 수분 배기속도는 대략 65,000 L/s에 이르고 200 W 냉각능력이면 최대 수분 분압 0.008 mbar에서 작동시킬 수 있다. 따라서 1차년도의 목표는 큰 배기용량과 대형 사이즈의 CWP를 개발하기 위해 80 K에서 200 W 이상의 냉동능력을 보유한 단단 G-M 극저온냉동기를 선행 개발하는 것이다. 이에 현재 최대 냉동능력 80 K에 130 W의 냉동능력을 가지는 HPS055모델을 이용하여 다양한 예비시험들을 수행하여 최적의 설계인자들을 도출하였고 이를 근거로 80 K에서 200 W 이상의 냉동능력을 가지는 HPS80200모델을 설계 및 제작, 성능시험을 수행하였다. 이에 국내 최초로 80 K에서 200 W의 냉동능력을 가지는 단단 G-M냉동기를 개발하였고 설계 및 제작에 대한 원천기술을 확보할 수 있었다.
-
플라즈마를 포함한 반응성 가스 공정 분석용 사중극자 질량분석기의 필라멘트의 파손양상을 조사하였다. 또한 유전체 증착층이 이온원 성능에 미치는 영향을 분석하기 위하여 이온원의 일반적인 가동 전압 조건에서 Poisson방정식을 이용하여 전위를 수치 해석으로 구하였다. 사용중 파손된 필라멘트의 파단면을 주사전자현미경으로 관찰결과, 수직으로 절단되는 양상과 직경이 점차 작아지면서 erosion되는 두가지 양상을 보였다. 또한 파단면은 표면균열과 패시팅(faceting) 현상을 보였다. 필라멘트 사용시 가장 큰 문제는 패시팅(faceting)이다. 대부분의 결정에서는 다른 결정면보다 에너지 준위가 낮은 결정면이 존재한다. W 원자는 고온에서 확산 또는 증발하여 표면에서 다시 응축할 때 표면 에너지를 최소화하기 위한 독특한 평형 형상이 만들어 지는데 이것이 패시팅의 구동력이다. 이때 국부적으로 단면적이 감소하는 곳이 생기는데, 이 지점이 집중적으로 가열되고 증발이 가속화하여 파손된다. 파단면을 EDS 분석결과, 산화물을 포함한 F, Fe 및 C이 검출되었다. 이 F과 C는 공정중 사용된 CF4의 분해에 의한 것으로 생각되며, 파손된 필라멘트를 Ar 유도결합 플라즈마로 처리한 결과 이 F, Fe 및 C의 양이 감소하였다.
-
4세대 방사광 가속기는 선형가속기와 언듈레이터를 활용하여 파장이 0.1 nm인 X선을 빔라인 사용자들에게 제공하는 것을 목표로 하여 2011년부터 건설되고 있다. 이 장치에서 진공시스템은 전자빔 발생장치인 RF Gun을 포함하는 입사장치(Injector)와 빔을 가속시키는 선형가속기(Linac) 그리고 결맞음 방사광을 발생시키는 언듈레이터로 나누어진다. 본 논문에서는 최종 수정 설계 후 제작 및 설치 중인 진공시스템의 건설 현황에 대하여 집중적으로 보고하고자 한다.
-
주사전자현미경은 나노 크기의 재료 및 바이오 물질의 이미지를 관찰하는 가장 일반적인 분석 장비이다. 주사전자현미경을 이용한 시료 관찰은 주로 10-5 Torr 이하의 고진공에서 이루어진다. 부도체 재료는 전자빔에 의해 대전(charging)이 발생하여 이미지가 왜곡되며, 이를 방지하기 위해 금 등의 금속을 코팅한다. 한편 10-1 Torr 이상 압력의 저진공에서는 부도체 재료도 charging이 발생하지 않아 생물시료등의 부도체 표면을 코팅없이 관찰할 수 있다. 본 발표에서는 현재 개발중인 저진공 관찰 주사전자현미경의 차동배기구조를 보여준다. 또한 차동배기에 의해 가동 압력 10-1 Torr 이상의 시료실과 10-5 Torr이하의 전자총실의 진공 배기특성을 보고하며, 저진공에서의 주사전자현미경 이미지를 보여준다.
-
G-M극저온냉동기의 구동으로 인해 발생되는 크라이오 펌프의 진동 저감을 위해 각 요소에 해당하는 부품의 소재 및 모델 변경으로 설계에 반영하고자 한다. G-M극저온냉동기는 헬륨냉매를 사용하여 2개의 정압과정과 2개의 정적과정으로 구성되는 냉동사이클을 구성하는데, 구조적 특성상 내부 왕복기의 운동과 고저압변환에 따른 압력차이가 냉동기의 진동을 유발하므로 진공성능에 영향을 줄 수 있으므로, 이를 최소화하는 기술 개발이 필요하다. 헬륨냉매의 고압 유동에 따른 관로 압력증가로 인한 유동소음이 발생하는데, 이로 인한 소음을 줄이기 위해 관로의 최적화 설계/방진구조반영(DAMPER)으로 진동 안정화(Vibration Stabilization)설계를 수행 하고자 하며, 이에 따른 최적화 연구을 수행하고자 한다. 일차적으로, 기존 시스템의 진동측정을 통해 진동의 가진원을 밝히고 진동 전달경로를 파악하고자한다. 진동 가진원의 가진 최소화, 진동전달경로의 전달률 최소화, 고압유동에 따른 관로 설계 최적화를 진동해석, 탄성체 동역학해석, 그리고 유동해석을 통해 진동 및 소음의 최소화 방안을 도출하고자 한다. 해석결과를 토대로 진동가진원의 최소화를 위한 제품설계변경과 진동전달경로에 대한 방진을 위한 dmper 적용(전달률 최소화) 및 유동소음 최소화를 위한 damper나 관로 최적화 설계를 수행한다. 상기 기존시스템 측정/분석, CAE해석을 통한 진동/소음의 최적화방안도출 및 실제품 적용기술은 저진동 크라이오펌프 개발을 위한 기반 기술 확립에 크게 기여할것이며, 향후 크라이오펌프 고도화 및 최신 기술 제품 개발에 큰 기여가 기대된다.
-
Kim, Geun-Sik;Baek, Seon-Gi;Seo, Jung-Gyu;Seo, Hui-Jun;Jo, Hyeok-Jin;Park, Seong-Uk;Mun, Gwi-Won 98.2
한국항공우주연구원에서는 Military Standard 801G:2014 문서의 500.6 방법에 따른 고도시험 서비스를 제공하고 있으며, 이를 위해 직경 1.6 미터, 길이 1.8 미터의 진공챔버를 활용하고 있다. 규격에서 요구하는 고도변화율(10 m/s 이하)를 만족시키기 위해서, 건식펌프를 이용하여 챔버 내부 진공도를 낮추고, 동시에 매뉴얼밸브의 개도를 적절히 조절하여 왔다. 따라서, 작업자의 능력과 숙련도에 따라 실제 고도변화율이 달라지게 되고, 이는 곧 시험의 재현성을 방해하는 요소로 작용하였다. 이러한 단점을 보완 하고자 기존의 데이터를 바탕으로 하여 원격 유량조절밸브 적용 후 질소의 챔버내부 유입을 통한 고도시험의 자동화를 구현하였다. 고도시험의 자동화를 통해, 일정한 고도변화율을 유지할 수 있으며, 정확한 시험결과를 도출 할 수 있었다. 본 논문에서는 고도시험 자동화 구현 방법과 일련의 진행 과정에 대해 기술하였다. -
ALD와 CVD 공정을 진행 하는데 있어서 전구체의 평가 및 실시간 분해과정을 확인 하는 것은 매우 중요하다. 본 실험에서는 고유전 산화막에 쓰이는 Cyclopentadienyl Tris (dimethylamino) Zirconium, CpZr(NMe2)3 전구체의 증기압 특성과 FTIR, QMS를 활용하여
$250^{\circ}C$ 온도구간 에서의 분해과정을 실시간으로 측정 하였다. CpZr(NMe2)3의 Atomic mass 는 288 amu이며 증기압은$60^{\circ}C$ 에서 0.075 Torr로 측정되었다. 온도가 증가 함에 따라 FTIR 에서 CH3 symmetric stretch (2776 cm-1), CH3 symmetric stretch (2865 cm-1) intensity가 줄어 들게 되었으며 QMS에서도 15 amu (Methyl)의 신호가 온도가 증가함에 따라 감소함을 확인 할수 있었다. QMS에서 Cp의 이온전류가 사라진 이유는 Cp가 모체인 CpZr에서 모두 다 분리되었고 신호가 없어 졌기 때문이다. 본 연구를 통해서 FTIR 단독으로는 얻을수 없는 온도에 따른 세부적인 분해과정을 QMS로 실시간 측정 함으로서 FTIR의 분석 결과와 산호보완 할수 있게 되었다. -
Park, Hye-Jin;Choe, Jin-U;Jo, Tae-Hun;Hwang, Sang-Hyeok;Park, Jong-In;Yun, Myeong-Su;Gwon, Gi-Cheong 99.2
현재 투명전극(Transparent Conductive Oxide: TCO)은 평판 디스플레이, 태양전지, 터치패널, 투명 트렌지스터의 전극 등 여러 분야에서 연구되어지고 있으며, 주로 IT 산업의 핵심재료로 ITO (Indium Tin Oxide)가 사용되고 있다. ITO 박막은 주로 스퍼터 공정을 통해 제작이 되며, 전기전도도가 우수하며 높은 Optical Band Gap을 가지고 있어 투명전극으로 많이 사용되고 연구되어지고 있다. 산화물 박막을 증착할 때 산소유량에 따라 박막의 물성이 변하거나 박막의 특성이 저하되는 현상 등을 가지고 있어 공정시 산소유량이 중요한 변수로 작용하게 된다. 본 연구에서는 증착 공정 중 발생하는 플라즈마의 방출광을 가지고 산소의 대표적인 파장의 방출광을 관찰하여 방출광이 변화함에 따라 실시간으로 산소가스유량이 제어됨을 확인하였으며, 또한 산소유량제어를 통해 생성된 박막의 전기적 특성 및 광학적 특성 등 박막의 물성을 비교하였다. -
중이온가속기에서 잔류기체 분자와 가속 이온의 충돌이 발생하면 이온빔 전류의 손실을 야기하는 직접적인 효과 외에 잔류 기체분자 중에서 전리된 이온들이 반발력에 의해 용기 벽에 부딪힐 때 표면에 흡착되어 있던 기체분자들을 충격탈리(stimulated desorption)시킨다. 더 심각한 경우는 산란된 고속 이온이 용기 벽과 충돌하면서 핵반응을 일으켜 방사화 시키거나 벽에서 다량의 기체를 방출시키는 것이다. 최악의 경우에는 고속이온의 에너지에 의해 용기벽이나 부품들이 열적인 손상을 입을 수도 있다. 현재 설계 및 연구개발이 진행중인 기초과학원(IBS) RISP (Rare Isotope Science Project)의 RAON 중이온가속기는 입사기에서 실험영역까지 각 부분의 진공도 조건이 일반적으로 10-8~10-9 mbar 대에 있어서 이온빔 전류의 손실이나 전리 이온들에 의한 충격탈리는 무시할 수도 있지만 고속이온의 기체방출 수율이 ~104 정도로 높은 것을 감안할 때 고속이온의 충격탈리에 의한 압력 증가가 감내할 수준인지 검토할 필요가 있다. 압력증가는 추가적인 손실을 유발하고 이것은 다시 압력을 상승시키는 진공 불안정성(vacuum instability)을 야기할 수 있다는 축면에서 조심하는 것이 좋다고 판단된다. 고속 중이온과 잔류기체 분자와의 충돌에서 이온이 손실되는 반응에는 쿨롬(coulomb) 산란과 전하교환(charge exchange)이 있는데 전자는 후자에 비해 일반적으로 1/10000 가까이 낮아서 무시할 수 있고, 전자 포획(electron capture) 또는 전자 손실(electron loss, 이온의 전리에 해당)로 대별되는 전하교환 반응이 이온 손실을 주도하는 것으로 알려져 있다. 이 연구에서는 다양한 전하교환 반응 단면적을 아우르는 비례칙(scaling law)을 사용하여 대표적인 중이온인 U33+ 및 U79+의 손실 및 잔류 기체의 전리율을 계산하고 충격탈리에 의한 표면방출 및 압력상승을 일차적으로 고려하여 진공도 조건의 타당성을 입증하려고 한다.
-
반도체 산업이 성장하고 기술이 향상됨에 따라 소자의 소형화가 이루어지고 있다. 공정법으로는 atomic layer deposition (ALD), chemical vapor deposition (CVD) 등이 있다. 이러한 공정을 이용하여 수십 nm까지 미세화가 진행되고 있으며, 복잡한 구조의 박막을 실현하기 위해 전구체의 개발이 활발히 진행되고 있다. 전구체의 특성을 비실시간으로 분석하는 방법으로는 질량 분석법, 가스크로마토그래피, 적외선 분광법 등이 있다. 전구체의 특성을 실시간으로 분석하기 위해 Fourier transform infrared spectroscopy (FTIR)내에 attenuated total reflectance (ATR)를 거치시켰다. 본 연구는 구조를 개선한 ATR-FTIR을 이용하여 Tris-(dimethylamino) Zirconium (CpZr) 전구체의 흡착 거동을 분석하였다. ATR용 crystal은 Ge crystal을 사용했으며, 온도를 각각 30, 40,
$50^{\circ}C$ 에서 CpZr 전구체의 흡착특성을 연구했다. 흡착성을 증가시키기 위해 Ge crystal 표면에$ZrO_2$ 나노입자를 분포시켜 흡착특성을 비교 분석하였다. 또한 CpZr 전구체가 흡착된 Ge crystal 표면에 오존가스를 주입시킨 후 변화를 관찰하였다. Ge crystal표면에 나노입자를 분포시켜 CpZr 전구체를 흡착한 결과 나노입자를 분포시키지 않았을 때 보다 흡착강도가 높게 나타났다. 또한 CpZr 전구체가 흡착된 Ge crystal 표면에 오존가스를 주입한 결과 C-H 결합이 분해됨을 확인했다. -
플라즈마에 의한 식각 공정중 챔버 내에서 발생한 오염입자가 기판위에 떨어져 제품의 불량을 일으키고 그에 따른 부품 교체비용이나 교체시 가동을 멈춰야하는 문제점들이 있다. 이러한 문제점을 해결하기 위해 내플라즈마성이 우수하다고 알려진 아노다이징 피막을 사용하고 있다. 하지만 다양한 아노다이징 공법중 어떠한 요인이 내플라즈마 특성과 관계되는지에 대한 보고는 부족한 실정이다. 기존의 아노다이징 특성평가방법으로는 내화학 특성과 열안정성 평가, 구조적 특성을 확인하는 것이 주로 사용되어 왔다. 따라서 본 연구에서는 기존 내화학 특성과 열안정성이 우수하다고 알려진 황산-주석산법을 이용한 피막의 특성을 평가하여 내화학특성, 열적 안정성, 구조적 특성이 내플라즈마 특성과 어떠한 관계가 있는지 확인했다.
-
Choe, Gyeong-Min;Song, Gyeong-Ho;Park, Sang-Hyeon;Gang, Min-Ho;Im, Seong-Gyu;Gang, Sang-U;Im, Jong-Yeon 101.2
급속한 산업화로 인하여 에너지 부족 문제가 대두되고 있는 가운데 에너지 저감 기술의 개발이 요구되고 있다. 국내 반도체 공정의 배기계통에서 소비되는 에너지는 전체 공정에 소비되는 에너지중 상당량에 달하며 10%의 에너지 절감은 연간 1000억원 이상의 비용절감 효과를 기대할 수 있다. 반도체 공정 배기계통은 진공펌프의 특성, 챔버의 부피, 도관의 구조(직경, 길이, 형상), 진공재료의 기체방출 등 여러 가지 요소의 복합적인 영향으로 그 상태가 달라지므로 보다 효과적인 공정의 운용과 에너지 절감을 위해 반도체 공정의 복합 상태 진단기술 개발이 요구되고 있으며 그중 큰 비중을 차지하는 드라이펌프의 실시간 모니터링 기술의 개발이 시급하다. 본 연구에서는 반도체 공정의 복합 상태 진단기술 개발에 대한 기초 연구로서 반도체 공정 배기계통의 conductance 및 유량 변화에 대한 드라이펌프의 특성을 이론적 계산으로 얻어진 결과와 실험을 통하여 얻어진 결과를 비교, 분석하였다. 진공펌프의 기본 특성은 한국표준과학연구원에서 국제규격에 따라 도달진공도, 배기속도, 소비전력, gas load, 소음, 진동 등을 분석하였고, 나노종합기술원의 PECVD 장비(chamber A: amorphous silicon 및 loadlock chamber)에 챔버의 부피, 도관의 구조, 공정가스의 유량 등을 측정하여 simulation 하였으며, 실제 측정값은 LabVIEW 프로그래밍으로 자동화 된 MFC를 이용하여 실제 공정 상태를 모사하였다. 실험은 PECVD의 특성을 고려하여 질소분위기에서 CDG (Capacitance Diaphragm Gauge)를 사용하였다. -
플라즈마를 이용한 건식식각공정은 식각하고자 하는 기판과 더불어 챔버 내부를 구성하고 있는 부품들이 플라즈마에 함께 노출되는 환경이다. 챔버 내부가 장시간 플라즈마에 노출되어 열화 되면 기판의 불량을 야기하는 오염입자의 발생이 증가하므로 양산 공정에서는 그 때마다 내부 부품을 교체하여 청정한 공정 환경을 유지시킨다. 공정 챔버의 내부 부품은 플라즈마로 인한 열화를 방지하기 위하여 내플라즈마성이 우수하다고 알려진 코팅처리를 하여 사용한다. 금까지 플라즈마 식각 공정에 관한 연구는 식각하고자 하는 기판관점에서 활발히 이루어져 왔으나 내플라즈마성 코팅소재 관점에서의 연구 보고는 미미한 실정이다. 본 연구에서는 장시간의 양산공정을 모사하는 가혹한 플라즈마 조건에서
$CF_4/O_2$ 혼합가스를 사용하여 AAO (Anodic Aluminum oxide)피막의 오염입자 특성을 실시간 모니터링 하는 동시에 OES 분석을 수행하여 내플라즈마성 코팅소재의 오염입자 발생 메커니즘에 대하여 분석하였다. -
진공 시스템의 기저상태를 지배하는 것은 대부분의 경우 용기 내면에 수십 단원자 층 정도로 흡착되어 있는 물이다. 용기 압력이 10-9 mbar 대가 될 때까지는 잔류기체의 90% 이상이 수분이고 압력을 10분의 1로 떨어뜨리려면 10배의 시간이 더 필요하다는 소위 1/t 법칙은 광범위한 흡착에너지를 가지는 물분자의 표면방출 특성으로 잘 설명되어진다. 용기가열 등 적극적인 표면처리를 하지 않고 전형적인 압력변화 양상은 그대로 유지하면서 절대적인 시간을 줄이는 가장 직접적인 방법은 물 배기속도를 가능한 한 높이는 것이지만 대부분의 고진공 펌프들에서 물배기속도만 더 증가하도록 만드는 것은 쉽지 않다. 크라이오 워터펌프(CWP: cryo-water pump)는 바로 이런 고민을 제대로 해결할 수 있는 유일한 실용적인 방안이라고 말할 수 있다. 다른 기체분자들의 배기는 일단 염두에 두지 않고 물배기만을 열심히 해서 배기시간을 단축하고 도달 진공도를 낮추는 것을 목표로 하는 장치가 CWP이다. CWP는 모든 기체에 반응하는 정통적인 크라이오 펌프에 비해 훨씬 간단하고 저렴하게 만들 수 있으면서도 진공 시스템에 큰 영향을 미칠 수 있지만 그동안은 물배기의 필요성에 대한 인식이 미흡하고, 또 부수적이고 추가적인 비용이 드는 것으로 생각되어 주목을 받지 못했지만 디스플레이와 반도체 산업을 필두로 물분압을 낮추고 생산수율을 높이는 것에 점점 더 관심이 높아지면서 CWP에 대한 수요도 높아지고 있다. CWP의 물배기는 아주 단순한 응축현상에 의존하므로 물리적으로 이해하고 성능을 예측하는 것이 직관적이지만 사용용도에 따라 물 이외의 기체분자들은 잘 통과시키면서 물배기는 최대화하는 최적설계가 요구되거나 터보분자펌프(TMP)와 같이 이질적인 고진공펌프와 조합하여 사용하는 경우 기체 온도 의존성을 고려해야 하는 등 까다로운 점이 있다. 본 보고에서는 CWP+TMP로 구성된 복합진공배기시스템을 설계하면서 CWP만의 물배기성능과 복합 시스템의 물 및 알곤 배기성능을 예측하고, 두 펌프의 상호관계에 대해 분석하며, 실제 만들어진 복합배기시스템을 사용하여 실험적으로 구한 물 및 알곤 배기속도 측정결과에 대해서도 간단하게 논의하려고 한다.
-
위성체 개발에 있어서 지상에서 위성체의 부품에 대한 고온(
$85^{\circ}C$ 이상)과 고진공($5.0{\times}10-3Pa$ 이하)의 상태를 모사하여 오염물질을 제거하는 베이크아웃 시험이 필수적이다. 일반적으로 베이크아웃 시험의 종료여부는 TQCM (Thermoelectric Quartz Crystal Microbalance)을 이용한 탈기체(outgassing)의 흡착률을 측정하여 결정한다. 측정된 흡착률을 통해 시험 대상 표면에서 발생하는 탈기체량을 추정할 수 있으며, 결국 시험 대상의 우주 부품으로써의 적합성을 판단할 수 있다. TQCM을 적용하지 못하는 경우, 베이크아웃 시험 종료여부를 판단하기 위해 잔류가스분석기(Residual Gas Analyzer: RGA)를 활용하는 것을 고려하였다. 베이크아웃 시험 중 잔류가스분석기를 활용하여 시편에서 방출되는 오염물질을 측정하였으며, 그 중 측정량이 가장 많은 40-45 amu 범위의 측정값 추이를 관찰하여, 베이크아웃 시험 종료조건 수립 가능성을 검토하였다. -
10-3 Pa 이하의 고진공 환경과
$180^{\circ}C$ 이하의 극저온 환경에서 대형정지궤도위성의 고온 열평형 환경구현을 위한 열제어패널이 설계되었다. 열제어패널은 가로 2.2 m, 세로 2.6 m, 두께 2 mm의 구리판에 구리 튜브가 브레이징되어 있는 형태로 설계되었으며, 지상에서 6 m 이상의 높이에 설치되고 위성의 위치에 따라 이동이 가능해야 하기 때문에, 별도의 지지 구조물이 함께 설계되었다. 따라서, 열제어패널 설치 및 고정을 위한 지지구조물의 경우 160 kg의 무게를 견뎌내야 하며 이동 및 설치에 있어 구조적인 안전성이 확보 되어야 한다. 이에 본 연구에서는 상용유한요소해석 프로그램을 사용하여 열평형시험 시 위성체 상단부의 고온 환경모사를 위한 열제어패널 지지구조물에 대한 구조 안전성을 확인 하였다. -
Understanding the reaction mechanisms and structures underlying the adsorption of biomolecules on semiconductors is important for functionalizing semiconductor surfaces for various bioapplications. Herein, we describe the characteristic behavior of a primary nucleobase adsorbed on the semiconductor Ge(100). The adsorption configuration of guanine, a primary nucleobase found in DNA and RNA, on the semiconductor Ge(100) at an atomic level was investigated using scanning tunneling microscopy (STM) and density functional theory (DFT) calculations. When adsorbed on Ge(100) at room temperature, guanine appears dark in STM images, indicating that the adsorption of guanine on Ge(100) occurs through N-H dissociation. In addition, DFT calculations revealed that "N(1)-H dissociation through an O dative bonded structure" is the most favorable adsorption configuration of all the possible ones. We anticipate that the characterization of guanine adsorbed on Ge(100) will contribute to the development of semiconductor-based biodevices.
-
Graphene, as a single layer of
$sp^2$ -bonded carbon atoms packed into a 2D honeycomb crystal lattice, has attracted much attention due to its outstanding properties such as high carrier mobility, chemical stability, and optical transparency. In order to synthesize high quality graphene, transition metals, such as nickel and copper, have been widely employed as catalysts, which need transfer to desired substrates for various applications. However, the transfer steps inevitably induce defects, impurities, wrinkles, and cracks of graphene. Here, we report a facile transfer-free graphene synthesis method through nickel and carbon co-deposited layer, which does not require separately deposited catalytic nickel and carbon source layers. The 100 nm NiC layer was deposited on the top of$SiO_2/Si$ substrates by nickel and carbon co-deposition. When the sample was annealed at$1000^{\circ}C$ , the carbon atoms diffused through the NiC layer and deposited on both sides of the layer to form graphene upon cooling. The remained NiC layer was removed by using nickel etchant, and graphene was then directly obtained on$SiO_2/Si$ without any transfer process. Raman spectroscopy was carried out to confirm the quality of resulted graphene layer. Raman spectra revealed that the resulted graphene was at high quality with low degree of$sp^3$ -type structural defects. Furthermore, the Raman analysis results also demonstrated that gas flow ratio (Ar :$CH_4$ ) during the NiC deposition and annealing temperature significantly influence not only the number of graphene layers but also structural defects. This facile non-transfer process would consequently facilitate the future graphene research and industrial applications. -
As an alternative way to get sophisticated nanostructures, atomic force microscopy (AFM) has been used to directly manipulate building primitives. In particular, assembly of metallic nanoparticles(NPs) can provide various structures for making various metamolecules. As far, conventionally made polygonal shaped metallic NPs showed non-uniform distribution in size and shape which limit its study of fundamental properties and practical applications. In here, we optimized conditions for deterministic manipulation of ultra-smooth and super-spherical gold nanoparticles (AuNPs) by AFM. [1] Lowered adhesion force by using platinum-iridium coated AFM tips enabled us to push super-spherical AuNPs in linear motion to pre-programmed position. As a result, uniform and reliable electric/magnetic behaviors of assembled metamolecules were achieved which showed a good agreement with simulation data. Furthermore, visualization of near field for super-spherical AuNPs was also addressed using photosensitive azo-dye polymers. Since the photosensitive azo-dye polymers can directly record the intensity of electric field, optical near field can be mapped without complicated instrumental setup. [2] By controlling embedding depth of AuNPs, we studied electric field of AuNPs in different configuration.
-
Kim, Ji-Hun;Maeng, Min-Jae;Hong, Jong-Am;Hwang, Ju-Hyeon;Choe, Hong-Gyu;Mun, Je-Hyeon;Lee, Jeong-Ik;Jeong, Dae-Yul;Choe, Seong-Yul;Park, Yong-Seop 111.2
Typical electrodes (metal or indium tin oxide (ITO)), which were used in conventional organic light emitting devices (OLEDs) structure, have transparency and conductivity, but, it is not suitable as the electrode of the flexible OLEDs (f-OLEDs) due to its brittle property. Although Graphene is the most well-known alternative material for conventional electrode because of present electrode properties as well as flexibility, its carrier injection barrier is comparatively high to use as electrode. In this work, we performed plasma treatment on the graphene surface and alkali metal doping in the organic materials to study for its possibility as anode and cathode, respectively. By using Ultraviolet Photoemission Spectroscopy (UPS), we investigated the interfaces of modified graphene. The plasma treatment is generated by various gas types such as O2 and Ar, to increase the work function of the graphene film. Also, for co-deposition of organic film to do alkali metal doping, we used three different organic materials which are BMPYPB (1,3-Bis(3,5-di-pyrid-3-yl-phenyl)benzene), TMPYPB (1,3,5-Tri[(3-pyridyl)-phen-3-yl]benzene), and 3TPYMB (Tris(2,4,6-trimethyl-3-(pyridin-3-yl)phenyl)borane)). They are well known for ETL materials in OLEDs. From these results, we found that graphene work function can be tuned to overcome the weakness of graphene induced carrier injection barrier, when the interface was treated with plasma (alkali metal) through the value of hole (electron) injection barrier is reduced about 1 eV. -
Yang, Ik-Jun;Yang, Jong-Geun;Kim, Seung-Hyeon;SURESH, RAI;Ahmed, M.W.;Shaislamov, Ulugbek;Lee, Heon-Ju 116.1
원자력 발전소 고리 1호기의 해체가 결정됨에 따라 발전소를 구성한 금속기기의 제염처리가 대두되고 있다. 금속 방사성폐기물 중 상당수는 그 자체가 방사성 오염 물질이라기보다는 오염 핵종이 표면에 부착하고 있는 경우가 많아 제염 공정을 거쳐 폐기한다면 보관해야 하는 양을 획기적으로 줄일 수 있을 것이다. 이에 따라 본 연구실에서는 플라즈마트론을 이용한 방사성 폐기물 건식제염처리에 대하여 연구하였다. 본 실험에서는 방사성을 띄지 않는 동위원소 Co sheet와 DC 플라즈마트론을 사용하였다. Ar 1000 sccm을 고정으로 비율(10:0, 9:1, 8:2, 7:3, 6:4), 거리(20 mm, 30 mm 40 mm), 시간(60 sec, 120 sec, 180 sec)을 변수로 두어 실험하였다. 결과적으로 기체의 혼합비가 4:1일 때 최대 식각율$9.24{\mu}m/min$ 을 확인했다. -
Choe, Hyeon-Ji;Lee, Yul-Hui;Kim, Dong-In;Lee, Yong-Min;Kim, Ji-Yun;Hwang, Gi-Hwan;Seo, Hyeon-Jin;Yu, Jeong-Hun;Nam, Sang-Hun;Bu, Jin-Hyo 116.2
우리는 실리콘 마이크로구조-ZnO 나노막대 피라미드 구조의 제작 및 표면 특성에 대해서 연구했다. 실리콘 마이크로 피라미드 배열을 구성하는 에칭된 기판 위에 임의의 주기적인 계층 구조로 된 ZnO 나노막대를 성장시켰다. 습식 화학 에칭은 피라미드의 Si 미세 구조를 제작하기 위해 사용되며, 산화 아연 나노막대를$90^{\circ}C$ 에서 수열 합성 방법으로 성장시켰다. 본 연구에서는 성장 시간과 피라미드 크기에 따라 ZnO 나노막대 길이와 두께를 조절하여 표면적을 넓히는 실험을 진행하였다. 성장시킨 ZnO 나노 구조들은 XRD (X-ray diffraction), FE-SEM (Field Emission Scanning electron microscopy), WCA (Water contact angle)를 통해 특성을 분석하였다. 젖음성 연구를 통해 ZnO 나노구조가 짧고 긴 성장 시간에 따라 친수성과 초친수성임을 확인하였다. -
We investigate the degradation pattern of Black phosphorus (BP) field effect transistor (FETs) investigated by using an mechanically exfoliated BP that react O2 and water vapor in ambient condition, degradation. The BP FETs was electrically measured every 20 minutes (1cycle) in the air, the total cycle is 100. We show electrical changes with Mobility, On/off ratio, Current and a significant positive shift in the threshold voltage. We extracted the current level at Vgs-Vth = 0, -10, -20 and fitting with Swiss-cheese model. This model suggested that Swiss-cheese model is well fitted with degradation pattern of BP FETs.
-
We propose a novel direct writing technique with a femtosecond laser enabling selective modification of not only the morphology of conducting polymer thin films but also the orientation and alignment of the polymer crystal. Surface relief gratings resulting from photoexpansion on P3HT:PCBM and PEDOT:PSS thin films were fabricated by femtosecond laser direct writing. The photoexpansion was induced at laser fluence below the ablation threshold of the thin film. The morphology (size and shape) of photoexpansion could be quantitatively controlled by laser writing parameters such as focused beam size, writing speed, and laser fluence. GIWAX results showed that face-on P3HT crystals were largely increased in the photoexpansion in comparison with pristine region of the thin film. In addition, the face-on P3HTs in the photoexpansion were aligned with their orientation along the polarization of the laser. The micro-RAMAN spectra confirmed that neither chemical composition change nor the polymer chain breaking was observable after femtosecond laser irradiation. We believe that this laser direct writing technique opens a new door to the fabrication of more efficient OPVs via non-contact, toxic-free approach.
-
전기애자 표면의 오염도에 따라 절연능력이 상실되어 불시에 원치 않은 고장 및 사고의 원인이 된다. 이러한 오염도는 애자가 사용되는 장소에 따라 다르지만, 특히 터널과 지하구간처럼 밀폐되어 있는 공간에서의 오염정도는 매우 심각하다. 본 연구에서는 기능성 나노코팅을 이용한 애자의 표면 코팅으로 내오염 특성을 향상시켜 오염에 의한 절연능력 저하를 억제하는 방안을 제시하였다. 기능성 나노코팅은 전기애자와 재질이 같은 세라믹 기판위에 코팅하였고, 열처리 분위기에 따른 변화를 실험하였고, 주변 분위기로는 질소, 알곤, 산소, 진공의 네 가지 분위기로 수행하였다. 세라믹 기판 위에 합성된 기능성 나노코팅의 특성분석은 내오염 특성, 접촉각, 부착력, 경도를 수행하였고, 실험에 활용된 기능성 나노코팅이 세라믹 기판 표면 오염방지에 탁월한 효과를 가짐을 확인하였다.
-
Sin, Hong-Jik;Han, Ji-Yeong;Park, Jong-Guk;Seon, Park-Mun;Choe, Won-Seok;Ryu, Gi-Seung;Han, Jae-Chan 121.2
국내에서 생산되는 화강석 중 하나인 거창석은 대부분 회백색 계열이며 컬러석재의 경우 대부분 고가로 수입되어 판매가 되고 있다. 본 연구에서는 컬러 화강석 제조에 관한 연구를 수행하였다. 거창석 표면에 금속촉매를 도포 후 화강석 내부로 금속입자를 침투시킨 다음 열처리를 통해 거창석의 색을 영구히 변환 시키는 연구를 수행하였다. 금속촉매 침투시에 함수발생기(function generator)를 사용한 경우와 사용하지 않은 경우 시간에 따른 침투 깊이와 침투 현상의 차이를 분석하고 검정, 빨강, 갈색의 색상에 따른 침투깊이 차이 또한 비교하였다. -
Denny, Yus Rama;Firmansyah, Teguh;Park, Chanae;Kang, Hee Jae;Yang, Dong-Seok;Heo, Sung;Chung, Jae Gwan;Lee, Jae Cheol 122.1
The dependence of electronic properties and local structure of tantalum oxide thin film on oxygen deficiency have been investigated by means of X-ray photoelectron spectroscopy (XPS), Reflection Electron Energy Loss Spectroscopy (REELS), and X-ray absorption spectroscopy (XAS). The XPS results showed that the oxygen flow rate change results in the appearance of features in the Ta 4f at the binding energies of 23.2 eV, 24.4 eV, 25.8, and 27.3 eV whose peaks are attributed to Ta1+, Ta2+, Ta3+, Ta4+, and Ta5+, respectively. The presence of nonstoichiometric state from tantalum oxide (TaOx) thin films could be generated by the oxygen vacancies. The REELS spectra suggested the decrease of band gap for tantalum oxide thin films with increasing oxygen deficiency. In addition, XAS spectra manifested both the increase of coordination number of the first Ta-O shell and a considerable reduction of the Ta-O bond distance with the decrease of oxygen deficiency. -
O, Dong-Hyeon;Jeon, Min-Han;Gang, Ji-Yun;Jeong, Seong-Yun;Park, Cheol-Min;Lee, Jun-Sin;Kim, Hyeon-Hu 122.2
n-type 실리콘은 p-type과 비교하여 더 높은 소수캐리어 lifetime 으로 금속 불순물에 대하여 더 좋은 내성을 갖는다. 고효율 실리콘 태양전지를 위하여 p-type 웨이퍼를 n-type으로 교체하여 빛을 조사했을 때, 광전자들이 형성되어 p-type과 비교하여 더 좋은 lifetime 안정성을 갖는다. n-type 태양전지의 전면 전극은 AgAl paste로 형성하였다. AgAl 페이스트는 소성 온도와 밀접하게 관련되어 전극의 접합 깊이에 영향을 미친다. p+ emitter 층에 파고드는 금속 접촉의 최적화된 깊이는 접촉 저항에 영향을 미치는 중요한 요소이다. 본 연구에서는 소성 조건을 변화시킴으로써, 금속 깊이의 효과적인 형성을 위한 소성 조건을 최적화하였다.$670^{\circ}C$ 이하의 온도에서 소성을 진행 하였을 때, 충분한 접촉 깊이를 형성하지 못하여 높은 접촉저항을 갖는다. 소성 온도가 증가함에 따라, 접촉 저항은 감소하였다. 최적 소성 온도$865^{\circ}C$ 에서 측정된 접촉저항은$5.99mWcm^2$ 이다.$900^{\circ}C$ 이상에서 contact junction은 emitter를 통과하여 실리콘과 결합하였다. 그 결과로 접촉저항 shunt가 발생한다. -
Jin, Ik-Hyeon;Park, Chang-Hwan;Lee, Chang-Hyeon;Lee, Chang-Gyu;Son, Seon-Yeong;Kim, Hwa-Min 124.1
$TiO_2$ 는 화학적으로 안정하며, 인체에 무해하고, 살균특성 및 각종 유기물에 효과적인 분해력, 안정성 및 내구성들의 장점으로 인해 널리 사용되는 광촉매제로 알려져 있다. 최근$TiO_2$ 는 유리에 접촉되는 물방울의 표면장력을 크게 하여 접촉각을 10도 이하로 유지시켜줌으로써 비가 오거나 청소를 위해 살수를 할 때 유리면에 얇은 수막을 형성시켜 광촉매 기능으로 분해된 유기질의 오염물질 및 유리표면과의 결합력이 낮아진 무기질의 오염원을 쉽게 제거해 주는 특성들로 인해 오염방지 코팅제로 많이 활용되고 있다. 그러나,$TiO_2$ 는 빛이 조사될 경우에만 친수특성을 나타낸다는 단점들이 있어 본 연구에서는$TiO_2$ 에$SnO_2$ 를 혼합한 박막을 증착하여 신뢰성을 향상시키고자 하였다. 또한 기존$TiO_2$ 코팅막들이 주로 spray 또는 blade 방식으로 코팅되어 코팅된 막이 낮은 균일성과 내구성을 가지므로 본 연구에서는 RF-Magnetron Sputtering 방법을 이용하여 유리 기판위에$(TiO_2)50(SnO_2)50$ 박막을 증착하였다. 제작된 박막은 유리에 적용될 경우를 감안해 광학적 특성을 분석하기 위해 Uv-vis Spectrometer 장비를 이용하여 투과율을 분석하였으며,$SnO_2$ 혼합에 따른 구조적 특성으로 주사전자현미경(Scanning Electric Microscope, SEM)을 통하여 박막의 결정상을 분석하였으며, 주사탐침현미경(Atomic Force Microscope, AFM)을 사용하여 표면 거칠기를 관찰하였다. 또한 광촉매 특성을 통한 친수성을 알아보기 위해 UV 램프를 사용한 후 접촉각을 측정하였다. -
Lee, Dong-Hun;Park, Eun-Mi;Ha, In-Ho;Jo, Eun-Seon;Kim, Hye-Jin;Han, Geon-Hui;Seo, Mun-Seok 124.2
최근 에너지 효율을 향상시키고 감성과 기능성을 동시에 만족시킬 수 있는 스마트 윈도우 (Smart Window) 기술이 큰 주목을 받고 있다. 신개념의 하이브리드형 열선차단 코팅기술로 고투명, 고단열 등 복합기능을 가지고 있음. 4계절 변화가 뚜렷한 대한민국 실정에 가장 적합하여 건축물의 냉 난방 에너지를 최소화하는데 크게 기여할 것으로 기대된다. 단열필름 제조 방식에는 보급형 필름으로 염료 방식, 금속 방식 등이 있고 고성능 필름에는 나노 세라믹 방식과 스퍼터 방식+세라믹 방식을 융합한 필름(스퍼터 IR 필름)이 있다. 본 연구에서는 DC pulse sputter를 이용하여 고굴절율 물질인 TiO2와 저굴절률 물질인 SiO2를 적층으로 성장시켜 단열 스마트필름을 제작해 보았다. 높은 가시광 투과율과 IR 차페 성능을 확인하였고, 제작한 스마트필름을 또다른 윈도우 기술에 적용하는 연구를 진행하였다. -
산업 자동화기술이 발달함에 따라 다양한 용도의 부품개발과 산업 장비들의 부품에 대한 수요가 날로 증가하게 되어 산업이 발달하게 된 반면, 장비의 성능을 저하시키는 마모에 대한 문제점이 제기되고 있다. 이에 대한 해결책으로 내열성 및 내마모성을 가지는 박막코팅기술이 요구되고 있다. 특히, Alumina (Al2O3)와 Zirconia (ZrO2)는 내식성과 내열성, 내마모성의 우수한 특성을 지닌 재료이며, 이들을 기어, 베어링, 실린더 등 각종 기계의 부품에 코팅하여 내마모성을 가지게 한다. 본 실험에서는 Al2O3 : ZrO2 = 50 : 50 wt% 의 비율로 혼합한 target이 사용되었다. 그리고 Al2O3-ZrO2 target을 사용하여 RF-magnetron sputtering 방법으로 박막을 제작 하였다. sputter시에 power를 20 W에서 80 W까지 변화를 주었다. AFM, SEM, XRD를 통하여 알루미늄 기판위에 증착된 Al2O3-ZrO2 박막의 구조적 특성을 알아보았으며, 내마모성 테스트 장비를 통하여 박막의 마찰마모 특성에 대하여 조사하였다.
-
Kim, Geonhwa;Yoon, Joonseok;Yang, Hyukjun;Lim, Hojoon;Lee, Hyungcheol;Jeong, Changkil;Yun, Hyungjoong;Jeong, Beomgyun;Ethan, Crumlin;Lee, Juhan;Ju, Honglyoul;Mun, Bongjin Simon 125.2
The evolution of oxidation states of vanadium is monitored with ambient pressure X-ray photoemission spectroscopy. As the pressure of oxygen gas and surface temperature change, the formations of various oxidation states of vanadium are observed on the surface. Under 100mTorr of the oxygen gas pressure and 523K of sample temperature, VO2 and V2O5 are formed on the surface. The temperature-dependent resistance measurement on grown sample shows a clear metal-insulator transition near 350K. In addition, the measurement of Raman spectroscopy displays the structural change from monoclinic to rutile structures across the phase transition temperature. -
A quantitative relationship between Leidenfrost point and surface characteristics such as surface material and roughness is investigated. Based on the relationship, we have fabricated the surfaces with their Leidenfrost points (LFP) tuned by controlling surface coating and structures. As discovered by Leidenfrost, liquids placed on a hot plate levitate on the gas phase-air gap formed by the vaporization of liquids. This phenomenon is called 'Leidenfrost effect'. A change of LFP has attracted many researchers for several years but the ability to tune LFP is still a remaining issue. Many of previous work has progressed for various conditions so the systematic approach and analysis are needed to clearly correlate the LFP and the surface conditions. In this report, we investigate a relation of surface energy and LFP using various coating materials such as Octadecyltrichlorosilane (OTS) and 1H, 1H, 2H, 2H-Perfluorooctyltrichlorosilane (FOTS). Also, we analyze how surface roughness affects LFP via surface micro structuring with ICP-RIE fabrication process. The improved understanding can have potential applications such as the control of liquid droplet behavior at elevated temperatures for efficient cooling system.
-
대표적인 금속 칼코지나이드 2차원 물질인 이황화 몰리브덴(
$MoS_2$ )의 대면적 합성을 위해 화학적 기상 증착 (Chemical Vapor Deposition) 방법을 이용하였다. 몰리브덴을 포함한$Mo(CO)_6$ 전구체와 황이 포함된$H_2S$ 가스를 적절한 비율로 반응시켰고, 증착 속도를 조절하여 한 층부터 다섯 층까지의 얇은$MoS_2$ 박막을 제작할 수 있었다.$MoS_2$ 박막들이 층별로 균일 하게 증착 되었는지 확인하기 위해 라만 분광법을 이용 하였고, x-선 분광법을 통해 몰리브덴과 황의 정확한 정량비를 알 수 있었다. 뿐만 아니라, 우리는 두께 의존성을 갖는 이차원 물질인$MoS_2$ 각 층마다 나타나는 전자 구조적 특성 분석을 위해 자외선 분광법, 역광전자 분광법, 전자 에너지 손실 분광법을 사용하였다. 그 결과,$MoS_2$ 박막의 두께 별 일함수, 가전자대 최대값, 전도대 최소값, 밴드갭의 변화를 관찰할 수 있었다. 이는 기존 계산 결과와 비교하여 잘 일치함을 알 수 있다. -
플라즈마 진단법으로서 컷오프 탐침과 랑뮤어 탐침은 다양한 분야에서 많은 연구가 진행되었다. 하지만 고밀도 및 균일성 관점에서 많은 이점을 가지고 있는 자화유도결합플라즈마에서 컷오프 탐침의 적용 가능성에 대한 연구는 많이 부족하다. 본 연구에서는 두 가지 탐침법을 이용하여 전자밀도를 비교하고 각각의 특성을 분석하였다. 먼저 랑뮤어 탐침법을 이용하여 RF파워, 압력, 외부자기장에 따른 플라즈마 변수(전자밀도, 전자온도, 플라즈마 전위)를 측정하였다. 외부자기장을 인가하였을 때 전자구속으로 인하여 전 영역의 전자밀도는 증가하였지만 R방향의 전자밀도 분포는 균일하지 않았다. 반면 전자온도는 외부자기장을 인가하였을 때 챔버 중심에서 감소하였으며, 챔버 끝에서 전자온도는 증가하였다. 즉, R방향의 전자온도 분포는 U형태가 나타났다. 또한 컷오프 탐침으로 전자밀도를 측정한 결과 비교적 낮은
$10^{11}/cm^3$ 이하에서 정확한 컷오프 주파수를 확인하여 전자밀도를 구할 수 있었으며, 그 이상의 전자밀도를 갖는 경우 동축케이블의 손상 문제로 인하여 신뢰성 있는 결과를 얻기는 힘들다. 현재 이 문제를 해결하기 위한 연구가 지속적으로 진행 중이다. -
마이크로웨이브를 이용한 플라즈마는 효율적인 전자가열이 가능하며, 낮은 이온에너지를 가지는 고밀도 플라즈마를 생성시킬 수 있다는 장점이 있다. 최근 산화물 반도체 및 대화면 디스플레이 장치내 소자의 보호막 증착용으로 저온 PECVD (Plasma Enhanced Chemical Vapor Deposition) 공정 및 장치의 필요성에 따라 마이크로웨이브를 이용한 PECVD 장치가 주목 받고 있다. 본 연구에서는 실리콘 나이트라이드 공정 장치 개발을 위한 2차원 시뮬레이션 모델을 완성하였다. Global modeling을 이용하여 확보한 Chemical reaction data에 대한 검증을 하였다. Maxwell's equation, continuity equation, electromagnetic wave equation 등을 이용하여 Microwave의 파워 및 압력에 따른 전자 밀도, 전자 온도등의 플라즈마 변수의 변화를 관찰하였다. 또한 Navier Stokes equation을 추가하여 챔버 내의 Gas flow의 흐름을 고려한 시뮬레이션을 진행하여 분석하였다.
-
In the present study, UHF (320 MHz) in combination with RF (13.56 MHz) plasmas was used for the synthesis of hydrogenated silicon nitride (SiNx:H) films by PECVD process at low temperature. RF/UHF hybrid plasmas were maintained at a fixed pressure of 410 mTorr in the N2/SiH4 and N2/SiH4/NH3 atmospheres. To investigate the radical generation and plasma formation and their control for the growth of the film, plasma diagnostic tools like vacuum ultraviolet absorption spectroscopy (VUVAS), optical emission spectroscopy (OES), and RF compensated Langmuir probe (LP) were utilized. Utilization of RF/UHF hybrid plasmas enables very high plasma densities ~ 1011 cm-3 with low electron temperature. Measurements using VUVAS reveal the UHF source is quite effective in the dissociation of the N2 gas to generate more active atomic N. It results in the enhancement of the Si-N bond concentration in the film. Consequently, the deposition rate has been significantly improved up to 2nm/s for the high rate synthesis of highly transparent (up to 90 %) SiNx:H film. The films properties such as optical transmittance and chemical composition are investigated using different analysis tools.
-
반도체 제조는 chip의 성능 향상 및 단가 하락을 위해 지속적으로 pattern size가 nano size로 감소해 왔고, capacitor 용량은 증가해 왔다. 이러한 현상은 contact hole의 aspect ratio를 지속적으로 증가시킨바, 그에 따라 최적의 HARC (high aspect ratio contact)을 확보하는 적합한 dry etch process가 필수적이다. 그러나 HARC dry etch process는 많은 critical plasma properties 에 의존하는 매우 복잡한 공정이다. 따라서, critical plasma properties를 적절히 조절하여 higher aspect ratio, higher etch selectivity, tighter critical dimension control, lower P2ID과 같은 plasma characteristics을 확보하는 것이 요구된다. 현재 critical plasma properties를 제어하기 위해 다양한 plasma etching 방법이 연구 되어왔다. 이 중 plasma를 낮은 kHz의 frequency에서 on/off 하는 pulsed plasma etching technique은 nanoscale semiconductor material의 etch 특성을 효과적으로 향상 시킬 수 있다. 따라서 본 실험에서는 dual-frequency capacitive coupled plasma (DF-CCP)을 사용하여 plasma operation 동안 duty ratio와 pulse frequency와 같은 pulse parameters를 적용하여 plasma의 특성을 각각 제어함으로써 etch selectivity와 uniformity를 향상 시키고자 하였다. Selective SiO2 contact etching을 위해 top electrode에는 60 MHz pulsed RF source power를, bottom electrode에는 2MHz pulse plasma를 인가하여 synchronously pulsed dual-frequency capacitive coupled plasma (DF-CCP)에서의 plasma 특성과 dual pulsed plasma의 sync. pulsing duty ratio의 영향에 따른 etching 특성 등을 연구 진행하였다. 또한 emissive probe를 통해 전자온도, OES를 통한 radical 분석으로 critical Plasma properties를 분석하였고 SEM을 통한 etch 특성분석과 XPS를 통한 표면분석도 함께 진행하였다. 그 결과 60%의 source duty percentage와 50%의 bias duty percentage에서 가장 향상된 etch 특성을 얻을 수 있었다.
-
Three-dimensional computations of thermal plasmas and shielding gas flow of Argon in air environment열플라즈마 토치 및 자유연소아크 시스템 개발이 증가함에 따라 실험을 통해 얻기 어려운 물리적 특성들을 파악하기 위해 전산유체역학을 이용한 해석방법이 널리 이용되어 왔다. 대부분의 경우에 해석의 용이성을 위하여 2차원 축대칭으로 가정하여 계산을 수행하지만, 2차원 해석만으로는 실제 물리적인 현상을 정확하게 반영하기 힘들다. 따라서 보다 실질적인 결과를 얻기 위해서는 기존의 2차원 해석방법을 3차원 해석방법으로 변환할 필요성이 있다. 본 논문에서는 3차원 열플라즈마 해석을 위한 첫 단계로써 상용 CFD 프로그램인 ANSYS CFX를 사용하여 동일한 해석모델에 관하여 2차원 해석과 3차원 해석을 수행하였다. 해석방법 및 결과의 타당성을 평가하기 위하여 Schnick-Fuessel 모델 (SF 모델)과 Haddad-Farmer 모델 (HF 모델)을 선정하여 각각의 모델에 대한 해석결과를 문헌에서 발췌한 실험결과 등과 비교하였다. 이러한 결과 비교를 통해서 본 연구에서 적용한 열플라즈마 해석에 관한 수치해석 방법이 충분히 3차원 해석으로 확장 가능함을 확인할 수 있었다.
-
Shin, Gi Won;Kang, Chang Ho;Lee, Min Jun;Yang, Sung Jae;Lee, Hyuk Ho;Hong, Hyun Bin;Jo, Tae Hoon;Kwon, Gi Chung 139.2
In this study, We applied the magnetic field that has CW frequency and AM frequency to heating magnetic nano powder. For this experiment, We set up the devices flat-type magnetic field generator with CW frequency and AM frequency. We supplied the current to encircling coil by adjusting the power of generating of magnetic field device for AC voltage through Slidacs and using way of LC resonance circuit and SMPS(Switching Mode Power Supply). Above the encircling coil, We covered the circular flat insulator like glass. And we located the well plate containing the magnetic nano powder liquor above the circular flat insulator and exposed the magnetic field to this well plate. Using the flat-type magnetic field generator with CW and AM frequency and the magnetic field measurement sensor(Magnetic pick up coil or Hall sensor), We measured the strength of the magnetic field of circular flat insulator's surface in each position. The temperature of the magnetic nano powder in the well plate was quantitatively measured by the magnetic field strength through the Fluoroptic thermometer. -
Currently, As Plasma application is expanded to the industrial and medical industrial, Low temperature plasma characteristics became important. Especially in Medical industrial, Low temperature plasma directly adapted to human skin, so their plasma parameter is important. One of the plasma parameters is electron density, some kinds of method to measuring electron density are Thomson scattering spectroscopy and Millimeter-wave transmission measurement. But most methods is expensive to composed of experiment system. Heterodyne interferometer system is cheap and simple to setting up, So we tried to measuring electron density by Laser heterodyne interferometer. To measuring electron density at atmospheric pressure, we need to obtain the phase shift signal. And we use a heterodyne interferometer. Our guiding laser is Helium-Neon laser which generated 632 nm laser. We set up to chopper which can make a laser signal like a pulse. Chopper can make a 4 kHz chopping. We used Needle jet as Ne plasma sources. Interference pattern is changed by refractive index of electron density. As this refractive index change, phase shift was occurred. Electron density is changed from Townsend discharge's electron bombardment, so we observed phenomena and calculated phase shift. Finally, we measured electron density by refractive index and electron density relationship. The calculated electron density value is approximately 1015~1016 cm-3. And we studied electron density value with voltage.
-
낮은 압력의 평판형 유도 결합 플라즈마 (Inductively Coupled Plasma, ICP)에서 챔버 높이를 바꾸면서 전자 에너지 확률 함수 (Electron Energy Probability Function, EEPF)를 측정하였다. 측정된 전자 에너지 확률 함수에서 기울기가 평평한 부분이 관찰됐고, 이러한 전자 에너지 분포함수의 평평한 부분은 챔버 높이를 증가함에 따라 높은 전자 에너지로 옮겨졌다. 이러한 현상을 분석하기 위해서 2차원 비충돌 가열 메커니즘이 포함된 유도 결합 플라즈마 모델로부터 전자 에너지 확산 계수와 이론적인 전자 에너지 확률 함수를 구하여 실험 결과와 비교하였다. 이를 통하여, 측정된 전자 에너지 확률 함수의 평평한 부분은 전자 튕김 공진 (electron bounce resonance)에 의한 것임을 알 수 있었다.
-
탄소나노튜브는 우수한 전기적 특성과 더불어 열전도도, 강도, 높은 화학적 안정성, 바이오 물질과의 친화성 때문에 많은 응용이 가능하며 최근까지도 활발히 연구되는 대표적인 탄소질의 물질이다. 이러한 다중 벽 탄소나노튜브를 제품화시키기 위해서는 특정 용매에서 용이한 분산성을 지닐 수 있도록 기능화 공정이 필수적이고 많은 양의 파우더를 기능화 시킬 수 있는 장비의 구조 및 공정개선이 요구된다. 플라즈마 기술을 이용한 건식의 순환형 나노분산 파우더 플라즈마 반복처리장치를 통하여 기능화 처리된 탄소나노튜브를 습식공정에 비해 간편한 공정으로 재현성 있고 균일한 결과로 많은 양의 확보가 가능하다. 이에 탄소나노튜브의 기능화 결과를 제시함으로써 본 장비를 소개하고자 한다.
-
Kim, Dae-Uk;An, Yeong-O;Im, Eun-Seok;Lee, Han-Yong;Wi, Sun-Im;Choe, Dae-Gyu;Choe, Sang-Don 141.2
교류임피던스 측정기법을 이용하여 전기화학적으로 외부환경에서 소재 금속까지 물질 및 전하이동에 관한 임피던스 측정이 가능하며 도막의 부식 및 노화정도 및 내식성의 평가가 가능하여 산업체에서 널리 이용되고 있다. RPCS(Remote Plasma Cleaning Source)는 패널 및 반도체 제조공정에서 CVD 증착공정 후 챔버 내부에 입혀지는 Si(실리콘)을 화학적으로 세정하기 위한 F(불소) Radical을 공급하는 원격 고밀도 플라즈마를 발생시키는 제품이다. RPCS의 바디는 알루미늄을 사용하고 절연 및 플라즈마에 대한 내구성을 확보하기 위해 아노다이징 코팅을 한다. 반응기 내벽의 표면이 공정 플라즈마에 노출될 때 소재는 화학적으로 매우 활성이 높은 라디칼과의 반응뿐만 아니라 이온의 충격을 동시에 받게 되며 이 과정에서 다량의 불소 (Fluorine) 라디칼과 전계에 반응한 이온의 운동에 노출되면서 아노다이징 코팅이 손상되는데 이는 기기의 수명 단축 및 파티클을 발생시키며, Arc의 원인이 되기도 한다. 실제 사용 환경에서는 기기의 분해 없이 아노다이징의 상태를 주기적으로 모니터링 하기가 대단히 어려워, 정기적으로 교체하고 있는 실정이다. 본 연구에서는 RPCS내 발생된 플라즈마 현상을 컨덕터로 활용하여 고주파 리액턴스를 임피던스로 환산하여 아노다이징 코팅의 손상 정도를 진단 및 모니터링하였다. 아노다이징이 손상된 내부 블럭과 정상상태인 내부 블럭의 임피던스를 비교하였고, 아노다이징 두께별 임피던스를 측정하였다. 그 결과 아노다이징 절연막이 손상된 블록의 임피던스가 정상 블록에 비해 낮았으며 두께별 임피던스도 비례함을 알 수 있었다. 향후에는 장기간 현장에서 축척되어진 시험데이터를 바탕으로 아노다이징 코팅의 수명예측진단 시스템을 구축하고자 한다. -
웨이퍼 표면에서 부유 전위 분포를 측정하기 위해서 웨이퍼형 탐침 배열을 제작하고 측정회로를 만들었다. 아르곤 플라즈마의 경우 낮은 압력에서 부유 전위의 분포는 중심에서 최대값을 갖는 포물선 형태로 나타났다. 하지만 음이온 가스의 압력이 증가함에 따라 부유 전위의 분포가 현저하게 변화했다. 가스 압력이 높아짐에 따라 비국부적이었던 플라즈마의 방전 특성이 국부적으로 변화했기 때문이다. 이외에도 음이온도 부유 전위의 분포를 변화시킬 수 있음을 확인하였다. 이 연구는 반도체 제조 공정에서 웨이퍼 표면에서 전하 축적에 의한 손상을 이해하는데 도움이 될 것으로 기대된다.
-
Plasma etch endpoint detection (EPD) of SiO2 and PR layer is demonstrated by plasma impedance monitoring in this work. Plasma etching process is the core process for making fine pattern devices in semiconductor fabrication, and the etching endpoint detection is one of the essential FDC (Fault Detection and Classification) for yield management and mass production. In general, Optical emission spectrocopy (OES) has been used to detect endpoint because OES can be a simple, non-invasive and real-time plasma monitoring tool. In OES, the trend of a few sensitive wavelengths is traced. However, in case of small-open area etch endpoint detection (ex. contact etch), it is at the boundary of the detection limit because of weak signal intensities of reaction reactants and products. Furthemore, the various materials covering the wafer such as photoresist (PR), dielectric materials, and metals make the analysis of OES signals complicated. In this study, full spectra of optical emission signals were collected and the data were analyzed by a data-mining approach, modified K-means cluster analysis. The K-means cluster analysis is modified suitably to analyze a thousand of wavelength variables from OES. This technique can improve the sensitivity of EPD for small area oxide layer etching processes: about 1.0 % oxide area. This technique is expected to be applied to various plasma monitoring applications including fault detections as well as EPD.
-
An inductively coupled plasma source driven by 13.56MHz was prepared for the deposition of a-C:H thin film. Properties of the plasma source are investigated by fluid simulation including Navier-Stokes equations and home-made tuned single Langmuir probe. Signal attenuation ratios of the Langmuir probe at first and second harmonic frequency were 13.56Mhz and 27.12Mhz respectively. Dependencies of plasma parameters on process parameters were agreed with simulation results. Ar/CH4 plasma simulation results shown that hydrocarbon radical densities have their lowest value at the vicinity of gas feeding line due to high flow velocity. For input power density of 0.07W/cm3, CH radical density qualitatively follows electron density distribution. On the other hand, central region of the chamber become deficient in CH3 radical due to high dissociation rate accompanied with high electron density. The result suggest that optimization of discharge power is important for controlling deposition film quality in high density plasma sources.
-
백색유기발광소자는 저전력, 높은 명암비 및 빠른 응답속도와 넓은 시야각 등의 장점을 가지고 있어 대형 디스플레이, 모바일 디스플레이, 백색 광원 등에 사용되는 차세대 광원으로써 각광 받고 있고 이를 상용화하기 위해 많은 연구가 진행되고 있다. 하지만 다층 발광층을 가지는 백색유기발광소자는 발광층에 지역이 인가된 전압에 의해 바뀌어 색안정성이 떨어진다는 단점을 가지고 있다. 본 연구에서는 백색유기발광소자의 발광 메커니즘 규명하고 색안정성을 고찰하였다. 이 백색유기발광 소자는 indium-tin-oxide (ITO) 양극전극에 진공 증착 방법을 통해 전하생성층으로 tungsten oxide(WO3)층과 5,6,11,12-tetraphenyltetracene(rubrene)가 도핑된 N,N',-bis-(1-naphthyl)-N,N'-diphenyl1-1'-biphenyl-4,4'-diamine(NPB)층을 사용하여 제작되었다. ITO를 양극으로, NPB를 정공수송층으로, DPVBi를 발광층으로, 4,7-diphenyl-1,10-phenanthroline(BPhen)을 전자수송층으로, WO3와 0, 1, 2, 또는 3 wt% rubrene 도핑된 NPB를 전하생성층으로, Liq를 전자주입지연층으로, Al을 음극 전극으로 각각 사용하였다. 전하생성층으로 사용한 NPB층의 rubrene 도핑농도가 변화하여 백색유기발광소자의 발광 메커니즘을 규명하였다. rubrene 도핑된 NPB층에서 발광하는 노란빛과 발광층에서 발생하는 파란빛에 의해 백색광을 방출, NPB층에 도핑된 rubrene 도핑 농도가 증가할수록 소자의 전류밀도와 밝기가 증가했다.
-
The triggered vacuum switch(TVS) is a one of the important component in consisiting high power control systems(HPCS). The operating condition is depended on material, geometry, operating power and so on. Our research is focused on the effects of thses basic properties and ptimized condition, because these are critical conditons in understanding the TVS operation. Our experiment is accomplished with a copper electrode and a tungsten trigger pin after being assembled into a vacuum chamber. The operating voltage in our system is more than dozens of kV at the 5kV trigger pulse. Our goal is up to 300kJ, therefore the currents should be more optimized in additional experiments,
-
폴리머는 물건 포장, 산업재, 자동차 등 다양하게 사용되는 물질이다. 그런데 이러한 폴리머 제품을 제조하기 위해서는 일정 규모의 생산시설이 필요하다. 최근, 플라즈마를 이용한 여러 가지 폴리머 합성법들이 개발되면서 보다 편리하고 간편한 생산법이 제시되고 있으며, 다양한 분야에서 그 응용가능성을 타진하고 있다. 본 연구에서는 비교적 간단하게 플라즈마를 발생시킬 수 있는 대기압 AC 플라즈마 장치를 이용해 가장 많이 응용되고 있는 methyl methacrylate(
$C^5H^8O^2$ )와 ethyl methacrylate($C^6H^{10}O^2$ )를 폴리머로 합성했다. 이렇게 합성된 각 폴리머의 여러 가지 특성평가와 합성과정에서 부각된 여러 변수 및 합성 메커니즘에 대해서 살펴본다. 그리고 향후 플라즈마를 이용한 다양한 폴리머합성 가능성과 농업, 식품, 환경개선, 바이오 등의 응용 가능성에 대해서도 살펴볼 예정이다. -
Choe, Jin-U;Park, Hye-Jin;Jo, Tae-Hun;Hwang, Sang-Hyeok;Park, Jong-In;Yun, Myeong-Su;Gwon, Gi-Cheong 150.1
일부 금속들은 산화물을 형성하여 반도체적 성질을 갖게 되는데 이를 산화물 반도체라 한다. 산화물 반도체는 전자의 전도 특성에 의해 기존에 널리 사용되고 있는 a-Si 반도체 보다 뛰어난 전자 이동도를 갖고 넒은 Band gap energy를 갖기 때문에 누설 전류가 적어 Device 제작 시 저전력 구동이 가능하다는 장점이 있어 관련 연구가 활발히 진행 중이다. 산화물 박막을 증착하는 방법으로는 용액 공정, CVD, Sputtering 등이 있다. 그 중 Sputtering을 이용한 산화물 박막 증착 시 산소 음이온이 기판으로 가속하여 박막에 충돌, 박막 물성에 영향을 준다는 연구결과가 보고되고 있다. 본 연구에서는 Sputtering을 이용하여 ITO를 증착하는 과정에서 발생하는 산소 음이온을 측정하는 장치를 개발하여 산소 음이온 발생여부를 확인해 보았다. -
In this study, we analyzed the electric and optical characteristics by using various deposition rate (
$0.5{\AA}$ ,$1.0{\AA}$ and$1.5{\AA}/s$ ) in order to enhance the performance in organic light-emitting devices (OLED). The organic multi-layer structures were deposited with NPB ($500{\AA}$ as hole transport layer), Alq3 ($600{\AA}$ as electron transport layer and emission layer) and LiF ($8{\AA}$ as electron injection layer) via SUNIC PLUS200 on Glass/ITO substrates. In this experiment, we examined the relationship between porous state of organic deposition and mobility of the organic materials. Among the three deposition rates,$0.5{\AA}/s$ achieved the highest performance of (10,786cd/m2, 4.387cd/A) comparing with that of$1{\AA}/s$ (7,779cd/m2, 3.281cd/A) and$1.5{\AA}/s$ (5,167cd/m2, 2.693cd/A). We confirmed that low deposition rate helps to arrange organic materials densely and to move easily another atomic location using inter-chain transporting by orbital overlap. -
본 연구에서는
$O_2$ 플라즈마 처리에 따른 용액 공정 기반 ITZO 박막 트랜지스터를 제작하여, 산소에 따른 문턱전압 변화를 비교, 분석하였다. 처리시간은 0초에서 50초까지 가변하였다. 전달 곡선으로 트랜지스터의 특성을 평가하고, XPS(X-ray Photoelectron Spectroscopy)를 통해 Zn, In, Sn-O 결합과 산소 결합 결함을 확인하였다. 처리 시간이 증가 할수록 문턱전압이 -6.8V에서 -2.1V로 이동하였다. 처리시간이 길어질수록 OM/OL 비율이 0.4533에서 0.4381로 감소하였고, 또한 산소 결합 결함이 감소하였다. 실험결과를 통해 산소 결합 결함을 조절함으로써 문턱전압이 양의 방향으로 이동함을 확인하였다. -
본 연구에서는 indium-tin-oxide(ITO)/1,4,5,8,9,11-hexaazatriphenylene-hexacarbonitrile(HAT-CN)/N,N'-di(naphthalene-lyl)-N,N'-diphenyl-benzidine(NPB)/4,4'-Bis(N-carbazolyl)-1,1'-biphenyl(CBP)/2,2',2"-(1,3,5-Benzinetriyl)-tris(1-phenyl-1-H-benzimidazole)TPBi/tris-(8-hydroxyquinoline) aluminum(
$Alq_3$ )/LiF/Al 구조를 가진 유기 발광 다이오드 소자의 발광층에$Ir(ppy)_3$ (2% wt)을 도핑하여 소자의 특성 변화를 살펴보았다.$Ir(ppy)_3$ 의 두께는 5nm이고 도핑 위치는 정공 수송층과 발광층 계면의 0nm에서부터 25nm까지 5nm간격으로 도핑을 하였다. 실험 결과 소자의 효율은 도핑 위치가 정공 수송층에서 25nm떨어진 위치일 때 가장 높았고, 10nm일 때 가장 낮았다. 이는 도핑 부분의 위치가 정공 차단층에 가까워질수록 정공과 전자의 균형이 좋아지는 것이 소자 성능을 향상시키는 원인으로 추측된다. -
Nowadays, Plasma has been used in biological, medical such as wound healing, plant grow, killing cancer. When plasma generated, UV light and ROS(Reactive oxygen species), RNS(Reactive nitrogen species) can generated and those things effect to biological material. So we made simple plasma device using needle type of electrode and generated plasma. We used three kinds of gas and measured applied voltage and current. Also we observed optical emission spectrum. Using deuterium ramp, we can observed absorption spectrum and calculated radical density by lambert-beer's law. It is around ~1016cm3. And we can see the time-resolved absorption spectrum from monochromator, PMT(photo multiply tube), IV-converter, oscilloscope.
-
OLED 소자 제작 과정에서는 증착 과정이 필요하다. 따라서 증착 과정에서의 변화도가 소자의 성능 및 수명에 큰 영향을 미친다. 이와 관련하여 소자 증착시 조건을 다르게 하여 OLED의 특성이 변함을 관찰하였다. OLED는 전자수송층, 정공수송층, 발광층과 이들을 중심으로 이들의 역할을 도와주는 보조층들로 이루어져 있다. 각각의 유기층들이 증착조건의 변화에 따라 어떤 변화가 있는지 캐패시턴스 측정을 통해 분석해 보았다. OLED에 전압을 걸고 측정한 캐피시턴스에 따라 구동 전압, 해당 전압에서의 변화 등을 분석하였다.
-
SORNSAKDANUPHAP, Jirapong;SUANPOOT, Pradoong;Hong, Young June;Ghimire, Bhagirath;CHO, Guangsup;CHOI, Eun Ha 156.1
Neon and argon plasma group velocities (ug) are obtained by intensified charge coupled device (ICCD) camera images at fixed gate width time of 5 ns. The propagation velocities in upstream and downstream region are in the order of 104-105 m/s. The plasma ambipolar diffusion velocities are calculated to be in the order of 101-102 m/s. Plasma jet is generated by sinusoidal power supply in varying voltages from 1 to 4 kV at repetition frequency of 40 kHz. By employing one dimensional convective wave packet model, the neon and argon electron temperatures in non-thermal atmospheric-pressure plasma jet are estimated to be 1.95 and 1.18 eV, respectively. -
반도체 소자의 크기가 나노사이즈로 줄어들기 때문에, 건식식각의 중요성이 강조되고 있다. dual plasma source를 사용함으로써 plasma 밀도, 이온충돌에너지, 이온플럭스를 조절 가능하다. Low frequency로 이온에너지를 조절하고, high frequency로 이온플럭스를 일반적으로 조절한다. 본 연구는 inductively coupled plasma (ICP)와 capacitively coupled plasma (CCP)를 사용하는 dual plasma source이다. ICP는 AE RPS로 2.4 MHz를 사용하고, CCP는 AE RFX-600으로 13.56 MHz이다. single L-probe는 Hiden ESPion이고, quadrupole mass spectrometer (QMS)는 INFICON CPM-300이다. chuck에 CCP가 인가되고, ICP는 SUS mesh를 거쳐서 영향을 미친다. Gas는 Ar, Ar+CF4 두 조건에서 비료를 하였다. Single L-probe를 이용하여 플라즈마를 측정한 결과 CCP만 인가하였을 때, Te 2.05 eV, Ne 4.07E+10 #/cm3, Ni 5.82E+10 #/cm3의 결과를 얻을 수 있었다. ICP를 방전하고 mesh를 통해서 chuck으로 입사하는 이온을 측정한 결과 mesh에 의해 이온이 중성화되어 거의 입사하지 않음을 확인할 수 있었다. 최종적으로 이온의 영향이 상쇄되고, 라디칼의 영향이 증가하여 높은 etch rate와 선택비를 가지며, 등방성 식각의 영향이 커질 것으로 사료된다.
-
복합소재는 두가지 이상의 서로 다른 물질을 조합하여, 단일 물질이 가질 수 없는 뛰어난 특성을 가진 소재로 그 중 전기 전도성 복합소재는 일반적으로 폴리머와 전도성 필러의 혼합을 통해 만들어 전자파 차폐, 대전 방지 등의 목적으로 사용되고 있다. 종류에 따라 기존 금속 소재에 비해 가볍거나 탄성율이 높은 등의 장점이 있으나 필러나 레진에 따라 재활용에 어려움이 있으며 전도성 필러로 주로 쓰이는 카본블랙 등이 석유/천연가스 등의 한정적 자원으로부터 만들어짐에 따라 환경적인 이슈가 최근 부각되고 있다. 목재는 가장 널리 쓰이는 소재 중 하나로 재생 가능하며 친환경적인 특성으로 인해 더욱 다양한 분야로의 활용이 모색되고 있다. 본 연구에서는 목재 소재의 탄화를 통해 만들어진 탄화목분의 전도성 필러로의 적용가능성을 시험하고자 CBT 레진과 탄화목분 필러의 복합소재를 제작하였다. 탄화 목분 복합소재의 전도성은 20 wt% 필러 함량 기준 카본블랙 복합소재 전도도에 20%에 이르렀으며, 전도도의 향상을 위해 필러의 플라즈마 처리 시 복합소재의 전도도가 급격히 향상되어, 카본 블랙 복합소재 전도도의 3배에 이르렀다. 플라즈마 처리가 탄화목분 복합소재의 전도도향상에 미치는 영향을 분석하기 위해 micro-CT, TGA 분석을 수행하였으며, 플라즈마 처리 시 탄화 목분 필러가 일부 미분화 되어 복합소재의 전도도를 향상하는 것으로 나타났다. 이와 같이 탄화 목분의 전도성 복합소재 적용과, 탄화목분의 플라즈마 처리를 통해 친환경적일 뿐만아니라 전도도도 우수한 복합소재를 구현하였으며, 실험적으로 전도도 향상 메커니즘을 확인하였다.
-
Melanin is a black pigment, responsible for hair and skin color. In order to find the melanin stimulatory technique which prove useful for a gray and a white hair-preventive agent or tanning agent, we developed atmospheric pressure plasma jet (APPJ) and tested for tyrosinase activity and melanin production in melanoma (B16F10) cells in vitro. We found plasma dose dependent increase in melanin production. To explore the contributing mechanism in melanin synthesis, intracellular reactive oxygen species (ROS) and MAP kinase signaling pathways were studied. Furthermore, the development of plasma technology for melanin synthesis and planning for in-vivo future studies will be discussed.
-
The use of plasma has increased in bio-application field in recent years. Particularly, water treated by arc discharge or atmospheric pressure plasma has been actively utilized in bio-industry. In this study, we have developed a plasma activated water generating system. For this system, two kinds of plasma sources; dielectric barrier discharge (DBD) plasma and arc discharge plasma have been used. The discharge energy was calculated using the breakdown voltage and current, and the emission spectrum was measured to investigate the generated reactive species. We also analyzed the amount of reactive oxygen and nitrogen species in water using the chemical methods and nitric oxide sensor. Finally, the influence of plasma generated reactive species on the germination and growth of spinach (Spinacia oleracea) was investigated. Spinach is a green leafy vegetable that contains a large amount of various physiologically active organic compounds. However, it is characterized with a low seed germination rate.
-
플렉서블 디스플레이에 사용되는 투명전극은 벤딩에 의한 인장(tensile) 및 압축(compressive) 스트레스 하에서도 전극의 특성이 지속적으로 유지되어야 한다. 기존 OLED소자의 투명전극으로 사용되던 인듐산화물(ITO, Indium Tin Oxide)는 인듐(Indium)의 희소성 문제뿐만 아니라 벤딩에 대한 복원력이 나쁜 것으로 알려져 플렉서블 디스플레이에는 적합하지 않은 것으로 알려져 있다. 벤딩에 강하고 복원력이 우수한 투명전극 재료가 필요하게 되었다. 본 연구에서는 PEN (Polyethylene Naphthalate) 유연기판 상에 그래핀(Graphene)전극을 구현하여 벤딩에 대한 저항특성을 관찰하였고 일반적으로 많이 사용하는 Aluminum 전극과의 비교를 통해 광효율을 지속적으로 유지할 수 있는 플렉서블 OLED용 전극구현 가능성을 연구하였다. 일반적으로 Al금속은 인장 스트레스를 받음에 따라 저항이 증가하고 다시 복원되면 저항이 감소하는 특성을 갖고 있는데 인장 스트레스에 따라 저항과 늘어난 길이와의 관계는 다음과 같다.
$R/R0=(L/L0)^2$ ----------------------------------------- (1) 그러나 반복된 스트레스가 가해질 경우 Al 금속 전극은 복원력을 잃고 저항이 원래대로 돌아가지 않는 문제가 발생하는데 반해 그래핀은 벌집모양의 구조를 갖고 있어 벤딩에 대한 강도가 셀 뿐만 아니라 고탄력으로 인해 복원력이 우수하여 여러 싸이클(cycle)의 벤딩 실험에 의해서도 복원력이 지속적으로 유지되었다. Al 금속 전극의 경우 벤딩 각도 또는 정도에 따라 복원력이 유지되는 구간이 있으나 반복적인 벤딩 싸이클에 의해 복원력이 감소하여 인장 스트레스에 의한 저항 증가 후 스트레스 제거 시 저항 감소가 되지 않는데 24시간 동안 전기 저항 변화를 관찰하면 수시간 후에나 저항이 어느 수준까지만 복원되는 것을 확인할 수 있었으나 복원에 오랜 시간이 소요된다는 점에서 그래핀과 비교가 된다. SEM(Scanning electron microscopy) 분석을 통해 인장 스트레스 인가/제거를 반복함에 따라 Al 금속표면이 표면에 열화되는 것을 확인하였으나 그래핀에서는 나타나지 않았다. 본 연구에서는 높은 투과도와 우수한 전기적 특성을 가지는 그래핀 투명 전도성 전극이 다양한벤딩 조건에서도 뛰어난 복원 특성을 보이는 것을 밝혀내어 차세대 투명 전극 물질로 개발하고자 하였다. -
We investigate the degradation pattern of Black phosphorus (BP) field effect transistor (FETs) was investigated by using an mechanically exfoliated BP that react O2 and water vapor in ambient condition, degradation. The BP FETs was electrically measured every 20 minutes (1cycle) in the air, the total cycle is 100. We show electrical changes with Mobility, On/off ratio, Current and a significant positive shift in the threshold voltage. We extracted the current level at Vgs-Vth = 0, -10, -20 and fitting with Swiss-cheese model. This model suggested that Swiss-cheese model is well fitted with degradation pattern of BP FETs.
-
고 이동도(~10 cm/Vs), 낮은 공정온도 및 높은 투과율 등의 특성을 갖는 산화물 반도체는 저 소비전력, 대면적화 및 고해상도 LCD Panel에 적합한 재료로서 현재 일부 Mobile Panel 및 TFT-LCD Panel의 양산에 적용되고 있으나, 향후 UHD급(4 K, 8 K)의 대형, 고해상도 Panel에의 적용을 위해서는 30 cm2/Vs 이상의 고 이동도 재료의 개발 및 저 저항 배선의 적용에 따른 소자 신뢰성의 개선이 필요하다. Cu는 대표적인 저 저항 배선 재료로 일부 양산에 적용되고 있으나, Cu 전극과 산화물 반도체의 계면에서 Cu원자의 확산 및 Cu-O 층의 형성에 의한 소자 특성 저하의 문제가 있다. 본 연구에서는 고 이동도의 In doped-ZTO계 산화물 반도체를 기반으로 채널 층과 Cu source-Drain layer의 계면에서의 Cu element의 거동 및 TFT 소자 특성과의 상관관계를 고찰하고, 계면에 형성된 Cu-O layer에 대해 높은 전자 친화도를 갖는 Ca element를 첨가에 의한 TFT 소자 특성의 변화를 관찰하였다. 본 연구에서는 이러한 효과로 인한 소자 신뢰성의 향상을 기대하였으며, 우선 In doped-ZTO 채널 층에 Cu와 CuCa 2at% source-drain을 적용한 TFT 특성을 확인하였다. 그 결과, Cu는 Field-effect mobility: ~17.67 cm2/Vs, Sub-threshold swing: 0.76 mV/decade 및 Vth:, 4.40 V의 결과가 얻어졌으며 CuCa 2at%의 경우 Field-effect mobility: ~17.84 cm2/Vs, Sub-threshold swing: 0.86 mV/decade 및 Vth:, 5.74 V의 결과가 얻어졌다. 소자신뢰성 측면에서도 Bias Stress의 변화량
${\delta}Vth$ 의 경우 Cu : 4.48 V에 대해 CuCa 2at% : 2.81 V로${\delta}Vth$ :1.67 V의 개선된 결과를 얻었다. -
자동차 산업분야에서 차량 경량화의 한 수단으로, 자동차용 유리를 무게가 가볍고 고강도 투명 고분자 소재인 폴리카보네이트로 대체하고자 하는 연구가 이루어지고 있다. 하지만, 폴리카보네이트의 낮은 내 마모 특성과 자외선에 의한 열화 및 변색 현상은 해결해야 할 문제점으로 지적되고 있다. 본 연구에서는, 폴리카보네이트의 내마모 특성을 향상시키기 위해 HIPIMS+ (High Power Impulse Magnetron Sputtering+) 방법을 이용하여 투과율이 확보되고, 고경도 특성을 갖는 Al-Si-N 박막을 증착하였다. 고속증착을 하기 위해 Target에 인가되는 Power를 올리게 되었는데, 열팽창 계수가 큰 고분자 물질인 폴리카보네이트 시료의 온도가 상승하여 증착된 박막과의 열팽창 계수 차이에 의해 박막에 Crack이 형성되는 문제가 발생하였다. 증착되는 Al-Si-N 박막의 공정 압력에 따른 Stress 제어 방법 및 폴리카보네이트 시료의 온도 상승을 막기 위한 알루미늄 구조체를 이용함으로써 박막의 Crack 형성을 억제하고자 하였다. 박막의 Stress를 확인하기 위하여 AFM (Atomic Force Microscope)과 OM (Optical Microscope)을 이용하여 분석하였고, 박막의 경도는 Knoop
${\mu}$ -hardness tester를 사용하여 측정하였다. Al-Si-N 박막 경도는 Si at.%/(Al at.% + Si at.%) 비율이 16%에서 33 GPa의 경도를 갖는 것을 확인하였다. UV-Vis Spectrometer를 이용하여 투과율을 측정한 결과, 400-700 nm 파장의 가시광 영역 평균 투과율은 80%로 측정되었다. -
Lee, Hyeon-Hwi;Lee, Si-U;Geum, Hui-Seong;Kim, Han-Seong;Kim, Je-Han;Lee, Dong-Ryeol;Kim, Hyo-Jeong 168.2
We observed the temperature-dependent evolution and behavior of P3HT type-II phase during a real time annealing process from a cryo-cooled low temperature in the absence and presence of an Al electrode. A poly (3-hexylthiophene) (P3HT) Type-II phase in the P3HT:PCBM films started to form near at$-10^{\circ}C$ , regardless of Al layer presence. In the absence of an Al layer, type-II phase was extinct at$30^{\circ}C$ . However, the extinction temperature was extended to$50^{\circ}C$ in the presence of the Al layer. Simultaneously, combined with the type-II phase, a 1:3 ordered P3HT type-II (1/3,0,0) super-lattice peak evolved. These type-II domains tended to be formed near the Al electrode layer with higher aligned status than host P3HT crystals. -
최근 디스플레이 기술은 급속도로 발전해 가고 있다. 디스플레이 산업의 눈부신 성장에 발맞추어 초고화질, 초고선명, 고속 구동 및 대형화 등을 포함하는 최신 기술의 디스플레이 구동이 필요하다. 이러한 요구사항을 만족하기 위해서는 각 픽셀에 영상정보를 기입하는 충전시간을 급격히 감소시켜야 하고 따라서 픽셀 트랜지스터(TFT)의 이동도는 급격히 증가해야 한다. 따라서 차세대 디스플레이 실현을 위해서 고이동도 특성을 구현 할 수 있는 신물질의 개발이 매우 중요하다. 현재 산화물박막트랜지스터는 차세대 디스플레이 실현을 위해 가장 주목받고 있으며, 실제로 산화물박막 트랜지스터의 핵심소재인 In-Ga-Zn-O(a-IGZO) 산화물의 경우 국내외에서 디스플레이에 적용되어 생산이 시작되고있다. 그러나 a-IGZO 산화물의 경우 이동도가
$5-10cm^2V{\cdot}s$ 수준이어서 향후 개발 되어질 초고해상도/고속구동 디스플레이 실현(이동도$50cm^2V{\cdot}s$ )에는 한계가 있다. 따라서 본 연구에서는 이를 해결 할 수 있는 'post-IGZO' 개발을 위해 In2O3에 Ga2O3를 조성별로 고용시켜 박막의 구조적, 전기적, 광학적 특성 및 TFT를 제작하여 특성 연구를 진행하였다. 조성은 In2O3에 Ga2O3를 7.5%~15% 도핑 하였으며, Sputtering을 이용하여 indium gallium oxide(IGO) 박막을 제작하였다. 박막은 상온 및$300^{\circ}C$ 에서 증착 하였으며 증착 된 IGO 박막은 Ga=12.5% 까지는 In2O3에 Ga이 모두 고용되어 cubic In2O3 poly crystalline을 나타내는 것을 확인하였으며 Ga=15%에서 Gallium 관련 2차상이 확인되었다. Ga양이 변화함에 따라 박막의 전기적 특성이 조절 가능하였으며 이를 이용하여 IGO 박막을 30 nm 두께로 증착 하여 IGO 박막을 channel layer로 사용하는 bottom gate structured TFTs를 제작 하였다. IGO TFTs는 Ga=10%에서 on/off ratio${\sim}10^8$ , 그리고 field-effect mobility$84.8cm^2/V{\cdot}S$ 를 나타내며 초고화질, 초고선명 차세대 디스플레이 적용 가능성을 보여 준다. -
$VO_2$ is a well-known a metal-to-insulator-transition (MIT) material, accompanied with a first order structural phase transition near room temperature. Because of the structural phase transition and the MIT occur near a same temperature, there is an ongoing argument whether the MIT is induced by the structural phase transition.$VO_2$ exhibits a relatively weak anti-oxidization ability and can be oxidized to higher-valence oxides (e.g.,$V_4$ $O_7$ or$V_2$ $O_5$ ) when annealed at a high temperature in an oxygen-rich atmosphere. We fabricated$VO_2$ films on$Al_2$ $O_3$ (0001) substrates using a DC magnetron sputtering deposition process with carefully control the$O_2$ percentage in an atmosphere. X-ray diffraction measurements from the films showed only (0l0) peaks with no extra peaks, indicating b-oriented films. The temperature-dependent local structural properties of$VO_2$ films were investigated by using in-situ X-ray absorption fine structure (XAFS) measurements at the V K edge. XAFS revealed that the structural phase transition was occurred nearly$70^{\circ}C$ for heating process and reproducible. Resistance measurements as a function of temperature (R-T) demonstrated that the resistance of$VO_2$ films was changed by a factor of 4 near$75^{\circ}C$ which was higher than$68^{\circ}C$ reported from a$VO_2$ bulk. We will discuss the MIT of$VO_2$ films, comparing with the local structural properties determined by XAFS measurements. -
Han, Im-Sik;Lee, Yong-Seok;Nguyen, Tien Dai;Lee, Hun;Kim, Jun-O;Kim, Jong-Su;Gang, Sang-U;Choe, Jeong-U;Kim, Ha-Sul;Ku, Zahyun;Lee, Sang-Jun 170.1
안티모니 (Sb)를 기반으로 한 제2형 초격자 (Type II superlattice, T2SL)구조 적외선 검출기 연구는 2000년대 들어 Sb 계열의 화합물 반도체 성장 기술이 발전함에 따라 HgCdTe (MCT), InSb, 양자우물 적외선 검출기 (QWIP)를 대체할 수 있는 고성능의 양자형 적외선 검출 소재로 부상하였으며, 현재 전 세계적으로 활발한 연구가 진행되고 있다. 특히, 기존의 양자형 적외선 검출소자에 비해 전자의 유효질량이 상대적으로 커서 밴드 간의 투과전류가 줄어들 뿐만 아니라, 전자와 정공이 서로 다른 물질 영역에 분포하여 Auger 재결합률을 효과적으로 줄일 수 있어 상온 동작이 가능한 소재로 주목을 받고 있다. 또한, T2SL 구조는 초격자를 구성하는 물질의 두께나 조성 변화를 통한 밴드갭 변조가 용이하여 단파장에서 장파장 적외선에 이르는 광범위한 파장 대역에서 동작이 가능할 뿐만 아니라 구조적 변화를 통해 이중 대역을 동시에 검출 할 수 있는 차세대 적외선 열영상 소자로 알려져 있다. 본 연구에서는 분자선 에피택시(MBE)법을 이용하여 300 주기의 InAs/GaSb (10/10 ML) 제2형 초격자 구조를 성장하여 적외선 검출소자를 제작하였다. 제2형 초격자 구조를 구성하는 물질계에 p-type dopant인 Be을 이용하여 각각 도핑 농도가 다른 시료를 성장하였다. 이때 p-type 도핑 농도는 각각$1/5/10{\times}10^{15}cm^{-3}$ 로 변화를 주었다. 성장된 시료의 구조적 특성 분석을 위해 고분해능 X선 회절 (High resolution X-ray diffraction, HRXRD)법을 이용하였으며, 초격자 한 주기의 두께가 6.2~6.4 nm 로 설계된 구조와 동일하게 성장됨을 확인 하였으며, 1차 위성피크의 반치폭은 30~80 arcsec로 우수한 결정성을 가짐을 확인하였다. 적외선 검출을 위한$410{\times}410{\mu}m^2$ 크기의 단위 소자 공정을 진행하였으며 이때 적외선의 전면 입사를 위해 소자 위에$300{\mu}m$ 의 윈도우 창을 제작하였다. 단위 소자의 측벽에는 표면 누설 전류가 흐르는데 이를 방지하기 위해서 표면보호막을 증착하였다. 적외선 검출 소자의 전기적 특성 평가를 위해 각각의 시료의 암전류 (dark current)와 파장별 반응 (spectral response)을 온도별로 측정하여 비교 및 분석하였다. -
Enormous interest in trivalent rare-earth (RE) ions activated luminescent materials has been gaining owing to their promising applications in bio-imaging, solar cells, white light-emitting diodes and field-emission displays. Among these trivalent RE ions, dysprosium (Dy3+) was widely investigated due to its unique photoluminescence (PL) emissions. A series of Dy3+-activated CaWO4 phosphors were prepared by a facile high-temperature solid-state reaction method. The X-ray diffraction, PL spectra, cathodoluminescence (CL) spectra as well as PL decay curves were used to characterize the prepared samples. Under ultraviolet light excitation, the characteristic emissions of Dy3+ ions were observed in all the obtained phosphors. Furthermore, the PL emission intensity increased gradually with the increment of Dy3+ ion concentration, reaching its maximum value at an optimized Dy3+ ion concentration. Additionally, color-tunable emissions were obtained in Dy3+-activated CaWO4 system by adjusting the Dy3+ ion concentration and excitation wavelength. Ultimately, strong CL properties were observed in Dy3+-activted CaWO4 phosphors. These results suggested that the Dy3+-activted CaWO4 phosphors may have potential applications in the field of miniature color displays.
-
Carbon nanotube emitters is very promising electron emitter for electron beam applications. We introduced the carbon nanotube electron beam (C-beam) exposure technic using triode structure. As a source, the electron beam emit from CNT emitters placed at the cathode by high electric field. Through the gate mesh, with high accelerating energy, the electron can be extracted easily and impact at the anode plate. For thin film modification, after the C-beam exposure on the amorphous silicon thin film, we found phase changes and it showed a high crystallinity from the Raman measurement. We expect that this crystallized film will be a good candidate as a new active layer of TFT.
-
Nowadays, trivalent rare-earth (
$RE ^{3+}$ ) ions activated metal oxides have been proved to be excellent host materials due to their various applications. Facile wet-chemical technique have been considered as the best synthetic route due its intensive interest in the preparation of nanostructures. Europium ion doped lanthanum hydroxide ($La(OH)_3:Eu^{3+}$ ) phosphors were synthesized by the facile wet chemical method using the hexamethylenetetramine (HMTA) as a mediated surfactant. The thermal behavior for the$La(OH)_3:Eu^{3+}$ phosphors was investigated by thermogravimetric and differential thermal analysis method. The morphological studies were measured by scanning electron microscope and transmission electron microscope measurements, indicating three-dimensional (3D) flower-like$La(OH)_3:Eu^{3+}$ nanorod bundles. After subsequent annealing process, the lanthanum oxide ($La_2O_3:Eu^{3+}$ ) phosphor exhibited similar kind of morphology. The synthesized$La(OH)_3:Eu^{3+}$ and$La_2O_3:Eu^{3+}$ samples were characterized by X-ray powder diffraction and Fourier transform infrared spectroscopy. Furthermore, photoluminescence and cathodoluminescence properties were studied in details. -
CuSn thin films were deposited by rf magnetron co-sputtering method with pure Cu and Sn metal targets with a variety of rf powers. CuSn thin films were studied with a surface profiler (alpha step), X-ray photoelectron spectroscopy (XPS), X-ray induced Auger electron spectroscopy (XAES), X-ray diffraction (XRD), and contact angle measurement. The thickness of CuSn thin films was fixed at
$200{\pm}10nm$ and deposition rate was calculated by the measured with a surface profiler. From the survey XPS spectra, the characteristic peaks of Cu and Sn were observed. Therefore, CuSn thin films were successfully synthesized on the Si (100) substrate. The oxidation state and chemical environment of Cu and Sn were investigated with the binding energy regions of Cu 2p XPS spectra, Sn 3d XPS spectra, and Cu LMM Auger spectra. Change of the crystallinity of the films was observed with XRD spectra. Using contact angle measurement, surface free energy (SFE) and wettability of the CuSn thin films were studied with distilled water (DW) and ethylene glycol (EG). -
이 연구는 nano-indenter를 중심으로 박막의 nano-electrotribology 분석 연구로 Hafnium Nitride (HfN) 박막의 열처리 시 열적안정성에 대한 연구를 진행하였다. HfN 박막은 Copper (Cu)와 Silicon (Si)의 계면 확산방지막으로 사용될 수 있는 박막으로 현재 많은 연구소에서 다양한 연구가 진행되고 있다. HfN 박막은 Si (100)기판 위에 rf magnetron sputter로 증착되었다. 증착 시 Ar,
$N_2$ 가스유량을 총 40 sccm 사용하였고 증착 후 HfN 박막을 질소분위기 furnace에서 500,$700^{\circ}C$ 로 각각 30분 동안 열처리 하였다. 열처리 전 후의 시료를 nano-indenter를 이용하여 nano-electrotribology 분석을 실시하였다. Nano-indenter 측정결과 열처리 전 HfN 박막 시료의 표면강도는 39.68 GPa였고 500oC 열처리 후 31.31 GPa로 감소하였다. 그러나$700^{\circ}C$ 열처리 시 표면강도가 37.89 GPa로 다시 증가하였다. 탄성계수 측정결과도 이와 같은 경향을 나타내었는데,$500^{\circ}C$ 열처리 전 후 탄성계수가 258.99 GPa에서 201.88 GPa로 감소하였고$700^{\circ}C$ 열처리 시 247.55 GPa로 다시 증가하였다. 이는$500^{\circ}C$ 열처리하였을 때 박막 내에 흡착되었던$N_2$ 가스가 빠져나가며 tensile stress가 발생하여 박막의 표면강도 감소를 유발했고$700^{\circ}C$ 열처리 시 다시 박막 표면이 안정화되었기 때문으로 생각된다. 이를 통해 열처리 온도 변화에 의한 질소효과가 나타나 HfN 박막 표면의 물성이 달라지는 것을 확인하였다. -
Kim, Su-In;Lee, Jae-Hun;Kim, Hong-Gi;Kim, Sang-Jin;Seo, Sang-Il;Hwang, Byeong-Hyeon;O, Sang-Ryong;Kim, Nam-Heon;Lee, Chang-U 176.1
본 연구는 플라즈마 건식 식각 후 박막의 물성 특성 변화 측정에 Nano-Indentation 분석 기법을 도입하였으며, 식각 후 박막 표면 강도를 nano 영역에서 측정하여 박막 표면의 damage 분석에 적용하여 물리적인 해석을 시도하였다. 하지만 기판의 대면적화로 인하여 반도체 공정에 사용되는 기판은 300 mm로 증가하였고 이로 인하여 플라즈마 건식 식각에서 대면적에 대한 균일도 향상 연구를 진행 중에 있다. 이 연구에서는 플라즈마 건식 식각 후 박막의 균일도를 Nano-indenter 측정 결과를 기반으로 Weibull 분포 해석을 통하여 정량적인 균일도를 측정하고자 하였다. 플라즈마 건식 식각을 위하여 플라즈마 소스는 Adaptively Coupled Plasma (ACP)를 사용하였고 식각 후 TEOS$SiO_2$ 박막 표면을 분석하기 위하여, 시료 평면의 x, y 축에 대하여 각각$20{\mu}m$ 로 indent 각 지점을 이격하여 동일한 측정 조건에서 Nano-indenter를 이용하여 박막 표면의 강도를 측정하였다. 측정된 결과는 Weibull 분포를 활용하여 정량화하였다. 결과에 의하면 플라즈마 소스의 bias 파워가 300 W 일 때 균일도가 가장 높은 29.84로 측정되었고, 150 W 일 때 가장 낮은 8.38로 측정되었다. 식각 전 TEOS$SiO_2$ 박막의 Weibull 분포에 의한 균일도가 17.93으로 측정됨을 기반으로 ACP 플라즈마 소스의 식각 조건에 따라 TEOS$SiO_2$ 박막의 균일도가 상대적으로 변함을 정량적으로 분석할 수 있었다. -
Lee, Jae-Hun;Kim, Su-In;Kim, Hong-Gi;Kim, Min-Ho;Kim, Min-Jun;Park, Bo-Gyeom;Lee, Seok-Won;Hong, Gi-Taek;Lee, Chang-U 176.2
기존의 트라이볼로지 분석 기법은 macro 영역에서 시료의 강도 및 탄성 등의 물성을 분석하는 정도였으나 Nano-Indenter 분석 기법은 macro 영역보다 더 미세한 nano 영역에서의 시료 물성 분석을 가능하게 해주었다. 따라서 본 연구에서는 시료들의 결정 배양 방향에 따른 Nano-Indenter 압입 각도 차이에 대한 nano 영역에서의 연구를 진행하였다. Si 기판 외에 본 연구에 사용 된 HfN 및 Zr 박막의 시료들은 rf magnetron sputter를 이용하여 약 100 nm 두께로 증착하였다. 각각 시료들에 대한 결정성 확인을 위해 XRD 분석을 실시하였다. 이후 Nano-Indenter를 이용하여 압입 인가력 대비 압입 깊이를 측정하였다. 이 과정에서 Nano-Indenter 압입 각도를$0^{\circ}$ 와$90^{\circ}$ 로 변화함에 따라 압입 인가력 - 압입 깊이 그래프의 차이를 확인하였고 이를 기준점으로 부터$10{\mu}m$ 이격시켜 16회 반복 측정과 Weilbull distribution을 통해 신뢰도를 향상시켰다. 측정 결과 Zirconium(Zr) 박막의 경우 21.53 GPa과 22.18 GPa 측정되었으나 Si 기판은 17.46 GPa 16.33 GPa으로, 그리고 HfN 박막의 경우 25.18 GPa과 27.75 GPa으로 상대적으로 큰 차이를 확인하였다. Si 기판과 HfN의 측정결과 Weibull distribution는 75.02와 70.23인 반면 Zr 박막은 30.94로 상대적으로 불균일한 특성을 확인하였다. 이 결과들로부터 각각의 박막 결정 배양 방향에 따른 분석의 한가지 방법으로 Nano-Indenter 분석 기법을 사용할 수 있는 가능성을 확인하였다. -
Kim, Su-In;Lee, Jae-Hun;Kim, Hong-Gi;Kim, Sang-Jin;Seo, Sang-Il;Kim, Nam-Heon;Lee, Chang-U 177.1
플라즈마 건식 식각공정은 반도체 공정에 있어 증착 및 세정 공정과 함께 중요한 공정중 하나이다. 기존 연구에서는 높은 식각 속도, 종횡비, 대면적에 대한 균일도 증가를 위하여 플라즈마 이온 밀도의 증가와 전자 온도를 감소시키기 위한 노력을 하고 있으며 플라즈마 식각분석 연구에서는 분광학 분석 기법을 활용하여 플라즈마에 의하여 활성화된 식각 가스와 박막 표면의 반응 메커니즘 연구가 진행 중에 있다. 그러나 지금까지의 플라즈마 식각연구에서는 플라즈마 식각 공정에서 발생되는 박막의 damage에 대한 연구는 전무하다. 본 연구에서는 플라즈마 식각과정에서 발생되는 박막 표면의 damage 연구를 위하여 Nano-indenter에 의한 분석 기법을 제시하였다. Nano-indentation 기법은 박막 표면을 indenter tip으로 직접 인가하여 박막 표면의 기계적 특성을 분석하고 이를 통하여 플라즈마에 의한 박막 표면의 물성 변화를 정량적으로 측정한다. 실험에서 플라즈마 소스는 Adaptively Coupled Plasma (ACP)를 사용하였고 식각 가스로는 HBr 가스를 주로 사용하였으며, 플라즈마 소스 파워는 1000 W로 고정 하였다. 연구 결과에 의하면 식각공정 챔버 내 압력이 5, 10, 15 및 20 mTorr로 증가함에 따라 TEOS SiO2 박막의 강도가 7.76, 8.55, 8.88 및 6.29 GPa로 변화되는 것을 측정하였고 bias power에 따라서도 다르게 측정됨을 확인하였다. 이 결과를 통하여 Nano-indentation 분석 기법을 활용하여 TEOS SiO2 박막의 식각공정의 변화에 따른 강도변화를 측정함으로써 플라즈마에 의한 박막 표면의 damage를 정량적으로 측정 가능함을 확인하였다. -
Hyeon, Jeong-Min;Kim, Su-In;Lee, Jae-Hun;Kim, Hong-Gi;Sim, Ji-Yong;Mun, Su-Yeong;Yun, Cho-Rong;Lee, Chang-U 177.2
Nano-mechanics 연구는 기판의 나노표면에 대하여 indenter tip을 직접 인가하여 측정함으로써 기존 분광학 연구에서는 불가능했던 박막의 기계적 특성 연구가 가능하다. 그러나 박막분석 특성상 박막의 표면, 기판 또는 하부 박막에 의한 영향으로 인해 박막의 고유한 물성특성 연구에 제약이 있다. 박막 표면에 의한 영향인 표면효과는 nano-indentation을 실행 할 때 tip의 압입으로 발생되는 표면의 스트레스로 인해 표면 변형이 나타나는 현상이다. 반면에 하부 박막과 기판에 의한 오류는 nano-indentation 실행 시 tip의 압입 깊이가 깊어질수록 하부박막 또는 기판과 가까워지기 때문에 박막 고유의 특성이 아닌 하부박막과 기판에 의한 영향이 같이 나타나는 현상이다. 이러한 오류를 최소화 하고자 많은 연구에서는 박막의 강도에 따라 nano-indentation의 실행 깊이를 박막 총 두께의 최소7%에서 최대 50%까지 삽입하는 방법을 도입하였다. 이를 기반으로 본 연구는 Zirconium nitride (ZrN) 박막의 증착된 두께 깊이만큼 nano-indentation 분석을 실행 하였으며 박막 고유의 nano-mechanics 특성을 연구 하였다. ZrN 박막은 hard coating 분야에 많이 사용되는 물질로 박막 고유의 hardness를 연구하는 것이 큰 의미가 있다. 연구 결과 모든 박막은 두께 30% 깊이 측정에서 박막 표면과 기판효과가 최소화된 박막의 물성 측정이 가능 하였고, 증착 시 질소를 0.5, 1, 2 sccm 흘려준 박막들은 총 두께 30% 깊이에서 hardness가 각각 23.2, 8.6, 18 GPa이었다. 따라서 nano-indenter 측정 시 유효한 측정 깊이에서 측정을 실시하는 것이, 박막의 물성분석에 있어서 대단히 중요함을 확인 하였다. -
In and Ga doped ZnO (IGZO) thin films were prepared by radio frequency magnetron sputtering without intentional substrate heating on glass substrate and TiO2-deposited glass substrates to consider the effect of a thin TiO2 buffer layer on the optical and electrical properties of the films. The thicknesses of the TiO2 buffer layer and IGZO films were kept constant at 5 and 100 nm, respectively. Since the IGZO/TiO2 bi-layered films show the higher FOM value than that of the IGZO single layer films, it is supposed that the IGZO/TiO2 bi-layered films will likely perform better in TCO applications than IGZO single layer films.
-
In and Ga doped ZnO (IGZO) films were deposited on 5 nm thick Cu film buffered Polycarbonate (PC) substrates with RF magnetron sputtering and then the effect of Cu buffer layer on the optical and electrical properties of the films was investigated. While IGZO single layer films show the electrical resistivity of
$1.2{\times}10-1{\Omega}cm$ , IGZO/Cu bi-layered films show a lower resistivity of$1.6{\times}10-3{\Omega}cm$ . Although the optical transmittance of the films in a visible wave length range is deteriorated by Cu buffer layer, IGZO films with 5 nm thick Cu buffer layer show the higher figure of merit of$2.6{\times}10-4{\Omega}-1$ than that of the IGZO single layer films due to the enhanced opto-electrical performance of the IGZO/Cu bi-layered films. -
Thickness-dependent electrical, structural, and optical properties of zinc oxide (ZnO) thin films on polyethylene terephthalate (PET) substrates were investigated in the very thin thickness range of 20 to 120 nm. A very unusual transition phenomenon, in which electrical resistance increases with an increase in film thickness, was observed. From structural and compositional analyses, this transition behavior was explained to arise from metallic Zn agglomerates dispersed in non-crystalline Zn-O matrix. It was unveiled that film thickness more than 80 nm is required for the development of hexagonal crystal structure of ZnO. ZnO films on PET substrates exhibited high optical transmittance and good mechanical flexibility in the thickness range. The results of this study would provide a valuable guideline for the design of ZnO thin films on organic substrates for practical applications.
-
오늘날 평판표시장치(Flat Panel Display; FPD)는 대면적화, 고정밀화를 요구하고 있으며, 이에 따라 TCO에 요구되는 사양이 점점 까다로워지고 있다. ITO의 사용량을 절감하면서 동시에 우수한 투과도와 전기전도도를 얻기 위해 산화물/금속/산화물 구조와 같이 금속층을 투명 전도막 재료 사이에 삽입한 다층구조의 투명 전도성 필름에 대한 연구가 활발히 진행되고 있다. 산화물/금속/산화물 구조의 다층 박막은 기판상에 Antireflection 코팅으로 사용되어 왔으나 최근 투명 전극 분야에 응용되고 있다. 본 연구에서는 최적의 ZTO/Ag/ZTO 다층박막에 100, 200,
$300^{\circ}C$ 열처리와 200W-300, 500, 700 eV 전자빔 조사를 실시하여 특성을 비교하여 보았다. 열처리는$300^{\circ}C$ , 전자빔조사는 200W-700 eV 일 때 가장 좋은 효과가 나타났다. 가장 좋은 두 조건을 비교했을 때 전자빔 조사의 경우 비저항$7.25{\times}10^{-5}{\Omega}cm$ , 투과도 84.2%, Figure of Merit$2.8{\times}10^{-2}[{\Omega}-1]$ 로 열처리에 비해 좋은 특성을 나타냄을 알수 있었다. -
용액 공정을 이용한 Resistive random access memory (ReRAM)은 간단한 공정 과정, 대면적화, 저렴한 가격 등의 장점으로 인해 큰 관심을 받고 있으며, HfOx, TiOx, AlOx 등의 산화물이 ReRAM 절연 막으로 주로 연구되고 있다. 더 나아가 최근에는 organic 물질을 메모리 소자로 사용한 연구가 보고되고 있다. 이는 경제적이며, wearable 또는 flexible system에 적용이 용이하다. 그럼에도 불구하고, organic 물질을 갖는 메모리 소자는 기존의 산화물 소자에 비해 열에 취약하며 전기적인 특성과 신뢰성이 우수하지 못하다는 단점을 가지고 있다. 이를 위한 방안으로 본 연구에서는 AlOx - polymethylmethacrylate (PMMA) blended thin film ReRAM을 제안하였다. 이는 organic물질의 전기적 특성을 개선시킬 뿐 아니라, inorganic 물질을 wearable 소자에 적용했을 때 발생하는 crack과 같은 기계적 물리적 결함을 해결할 수 있는 새로운 방법이다. 먼저, P-type Si 위에 습식산화를 통하여 SiO2 300 nm 성장시킨 기판을 사용하여 electron beam evaporation으로 10 nm의 Ti, 100 nm의 Pt 층을 차례로 증착하였다. 그리고 PMMA 용액과 AlOx 용액을 초음파를 이용하여 혼합한 뒤, 이 용액을 Pt 하부 전극 상에서 spin coating방법으로 1000 rpm 10초, 5000 rpm 30초의 조건으로 증착하였다. Solvent 및 불순물 제거를 위하여 150, 180,
$210^{\circ}C$ 의 온도로 30 분 동안 열처리를 진행하였고, shadow mask를 이용하여 상부 전극인 Ti를 sputtering 방식으로 100 nm 증착하였다. 150, 180,$210^{\circ}C$ 로 각각 열처리한 AlOx - PMMA blended ReRAM의 전기적 특성은 HP 4156B semiconductor parameter analyzer를 이용하여 측정하였다. 측정 결과 제작된 소자 전부에서 2 V이하의 낮은 동작전압, 안정된 DC endurance (>150cycles), 102 이상의 높은 on/off ratio를 확인하였고, 그 중$180^{\circ}C$ 에서 열처리한 ReRAM은 더 높은 on/off ratio를 갖는 것을 확인하였다. 결론적으로 baking 온도를 최적화하였으며 AlOx - PMMA blended film ReRAM의 우수한 메모리 특성을 확인하였다. AlOx-PMMA blended film ReRAM은 organic과 inorganic의 장점을 갖는 wearable 및 system용 비휘발성 메모리소자에 적용이 가능한 경제적인 기술로 판단된다. -
Sputtering is one of the most popular physical deposition methods due to their versatility and reproducibility. Synthesis of Cr thin films by DC magnetron sputtering using glancing angle deposition (GLAD) has been reported. Chromium thin films have been prepared at two different working pressure(
$2.0{\times}10-2$ , 30,$3.3{\times}10-3torr$ ) on Si-wafer substrate using magnetron sputtering with glancing angle deposition (GLAD) technique. The thickness of Cr thin films on the substrate was adjusted about 1 mm. The electrical property was measured by four-point probe method. For the measurement of density in the films, an X-ray reflectivity (XRR) was carried out. The sheet resistance and column angle increased with the increase of glancing angle. However, nanohardness and density of Cr thin films decreased as the glancing angle increased. The measured density for the Cr thin films decreased from 6.1 to 3.8 g/cc as the glancing angle increased from$0^{\circ}$ to$90^{\circ}$ degree. The low density of Cr thin films is resulted from the isolated columnar structure of samples. The evolution of the isolated columnar structure was enhanced at the conditions of low sputter pressure and high glancing angle. This GLAD technique can be potentially applied to the synthesis of thin films requiring porous and uniform coating such as thin film catalysts or gas sensors. -
Transparent conducting oxides (TCOs)는 높은 투과율과 낮은 전기전도도를 갖고 있어 광다이오드, 태양전지 등 광소자에 적용하기 위해 많은 연구가 진행되어 왔다. 특히 Indium oxide 계열의 박막은 TCO 물질 중 하나로서 3.6 eV 의 wide bandgap을 가지고 있고, 높은 투과율과 낮은 전기 전도도 (<
$10-3{\Omega}cm$ )를 보여 다양한 응용이 가능해 오랫동안 연구 되어 지고 있다. 게다가 Indium oxide 계열의 박막은 낮은 가격과 화학적 안정성, 공정과정의 편의성 등 다양한 이점을 가지고 있어서 현재는 더 낮은 가격으로 생성해 더 높은 효율을 만드는데 관심이 집중되고 있다. 이러한 박막은 태양광 흡수층에서 생성되는 캐리어의 이동 및 외부 전극과의 접촉에서 발생하는 손실을 줄이기 위한 전극용 소재로 연구되어지고 있다. 본 연구에서는 Indium Molybdenum Oxide 박막을 Indium oxide와 Molybdenum 타겟을 이용하여 co-sputtering 방법으로 증착하였다. Indium molybdenum oxide 박막은 일정한 Mo 도핑농도와 일정한 Ar 개스 분압에서 다양한 기판온도 변화를 통해 증착하였다. 제작된 Indium molybdenum oxide 박막은 Hall Effect Measurement, Ultraviolet-Visible spectroscopy 및 X-Ray Diffraction (XRD) 등을 분석해 기판의 온도변화에 따른 전기비저항 및 광 투과도의 특성변화를 조사하였다. -
최근 학계나 산업계에서 투명 전자 소자에 대하여 활발한 연구가 진행되면서, 투명 전 도성 산화물(TCO: transparent conductive oxide)에 대한 관심이 높아지고 있다. 대표적인 TCO 물질인 Indium Tin Oxide (ITO)는 가시 광 영역에서의 높은 투과 및 높은 도전성을 가져 전압을 인가하면 발열이 가능하므로 이를 투명 면상 발열체에 적용시키는 연구가 활발히 진행되고 있다. 하지만, ITO는 발열 테스트 결과 온도가 상승함에 따라 발열이 일부분에 집중되는 현상이 있으며, 전도성을 높이기 위하여 추가공정이 필요하다. 또한, 글라스의 곡면 부분에서 ITO를 사용하면 유연성이 부족하므로 크랙이 발생한다는 단점이 있다. 따라서, 최근 Silver nanowire (AgNW), Single-walled Carbon nanotube (SWCNT), ITO를 기반으로 한 AgNW에 ITO를 증착 하거나 SWCNT를 코팅하여 우수한 전기적, 광학적 특성을 지닌 하이브리드 전극이 투명 면상 발열체 재료로서 사용되고 있다. 하지만 대체된 재료들도 다양한 문제점을 가지고 있다. 예를 들어 고온에서 발열을 유지하지 못하고 끊어지거나 가시광영역의 투과율이 낮은 점 등이 있다. 이런 다양한 문제점들을 보완 할 수 있는 새로운 투명 면상 발열체에 적용한 연구가 요구되고 있다. 본 연구에서는 GZO/Ag/GZO 하이브리드 구조의 투명 면상 발열체를 제작하여 전기적, 광학적 특성을 비교하고 발열량, 온도 균일 성, 발열 유지 안정도를 확인하였다. 본 연구에서는
$50{\times}50mm$ 크기의 Non-alkali glass (삼성코닝 E2000) 기판 상에 DC마그네트론 스퍼터링 공정을 이용하여 상온에서 GZO, Ag, GZO 박막을 연속적으로 증착 하여 다층구조의 하이브리드형 투명 면상 발열체를 제조하였다. 박막 증착 파워는 DC (Ag) power 50 W, RF (GZO) power 200 W로 하였으며 GZO박막두께는 45 nm로 고정 시키고 Ag박막 두께는 5~20 nm로 변화를 주었다. 증착원은 3인치 GZO 세라믹 타깃 (2.27 wt. % Ga2O3) 과 Ag 금속 타깃 (순도 99.99%)을 사용하였으며, Ar을 40 sccm 주입 후 Working pressure는 고 순도 Ar을 사용하여 1.0 Pa로 고정하며 10분간 Pre-sputtering을하고 증착을 진행하였다. 앞선 실험을 통해 증착한 박막의 전기적, 광학적 특성은 각각 Hall-effect measurements system (ECOPIA, HMS3000), UV-Vis spectrophotometer (UV-1800, Shimadzu)를 사용해 측정 되었으며, 하이브리드 표면의 구조 및 형상은 FESEM으로 관찰하였다. 또한 표면온도 측정기infrared camera (IR camera)를 이용하여 4~12 V/cm의 전압을 인가 시 시간에 따른 투명 면상 발열체의 표면 온도변화를 관찰하였다. -
RF magnetron sputtering법을 이용하여 IGZO박막을 RF power 100W로 일정하게 유지시켜, 열처리 변화에 따른 구조적, 전기적, 광학적 특성 분석을 연구하였다. IGZO 타겟은
$In_2$ $O_3$ ,$Ga_2$ $O_3$ , ZnO 분말을 각각 1:1:2 mol% 조성비로 혼합하여 소결한 타겟을 사용하였고,$20mm{\times}20mm$ XG glass 기판위에 IGZO박막을 증착하였다. sputtering의 조건은 base pressure$2.0{\times}$ 10^-6Torr, working pressure$2.0{\times}$ 10^-2Torr, RF power 100 W, 증착온도는 실온으로 고정, 증착된 박막은 Annealing장비로$500^{\circ}C$ ,$700^{\circ}C$ ,$800^{\circ}C$ 로 열처리를 하였다. XRD 분석 결과 열처리$700^{\circ}C$ 부터 2theta=31.4도에서 peak intensity가 증가하며 결정화가 진행되는 것을 확인하였다. AFM분석 결과 열처리$700^{\circ}C$ 에서 최소 0.31 Roughness를 갖는 것을 확인하였고, Hall 측정 결과 열처리$700^{\circ}C$ 에서 carrier concentration$4.91{\times}$ 10^19cm^-3, Mobility 14.4cm^2/V-s, Resistivity$8.7{\times}$ 10^-5${\Omega}-cm$ 로 확인하였으며, UV-Visible-NIR을 이용하여 열처리 한 모든 IGZO박막은 가시광선 영역에서 평균 85%이상의 광 투과성을 확인하였다. -
최근에 적색 발광체를 개발하고자 무기물 모체에 다양한 활성제 이온을 주입하는 연구가 상당한 관심을 끌고 있다. 본 연구에서는 발광 효율이 높은 적색 형광체 박막을 제조하고자 활성제 이온
$Eu^{3+}$ 가 도핑된$CaMoO_4:Eu^{3+}$ 를 라디오파 마그네트론 스퍼터링을 사용하여 증착하였다. 두 종류의 박막을 성장하였는데, 한 종류는 증착 온도 ($100-400^{\circ}C$ )를 달리하여 성장한 후$570^{\circ}C$ 에서 열처리를 실시하였고, 다른 한 종류는 동일한 온도$300^{\circ}C$ 에서 증착한 후에 열처리 온도(420, 470, 520,$570^{\circ}C$ )를 변화시켜 제조하였다. 증착 온도와 열처리 온도에 따른 적색 형광체 박막의 흡광과 발광 특성, 결정 구조, 입자의 크기와 표면 형상, 밴드갭 에너지의 크기를 조사하였다. 열처리 온도에 따른 적색 형광체 박막의 경우에, 파장 308 nm로 여기 시켰을 때 발광 세기가 가장 강한 616 nm의 주 피크를 방출하는 적색 스펙트럼이 나타났으며, 열처리 온도가 증가함에 따라 적색 발광 스펙트럼의 세기는 증가하는 경향을 보였다. 증착 온도 변화에 따른 형광체 박막의 경우에, 272 nm로 여기 시켰을 때 614 nm의 적색 발광 피크를 확인 할 수 있었다. 모든 박막의 결정 구조는 정방정계이었다. -
본 연구에서는 라디오파 마그네트론 스퍼터링 방법을 사용하여 증착 온도를 변화시켜면서 Eu 이온이 도핑된 MgMoO4 적색 형광체 박막을 사파이어 기판 상부에 성장하였다. 타겟은 고상반응법을 사용하여 직접 제작하였다. 형광체 박막의 구조, 표면, 광학적 특성은 X-선 회절장치, 주사전자현미경, 투과도 및 광여기발광 측정장치를 사용하여 측정하였다, 증착 온도는 100, 200, 300,
$400^{\circ}C$ 이었으며, 증착 후$870^{\circ}C$ 에서 열처리 공정을 실행하였다. 이와 더불어,$400^{\circ}C$ 에서 증착한 박막을 다양한 온도$770-920^{\circ}C$ 에서 열처리를 수행하여 각각의 특성을 분석하였다. 증착 온도$200^{\circ}C$ 에서 성장한 박막의 경우에 614 nm에 피크를 갖는 주 적색 발광 피크가 관측되었으며, 열처리 온도를 달리한 박막의 경우에는$920^{\circ}C$ 에서 가장 강한 발광 피크가 나타났다. UV-VIS 분광광도계를 사용하여 박막의 투과도와 흡광도를 측정하였으며, Tauc의 모델을 사용하여 밴드갭 에너지를 계산하였다. 증착 온도 변화에 따라 성장된 박막의 투과도는 평균 82% 이상 이었으며 밴드갭 에너지는 4.1 eV이었다. 박막의 결정 구조는 단사정계임을 확인하였다. 특히, 결정 입자, 발광 피크의 세기와 투과도의 상관 관계를 조사하였다. -
Tin Oxide (SnO2) has been widely investigated as a transparent conducting oxide (TCO) and can be used in optoelectronic devices such as solar cell and flat-panel displays. In addition, it would be applicable to fabricating the wide bandgap semiconductor because of its bandgap of 3.6 eV. There have been concentrated on the improvement of optical properties, such as conductivity and transparency, by doping Indium Oxide and Gallium Oxide. Recently, with development of fabrication techniques, high-qulaity SnO2 epitaxial thin films have been studied and received much attention to produce the electronic devices such as sensor and light-emitting diode. In this study, powder sputtering method was employed to deposit epitaxial thin films on sapphire (0001) substrates. A commercial SnO2 powder was sputtered. The samples were prepared with varying the growth parameters such as gas environment and film thickness. Then, the samples were characterized by using XRD, SEM, AFM, and Raman spectroscopy measurements. The details of physical properties of epitaxial SnO2 thin films will be presented.
-
For present investigation Fe/MgO/Fe/Co multilayer stack is grown on Si substrate using e-beam evaporation in ultrahigh vacuum. This stack is irradiated perpendicularly by 120 MeV
$Ag^{8+}$ at different fluences ranging from$1{\times}10^{11}$ to$1{\times}10^{13}ions/cm^2$ in high vacuum using 15UD Pelletron Accelerator at Inter University Accelerator Centre, New Delhi. Magnetic measurements carried out on pre and post irradiated stacks show significant changes in the shape of perpendicular hysteresis which is relevant with previous observation of re-orientation of magnetic moment along the direction of ion trajectory. However increase in plane squareness may be due to the modification of interface structure of stacks. X-ray reflectivity measurements show onset of interface roughness and interface mixing. X-ray diffraction measurements carried out using synchrotron radiation shows amorphous nature of MgO and Co layer in the stack. Peak corresponding body centered Fe [JCPDS-06-0696] is observed in X-ray diffraction pattern of pre and post irradiated stacks. Peak broadening shows granular nature of Fe layer. Estimated crystallite size is$22{\pm}1nm$ for pre-irradiated stack. Crystallite size first increases with irradiation then decreases. Structural quality of these stacks was further studied using transmission electron microscopic measurements. Thickness from these measurements are 54, 36, 23, 58 and 3 nm respectively for MgO, Fe, MgO, Fe+Co and Au layers in the stack. These measurements envisage poor crystallinity of different layers. Interfaces are not clear which indicate mixing at interface. With increase fluence mixing and diffusion was increased in the stack. X-ray absorption spectroscopic measurements carried out on these stacks show changes of Fe valence state after irradiation along with change of O(2p)-metal (3d) hybridized state. Valence state change predicts oxide formation at interface which causes enhanced in-plane magnetization. -
Park, Da-Hee;Kwon, Kyoung-Woo;Park, Chan-Rok;Choi, Yoo-Jin;Bae, Seung-Muk;Baek, Senug-Hyub;Kim, Jin-Sang;Hwang, Jin-Ha 188.2
The presence of the conduction interface in epitaxial$LaAlO_3/SrTiO_3$ thin films has opened up challenging applications which can be expanded to next-generation nano-electronics. The metallic conduction path is associated with two adjacent insulating materials. Such device structure is applicable to frequency-dependent impedance spectroscopy. Impedance spectroscopy allows for simultaneous measurement of resistivity and dielectric constants, systematic identification of the underlying electrical origins, and the estimation of the electrical homogeneity in the corresponding electrical origins. Such unique capability is combined with the intentional control on the interface composition composed of$SrTiO_3$ and$CaTiO_3$ , which can be denoted by$SrxCa1-_xTiO_3$ . The underlying$Sr_xCa1-_xTiO_3$ interface was deposited using pulsed-laser deposition, followed by the epitaxial$LaAlO_3$ thin films. The platinum electrodes were constructed using metal shadow masks, in order to accommodate 2-point electrode configuration. Impedance spectroscopy was performed as the function of the relative ratio of Sr to Ca. The respective impedance spectra were analyzed in terms of the equivalent circuit models. Furthermore, the impedance spectra were monitored as a function of temperature. The ac-based characterization in the 2-dimensional conduction path supplements the dc-based electrical analysis. The artificial manipulation of the interface composition will be discussed towards the electrical application of 2-dimensional materials to the semiconductor devices in replacement for the current Si-based devices. -
Graphite has excellent mechanical and physical properties. It is known to advanced materials and is used to materials for molds, thermal treatment of furnace, sinter of diamond and cemented carbide tool etc. SiC materials are coated on the surface and holes of graphite to protect particles emitted from porous graphite with 5%~20% porosity and make graphite hard surface. SiC materials have high durability and thermal stability. Thermal CVD method is widely used to manufacture SiC thin films but high cost of machine investment and production are required. SiC thin films manufactured by Si reaction liquid and vapore with carbon are effective because of low cost of machine and production. SiC thin films made by vapor silicon infiltration into porous graphite can be obtained for shorter time than liquid silicon. Si materials are evaporated to the graphite surface in about
$10^{-2}$ torr and high temperature. Si materials are melted in$1410^{\circ}C$ . Si vapor is infiltrated into the surface hole of porous graphite and$Si_xC_y$ compound is made.$Si_x$ component is proportional to the Si vapor concentration. Si diffusion coefficient is estimated from quadratic equation obtained by Fick's second law. The steady stae is assumed. Si concentration variation for the depth from graphite surface is fitted to quadratic equation. Diffusion coefficient of Si vapor is estimated at about$10^{-8}cm^2s^{-1}$ . -
최근에 최적의 모체 결정과 활성제 이온을 선택하여 우수한 발광과 흡광 특성을 갖는 산화물 형광체를 합성하여 디스플레이, 고체 레이저, 백색 발광 소자를 제작하는데 관심이 고조되고 있다. 본 연구에서는 열 및 화학적으로 안정한
$Gd_2MoO_6$ 를 모체 결정으로 선택하고$Eu^{3+}$ ,$Sm^{3+}$ 이온을 활성제 이온으로 각각 도핑하여 다양한 종류의 색을 구현하는 새로운 종류의 형광체를 제조하고자 한다. 비교적 간단한 장비로 구성되고 볼밀 작업을 통하여 쉽게 초기 물질을 혼합 분쇄하고 소결할 수 있는 고상반응법을 사용하여 합성하였다. 특히, 모체 결정에 주입되는 활성제 이온을 둘러싸고 있는 국소적인 환경이 반전 대칭에서 벗어나는 정도를 파악하여 활성제 이온의 발광 파장의 세기가 최대가 되는 최적의 조건을 규명하고자 한다.$Eu^{3+}$ 이온이 도핑된$Gd_2MoO_6$ 형광체의 발광 스펙트럼은$Eu^{3+}$ 이온의 함량에 관계없이 모든 시료에서 전형적인$Eu^{3+}$ 이온의$^5D_0-^7F_j$ (j=1-4) 전이에 의한 발광 스펙트럼을 나타내었고, 가장 강한 적색 발광 파장은 611 nm에서 관측되었다.$Sm^{3+}$ 이온이 도핑된$Gd_2MoO_6$ 형광체의 경우에,$Sm^{3+}$ 이온의 함량에 관계없이 모든 시료에서$Sm^{3+}$ 이온의$^4G_{5/2}-^6H_j$ (j=5/2, 7/2, 9/2) 전이에 의한 발광 스펙트럼을 나타내었고, 가장 강한 발광 파장은 616 nm에서 관측되었다. 이외에도, 결정 입자와 발광 세기의 상관 관계를 조사하였다. -
최근에 백색 발광다이오드를 개발하기 위한 고효율의 형광체 개발에 많은 연구가 집중되고 있다. 본 연구에서는
$La_2WO_6$ 모체 결정에 다양한 활성제 이온인$Eu^{3+}$ ,$Dy^{3+}$ ,$Sm^{3+}$ ,$Tb^{3+}$ 를 각각 도핑하여 다양한 발광 파장을 갖는 고효율의 형광체를 제조하였다. 합성한 형광체 분말은 초기물질$La_2O_3$ ,$WO_3$ , 희토류 원소 ($Eu_2O_3$ ,$Dy_2O_3$ ,$Sm_2O_3$ ,$Tb_4O_7$ )를 각각 화학양론적으로 준비하여 고상반응법으로 제조하였으며, 이때 하소 공정은$400^{\circ}C$ 에서 3시간, 소결 공정은$1050^{\circ}C$ 에서 5시간 유지하여 합성하였다.$La_2WO_6:Eu^{3+}$ 형광체 분말의 경우에 320, 395, 465 nm에서 강한 흡광 파장이 발생하였으며, 파장 320 nm로 여기 시켰을 때 최대 발광 세기는 618 nm에서 관측되었다.$Sm^{3+}$ 을 도핑한 형광체의 흡광 스펙트럼은 310, 375, 406, 475 nm에서 발생하였으며, 310 nm로 여기 시켰을 때 602 nm의 강한 주황색 발광 신호가 나타났다.$Dy^{3+}$ 가 도핑된$La_2WO_6$ 형광체는 575 nm에 강한 발광 피크를 갖는 황색을 나타내었으며, 흡광 파장은 313 nm 이었다.$Tb^{3+}$ 를 도핑한 형광체의 주 흡광 스펙트럼은 316 nm에서 발생하였고, 발광 스펙트럼은 545 nm에 피크를 갖는 녹색 발광 신호가 나타났다. 활성제이온의 종류에 따른 형광체의 흡광과 발광, 결정 구조의 특성을 체계적으로 제시하고자 한다. -
Details of carrier dynamics in self-assembled quantum dots (QDs) with a particular attention to nonradiative processes are not only interesting for fundamental physics, but it is also relevant to performance of optoelectronic devices and the exploitation of nanocrystals in practical applications. In general, the possible processes in such systems can be considered as radiative relaxation, carrier transfer between dots of different dimensions, Auger nonradiactive scattering, thermal escape from the dot, and trapping in surface and/or defects states. Authors of recent studies have proposed a mechanism for the carrier dynamics of time-resolved photoluminescence CdTe (a type II-VI QDs) systems. This mechanism involves the activation of phonons mediated by electron-phonon interactions. Confinement of both electrons and holes is strongly dependent on the thermal escape process, which can include multi-longitudinal optical phonon absorption resulting from carriers trapped in QD surface defects. Furthermore, the discrete quantized energies in the QD density of states (1S, 2S, 1P, etc.) arise mainly from
${\delta}$ -functions in the QDs, which are related to different orbitals. Multiple discrete transitions between well separated energy states may play a critical role in carrier dynamics at low temperature when the thermal escape processes is not available. The decay time in QD structures slightly increases with temperature due to the redistribution of the QDs into discrete levels. Among II-VI QDs, wide-gap CdZnTe QD structures characterized by large excitonic binding energies are of great interest because of their potential use in optoelectronic devices that operate in the green spectral range. Furthermore, CdZnTe layers have emerged as excellent candidates for possible fabrication of ferroelectric non-volatile flash memory. In this study, we investigated the optical properties of CdZnTe/ZnTe QDs on Si substrate grown using molecular beam epitaxy. Time-resolved and temperature-dependent PL measurements were carried out in order to investigate the temperature-dependent carrier dynamics and the activation energy of CdZnTe/ZnTe QDs on Si substrate. -
We demonstrated the nonvolatile memory functionality of nano-crystalline silicon (nc-Si) and InGaZnOxide (IGZO) thin film transistors (TFTs) using mobile protons that are generated by very short time hydrogen neutral beam (H-NB) treatment in gate insulator (SiO2). The whole memory fabrication process kept under
$50^{\circ}C$ (except SiO2 deposition process;$300^{\circ}C$ ). These devices exhibited reproducible hysteresis, reversible switching, and nonvolatile memory behaviors in comparison with those of the conventional FET devices. We also executed hydrogen treatment in order to figure out the difference of mobile proton generation between PECVD and H-NB CVD that we modified. Our study will further provide a vision of creating memory functionality and incorporating proton-based storage elements onto a probability of next generation flexible memorable electronics such as low power consumption flexible display panel. -
본 논문에서는 사파이어 기판 표면에 레이저 처리를 통해 격자 구조(레이저 격자 구조)를 제작하고 InGaN/GaN 발광다이오드(Light-Emitting Diodes, LED) 박막을 성장 한 시료에서 Bowing 특성 변화를 논의한다. 그리고 Bowing 정도에 따른 InGaN/GaN LED의 광학 및 전기적 특성을 Photoluminescence (PL)와 Electroluminescence (EL) Mapping 법을 이용하여 상호 비교 분석하였다. 2-인치 사파이어 기판 상에 레이저 격자 구조의 간격은 1 mm (GS1-LED), 2 mm (GS2-LED), 3 mm (GS3-LED) 로 제작하였으며, 격자 구조가 없는 LED를 기준 시료(C-LED)로 사용하였다. GS1-LED, GS2-LED, GS3-LED의 Bowing 정도는 C-LED 대비 각각 8%, 7.6%, 6.4% 감소하였다. PL Mapping 결과, GS-LED의 발광 파장의 분포 균일도가 C-LED 보다 개선되는 것을 확인하였고, 파장이 C-LED 대비 단파장으로 이동하였다. 또한, GS-LED시료의 PL 강도는 C-LED보다 증가하였고, 특히 GS2-LED의 PL 강도는 C-LED 대비 6.9% 증가 하였다. EL mapping 결과, GS-LED 발광 파장의 분포 균일도는 PL 결과와 유사하게 측정되었으며, 2인치 기판 전체 면적에 대한 GS-LED의 주요 동작전압 및 출력 전력 수율이 C-LED대비 현저히 개선되었다. 사파이어 기판 표면에 제작한 레이저 격자 구조에 따른 InGaN/GaN LED의 광학적, 전기적 특성을 Bowing의 개선과 응력 완화 현상으로 논의 할 예정이다.
-
실리콘 (Si) 기판 위에 고품질의 갈륨질화물 (GaN) 박막을 성장시키기 위한 노력이 계속되고 있다. 실리콘 기판은 사파이어 기판 보다 경제적인 측면에서 유리하고, 실리콘 직접화 공정에 GaN 소자를 쉽게 접목 가능하다는 장점이 있다. GaN 박막은 2차원 전자 가스형성을 통한 고속소자, 직접 천이형 밴드갭을 이용한 발광소자 및 고전압 소자로써 활용 가능한 물질이다. 종래에는 Si(100) 및 Si(111) 기판 위에 GaN 박막 성장에 대한 연구가 주로 진행되었다. 하지만 대칭성과 격자 불일치도 등 결정학적 특성을 고려할 때 Si(100) 기판 위에 고품질의 GaN 박막을 성장시키는 것은 쉽지 않다. Si(111) 기판은 실리콘 소자 직접화 공정에 적합하지 못한 단점을 가지고 있다. 반면, 최근 Si(110) 기판 위에서 비등방적 변형 제어를 통한 고품질 GaN 박막 성장이 보고 되어 실리콘 집적 소자와 결합한 고전압 소자 및 고속소자 구현에 관한 연구가 진행되고 있다. 본 연구에서는 투과전자현미경 연구를 바탕으로 Si(110) 기판 위에 성장된 GaN의 미세구조에 관한 연구를 소개한다. 열팽창계수의 차이에 의한 GaN 박막 내 결함 생성을 줄이기 위하여 AlN 완충층이 사용되었다. GaN 박막을 암모니아 (
$NH_3$ ) 유량이 다른 조건에서 성장시킴으로써 GaN 박막 미세구조의 암모니아 유량 의존성에 관한 연구를 진행하였다. GaN 박막에서 투과전자현미경 연구와 X-ray 회절 연구를 통하여 결함 거동 및 결정성을 확인하였다.$NH_3$ 유랑이 증가함에 따라 GaN의 성장 거동이 3차원에서 2차원으로 변화됨을 관찰하였다. 또한, 전위밀도의 증가도 확인되었다.$NH_3$ 유량이 낮은 경우 GaN 전위는 AlN와 GaN 경계에 주로 위치하고 GaN 표면 근처에는 전위밀도가 감소하였으나,$NH_3$ 유량이 높을 경우 GaN 박막 표면까지 전위가 관통됨을 확인하였다. -
최근 3차원 반도체의 물질적인 한계를 극복하기 위해 2차원 전이금속 칼코게나이드(TMD)에 대한 연구가 활발히 진행되고 있다. 하지만 TMD 물질의 도핑 방법에 대한 수많은 연구에도 불구하고 대부분이 n채널 물질인 MoS2에 대한 것에 국한되어 있다. 게다가 이전의 TMD 도핑 기술 연구 결과는 채널이 도체화 될 정도의 매우 높은 농도의 도핑 현상만을 보여주었다. 이 연구에서 우리는 WSe2로 만든 p형 채널 트랜지스터에서 Octaecyltrichlorosilane(OTS)층의 농도 조절로 제어가 가능한 약한 농도의 p형 도핑기술을 보여준다. 이 p형 도핑 현상은 OTS의 메틸기(-CH3)그룹에 의한 양성 쌍극자모멘트가 WSe2내의 전자 농도를 낮추는데서 기인한다. 제어가 가능한 p형 도핑은
$2.1{\times}10^{11}cm^{-2}$ 사이에서$5.2{\times}10^{11}cm^{-2}$ 로 degenerate되지 않은 정도로 WSe2 기반의 광, 전기적인 소자에서 적절한 농도로 최적화 될 수 있다. (도핑 정도에 따른 문턱전압 상승, 전류 on/off율 상승, 전계효과 이동도 상승, 광응답성 하락, 광검출성 하락) 또한 OTS에서 비롯한 p도핑 효과는 대기중에서 오랜시간이 지나도 작은 성능 변화만을 보여주며(60시간 후 18~34% 문턱전압 감소변화량)$120^{\circ}C$ 의 열처리를 통하여 저하된 성능이 거의 완벽하게 회복된다. 이 연구는 Raman 분광법과 전기적, 광학적 측정을 통하여 분석되었으며 OTS 도핑현상이 WSe2 박막의 두께와 무관함 또한 확인했다. -
Transition metal dichalcogenide (TMD) with layered structure, has recently been considered as promising candidate for next-generation flexible electronic and optoelectronic devices because of its superior electrical, optical, and mechanical properties.[1] Scalability of thickness down to a monolayer and van der Waals expitaxial structure without surface dangling bonds (consequently, native oxides) make TMD-based thin film transistors (TFTs) that are immune to the short channel effect (SCE) and provide very high field effect mobility (
${\sim}200cm^2/V-sec$ that is comparable to the universal mobility of Si), respectively.[2] In addition, an excellent photo-detector with a wide spectral range from ultraviolet (UV) to close infrared (IR) is achievable with using$WSe_2$ , since its energy bandgap varies between 1.2 eV (bulk) and 1.8 eV (monolayer), depending on layer thickness.[3] However, one of the critical issues that hinders the successful integration of$WSe_2$ electronic and optoelectronic devices is the lack of a reliable and controllable doping method. Such a component is essential for inducing a shift in the Fermi level, which subsequently enables wide modulations of its electrical and optical properties. In this work, we demonstrate n-doping method for$WSe_2$ on poly-4-vinylphenol and poly (melamine-co-formaldehyde) (PVP/PMF) insulating layer and adjust the doping level of$WSe_2$ by controlling concentration of PMF in the PVP/PMF layer. We investigated the doping of$WSe_2$ by PVP/PMF layer in terms of electronic and optoelectronic devices using Raman spectroscopy, electrical measurements, and optical measurements. -
Lee, Ha-Min;Jo, Byeong-Gu;Choe, Il-Gyu;Park, Dong-U;Lee, Gwan-Jae;Lee, Cheol-Ro;Kim, Jin-Su;Han, Won-Seok;Im, Jae-Yeong 194.2
본 논문에서는 InP 기판에 자발형성법 (Self-assembled Mode)으로 성장한 InAs/InAlGaAs 양자점(Quantum Dots)의 외부 열처리 온도에 따른 광학적 특성을 논의한다. 분자선증착기 (Molecular Beam Epitaxy, VH80MBE)로 5주기 적층구조를 갖는 InAs/InAlGaAs 양자점 시료 (기준시료)를 성장 후 온도 의존성 및 여기광세기 의존성 포토루미네슨스 (photoluminescence, PL) 분광법으로 기본특성을 평가하였다. 양자점 시료를$500{\sim}800^{\circ}C$ 에서 열처리를 수행하고 광학적 특성을 열처리 전과 비교하여 분석하였다.$550^{\circ}C$ 에서 열처리한 InAs/InAlGaAs 양자점 시료의 저온 (11K) PL 파장은 1465 nm를 보였으며, 이는 열처리를 하지 않은 기준시료의 1452 nm 보다 13 nm 장파장으로 이동하였다. 열처리 온도가$700^{\circ}C$ 이상인 경우, 양자점 PL 파장이 다시 단파장으로 이동하는 현상을 보였지만 여전히 열처리하지 않은 기준시료보다 장파장을 나타내었다.$700^{\circ}C$ 에서 열처리한 양자점 시료의 저온 PL 광세기는 기준시료보다 15.5배 더 크게 나타났으며, 주변 온도가 증가할수록 더디게 감소하는 것을 확인할 수 있었다. 온도의존성 PL로부터 구한 활성화에너지 (Activation Energy)는$700^{\circ}C$ 열처리 온도의 경우 175.9 meV를 나타내었다. InAs/InAlGaAs 양자점 시료의 열처리 온도에 따른 광특성 변화를 InAs 양자점과 InAlGaAs 장벽층 계면에서 III족 원소인 In, Al 및 Ga의 상호확산과 결함이 완화되는 현상으로 해석할 수 있다. -
전 세계적으로 환경에 대한 인식이 증대됨에 따라 친환경적인 소재의 개발 연구가 필요한 상황이고, 대표적으로 셀룰로오스를 이용한 종이기판을 활용하는 방안이 새로운 연구화두로 떠오르고 있다. 종이를 기본으로 한 전기전자 회로구성의 가능성을 보기위해 종이 위해 전자회로 구성요소를 형성시켜야 할 필요가 있다. 이를 위해 본 연구팀은 상용 복사 용지위에 마이크로 단위의 패터닝을 구현하는 연구를 진행하였다. 마이크로 패터닝 구현 방법은 다음과 3가지로 요약할 수 있다;1. 리소그래피 공정, 2. 메탈마크스를 사용한 물질 증착, 3. 잉크젯 프린팅. 리소그래피 공정을 위해서 발수처리를 한 종이 위에 실리콘기반 공정과 마찬가지로 레지스트를 코팅하고 노광과 디벨롭, 증착과 리프트오프 과정을 거쳤다. 공정 결과 패터닝이 어느 정도 잘 되는 것을 확인할 수 있었다. 두번째로 상용 메탈마스크를 제작하여 종이 위에 그대로 증착하는 방법을 사용하였다. 이 방법은 액상공정을 요구하지 않기 때문에 발수처리가 필요하지 않고 종이의 기본성질을 그대로 유지 할 수 있다는 장점이 있다. 마지막으로 잉크젯 프린팅 공정은 복사용지를 인쇄할 때 사용하는 간단한 상용프린터를 이용하였다. 이 방법은 앞의 두 공정보다는 다소 패턴 사이즈가 크다는 단점이 있지만 원하는 모양을 자유롭게 패터닝 할 수 있고 그만큼 대량 생산에 용이하다는 장점이 있다.
-
There are various issues fabricating the successful and efficient solar cell structures. One of the most important issues is band alignment technique. The solar cells make the carrier in their active region over the p-n junction. Then, electrons and holes diffuse by minority carrier diffusion length. After they reach the edge of solar cells, there exist large energy barrier unless the good electrode are chosen. Many various conductor with different work functions can be selected to solve this energy barrier problem to efficiently extract carriers. Tungsten oxide has large band gap known as approximately 3.4 eV, and usually this material shows n-type property with reported work function of 6.65 eV. They are extremely high work function and trap level by oxygen vacancy cause them to become the hole extraction layer for optical devices like solar cells. In this study, we deposited tungsten oxide thin films by sputtering technique with various sputtering conditions. Their electrical contact properties were characterized with transmission line model pattern. The structure of tungsten oxide thin films were measured by x-ray diffraction. With x-ray photoelectron spectroscopy, the content of oxygen was investigated, and their defect states were examined by spectroscopic ellipsometry, UV-Vis spectrophotometer, and photoluminescence measurements.
-
현재 화합물 반도체 나노구조는 적외선 검출기, 레이저, 발광 다이오드, 단전자 트랜지스터, 태양전지 등과 같은 고효율 광전자 소자에서의 응용을 위해 활발한 연구가 진행 되고 있다. 특히 양자점은 3차원으로 구속되어 있는 상태 밀도를 갖고 있어 레이저 응용 시 낮은 문턱 전류 밀도, 높은 이득, 높은 열적 안정성을 기대되고 있다. 하지만 양자점의 크기가 불규칙적이고 운반자 수집의 한계로 인하여 기대 이하의 온도 안정성을 갖고 있어 이를 극복하기 위해 양자점의 크기와 운반자 수집을 제어하기 위해 다양한 방법이 연구되고 있다. 본 연구에서는 분자 선속 에피 성장법(Molecular Beam Epitaxy; MBE)과 원자 층 교대 성장법(Atomic Layer Epitaxy; ALE)으로 크기가 다른 CdTe/ZnTe 이중 양자점을 ZnTe 장벽층의 두께에 변화하면서 성장 후 광학적 특성을 연구하였다. 저온 광루미네센스 측정(Photoluminescence; PL) 측정 결과 장벽층 두께가 작아질수록 작은 양자점의 광 루미네센스의 세기가 감소하면서 큰 양자점의 세기가 증가하는 것을 관찰할 수 있었는데, 이는 장벽층 두께가 작아질수록 작은 양자점의 운반자들이 큰 양자점으로 이동되는 양이 많아지기 때문이다. 또한 장벽층 두께가 작아질수록 큰 양자점의 반치폭(Full Width at Half Maximum; FWHM)이 단층 양자점의 반치폭 보다 감소하는 것을 관찰 할 수 있었는데 이는 작은 양자점과 결합된 큰 양자점이 작은 양자점의 strain을 받아 크기의 균일함이 증가했기 때문이다. 이와 같은 결과 두 양자점이 결합된 이중 양자점 구조가 단층 양자점의 한계인 운반자 수집과 크기의 균일함을 향상할 수 있는 좋은 구조임을 제시하고 있다.
-
ZnTe:O/CdS/ZnO intermediate band solar cells grown on ITO/glass substrate by pulsed laser depositionLow-cost, high efficiency solar cells are tremendous interests for the realization of a renewable and clean energy source. ZnTe based solar cells have a possibility of high efficiency with formation of an intermediated energy band structure by impurity doping. In this work, the ZnTe:O/CdS/ZnO structure was fabricated by pulsed laser deposition (PLD) technique. A pulsed (10 Hz) Nd:YAG laser operating at a wavelength of 266 nm was used to produce a plasma plume from an ablated a ZnTe target, whose density of laser energy was 4.5 J/cm2. The base pressure of the chamber was kept at a pressure of approximately
$4{\times}10-7Torr$ . ZnO thin film with thickness of 100 nm was grown on to ITO/glass, and then CdS and ZnTe:O thin film were grown on ZnO thin film. Thickness of CdS and ZnTe:O were 50 nm and 500 nm, respectively. During deposition of ZnTe:O films, O2 gas was introduced from 1 to 20 mTorr. For fabricating ZnTe:O/CdS/ZnO solar cells, Au metal was deposited on the ITO film and ZnTe:O by thermal evaporation method. From the fabricated ZnTe:O/CdS/ZnO solar cell, current-voltage characteristics was measured by using HP 4156-a semiconductor parameter analyzer. Finally, solar cell performance was measured using an Air Mass 1.5 Global (AM 1.5 G) solar simulator with an irradiation intensity of 100 mW cm-2. -
전이금속 칼코겐화합물(TMD)은 2차원 박막 물질로, 그래핀과 함께 차세대 사물인터넷에 적용할 수 있는 전자소자의 소재로 활용될 것으로 기대되고 있다. 특히 TMD는 그래핀과 다르게 1.2 eV 이상의 넓은 밴드갭을 지녀, 기존 실리콘 기반 반도체 소자를 대체할 차세대 물질로 각광받고 있다. TMD는 또한 실리콘 등의 3차원 반도체보다 광전효율이 뛰어나며, 이를 활용한 광전소자의 개발 및 연구가 활발히 진행되고 있다. 그러나 TMD는 그 두께가 나노미터 단위로 매우 얇아 광흡수율이 매우 떨어지는 단점이 있다. 우리는 이러한 TMD 기반 광전소자의 광흡수율을 향상시키기 위해 광전효율이 매우 뛰어난 페로브스카이트(Perovskite)를 TMD 채널 위에 덮음으로써, 이종접합 광전소자를 구현하였다. TMD 물질은 이황화 몰리브데넘(
$MoS_2$ )을 선택하였으며, 광흡수층으로 선택한 페로브스카이트는$MAPbI_3$ 을 스핀 코팅을 통해 TMD 채널 층에 접합하였다. 우리는 Photoluminescence 및 UV-Vis 측정을 통해 페로브스카이트 및 페로브스카이트/$MoS_2$ 층의 광특성을 측정하여 페로브스카이트에서 생성된 광캐리어가 확산되어$MoS_2$ 에 전달되는 것을 확인하였다. 우리는 추가로 4가지 서로 다른 파장대의 레이저(520, 655, 785, 850 nm)를 이용하여 페로브스카이트 광흡수층이 있을 때와 없을 때의$MoS_2$ 광검출기의 성능 변화를 관찰하였다. -
Charge trap flash (CTF) 메모리 소자는 기존의 플로팅 게이트를 사용한 플래시 메모리 소자에 비해 쓰고 지우는 속도가 빠르고, 소비 전력이 적으며, 쓰고 지우는 동작에 의한 전계 스트레스에 잘 견뎌내는 장점을 가지고 있다. 그러나 CTF 메모리 소자에서도 메모리 셀의 크기가 작아짐에 따라 셀 사이의 간섭 효과를 무시할 수 없다. 인접 셀 간의 간섭현상은 측정 셀의 문턱전압을 예측할 수 없게 변화시켜 소자 동작의 신뢰성을 낮추고 성능을 저하시킨다. 본 논문에서는 셀 사이의 간섭을 줄이고 소자의 성능을 향상시키기 위해 charge trap 층에 금속 공간층을 삽입한 CTF메모리 소자의 전기적인 특성에 대해 연구하였다. 금속 공간층을 갖는 CTF 메모리 소자는 기존 CTF 메모리 소자의 트랩층 양 측면에 절연막과 금속 공간층을 증착시켜 게이트가 트랩층을 감싸는 구조를 갖는다. 인접 셀 사이에 발생하는 간섭 현상과 전계 분포를 분석하였다. 프로그램 동작 시CTF 메모리 소자 내에 형성되는 전계의 분포와 크기를 계산함으로 금속 공간층이 인접한 셀에서 형성된 전계를 차폐시켜 셀 간 간섭 현상을 최소화하는 것을 확인하였다. 이러한 결과는 인접 셀 간의 간섭현상을 최소화하면서 소자 동작의 신뢰성이 향상된 대용량 메모리 소자를 제작하는데 도움을 줄 수 있다.
-
모바일 기기의 성장세로 인해 낸드 플래시 메모리에 대한 수요가 급격히 증가하면서 높은 집적도의 소자에 대한 요구가 커지고 있다. 그러나 소자의 크기가 작아지면서 비례 축소로 인한 게이트 누설 전류, 셀간 간섭, 단 채널 효과 등과 같은 문제들이 발생한다. 이에 따라 제한된 공간에서의 coupling ratio값이 증가해야 하는 문제가 주목 받으면서 얇은 절연층에 대한 많은 연구가 진행되고 있다. 본 연구에서는 절연층 구조를 비대칭으로 사용한 낸드 플래시 메모리의 누설전류의 변화와 coupling ratio값의 변화를 관찰하였다. 비대칭 절연층 구조를 가지는 낸드 플래시 메모리의 전기적 특성을 멀티 오리엔테이션 모델을 포함한 3차원 TCAD 시뮬레이션을 이용하여 계산하였다. 메모리 소자가 각 셀 간의 절연층을 가질 때 낮은 셀 간 간섭과 높은 coupling ratio 값을 가진다. 절연층의 구조 높이와 방향의 두께가 증가 할수록 게이트 누설 전류의 값이 크게 줄어들었다. 또한 비대칭 절연층 구조의 플래시 메모리에서 플로팅 게이트의 on-current 레벨과 전위 값이 기존의 플래시 메모리에 비해 크게 나타나는 시뮬레이션 결과값을 관찰하였다. 비대칭 절연층 구조를 가지는 플래시 메모리는 게이트 누설 전류에 영향을 미치는 절연층 주위의 전기장의 값이 기존 구조에 비해 약 30% 감소하였고 같은 프로그램 동작 전압에서 플로팅 게이트에 주입되는 전하의 양 또한 증가하였다. 이 연구 결과는 낸드 플래시 메모리 소자에서 게이트 누설 전류 문제를 감소시키고 프로그램 특성을 증진시키는데 도움이 된다.
-
복합 유무기 혼합물을 사용하여 제작한 유기 쌍안정 메모리 소자는 저전력 소비, 고밀도 저장성, 높은 기계적 유연성, 저렴한 가격, 간단한 공정 과정 등의 장점들로 인하여 메모리 분야에서 많은 관심을 받고 있다. 그래핀 옥사이드층을 활용하여 만든 소자에 관한 연구는 이미 다양하게 진행되고 있으나, CdSe/ZnS 양자점을 활용한 메모리 소자에 관한 연구는 아직 많이 연구되고 있지 않다. 본 연구에서는 CdSe/ZnS 양자점을 그래핀 옥사이드에 내포한 유기 쌍안정 메모리 소자를 제작하여 메모리로써의 활용 가능성과 메커니즘을 확인하였다. Indium-tin-oxide (ITO) 기판을 세척한 후, CdSe/ZnS 양자점을 내포한 그래핀 옥사이드 층을 스핀코팅을 이용하여 1000 rpm, 3000 rpm, 1000 rpm으로 각각 3 s, 40 s, 3 s로 코팅한 후 핫플레이트에서 90oC로 30분 동안 열처리 한다. 이렇게 제작된 소자의 실온에서 전류-전압을 측정한 결과 높은 전도도와 낮은 전도도의 비율이 최대 [10]^3까지 나오는 것을 확인할 수 있었다. 투과전자 현미경 및 X선 광전자 분광법 측정결과 그래핀 옥사이드 층과 그 안에 내포된 양자점들의 유무를 확인할 수 있었다. 내구성을 측정한 결과 소자가 안정적이라는 것을 확인할 수 있었다.
-
현대 디지털 사회에서 고효율 에너지와 파워소스에 관한 요구가 커짐에 따라 차세대 에너지 저장 소자에 대한 연구가 계속되고 있다. 그 중 리튬이온 배터리, 슈퍼커패시터, 그리고 연료 전지들이 우리의 일상생활에서 점점 더 중요하게 자리잡아가고 있는데 이런 다양한 에너지 저장소자 중 슈퍼커패시터가 많은 관심을 받고 있다. 이는 긴 수명, 빠른 충-방전 속도, 높은 에너지 밀도, 그리고 안전함 때문이다. 슈퍼커패시터는 에너지 저장 메커니즘에 따라 두 가지로 분류될 수 있는데 전기이중층 커패시터(EDLC)와 슈도커패시터(pseudocapacitor)로 나누어질 수 있다. 슈도커패시터는 active 물질과 전해질 이온 간의 전기화학적 반응으로 인해 EDLC보다 더 많은 에너지를 저장할 수 있다. 그러므로 지금까지 새로운 형태의 슈도용량성 물질을 만들기 위한 노력이 집중되고 있다. 본 연구에서는 전기화학적증착 방법을 통해 graphene-like
${\beta}$ -nickel hydroxide (${\beta}-Ni(OH)_2$ ) 나노판 구조를 전도성 직물에 합성하였다.${\beta}-Ni(OH)_2$ 슈도커패시터의 유연하고 효율적인 비용의 전극으로서 높은 비정전용량, 우수한 전기화학 가역성, 그리고 뛰어난 사이클 안정성을 보였다. 이런 쉬운 방법으로 유연한 전도성 직물에 합성된 metal hydroxide/oxide 나노구조는 웨어러블 에너지 저장소자와 변환소자 분야에 사용될 것으로 기대된다. -
탄소의
$sp^2$ 혼성으로 이루어진 2차원 단일시트(two-dimensional single sheet)인 그래핀은 기계적, 열역학적, 전기적 특성이 매우 우수하며 특히 고유연성과 투명성을 가진다는 장점 때문에 오랜 기간 주목 받으며 다양한 분야에서 연구되어 왔다. 이러한 그래핀을 만드는 방법에는 화학적 증기 증착법 및 흑연으로부터의 물리적, 화학적 박리 방법이 있다. 양질의 그래핀을 대면적에서 획득 할 수 있는 화학적 증기 증착법의 경우 높은 공정 비용과 함께 수반되어야 하는 전사과정의 어려움으로 인하여 실제 상용화에 어려움이 있다. 이러한 단점의 극복을 위해 대량의 그래핀을 저렴하게 확보 할 수 있는 화학적 박리 방법이 주목을 받고 있다. 화학적 박리 방법의 경우 박리 과정에서 수반되는 산화 그래핀의 환원과정이 필요하였으며, 이를 위해 강력한 환원제를 이용한 화학적 환원 방법, 고온에서의 열처리를 이용한 열역학적 환원 방법, 및 빛을 노광시켜 산화 그래핀을 환원시키는 광학적 방법이 시도되었다. 화학적 및 열역학적 환원방법의 경우 고품질의 환원된 산화 그래핀을 획득 할 수 있으나, 강한 환원제 및 높은 열처리 온도로 인하여 유연 기판의 사용이 제한되는 단점이 있다. 이러한 단점을 극복하기 위해 빛을 이용한 광학적 방법이 제시되었으나, 환원과정에 사용되는 단파장의 자외선 광원의 높은 가격으로 인하여 경제성의 확보가 제한된다. 본 논문에서는 우수한 광학적 특성을 보이는 란타넘족 이온을 사용하여 선택적 파장 대에서 높은 광흡수도를 가지는 산화 그래핀-란타넘 이온 혼합용액을 만들었으며, 가시광선대역의 파장을 가지는 레이저를 사용하여 우수한 품질을 가지는 환원된 산화 그래핀을 제작하였다. 구체적으로 산화 그래핀은 modified hummer's method를 이용하여 만들어졌으며, 자외선 대역을 흡수하는$Gd_{3+}$ , 녹색 레이저를 흡수하는$Tb_{3+}$ , 적색 레이저를 흡수하는$Eu^{3+}$ 를 1 mM 섞어주었다. 그 후, 300~800 nm의 파장을 가지는 레이저를$1mW/cm^2$ 를 노광시켜 산화 그래핀을 환원시켰다. 환원된 산화 그래핀의 특성은 FT-IR, UV-Vis, 저온 PL, SEM, XPS 및 전기측정을 이용해 측정하여 재현성 및 반복성을 확인하였다. -
현재 반도체 산업 전반에 걸쳐 사용되고 있는 실리콘등의 3차원 반도체 물질은 반도체 공정 기술의 발전에 따른 물질적인 한계에 부딪히고 있다. 이러한 물질적인 한계를 극복하기 위하여 Graphene과 같은 2차원 물질 중 전이금속 칼코게나이드 화합물(TMD)의 반도체 특성이 뛰어나 실리콘 등을 대체할 차세대 나노 반도체 물질로 활발한 연구가 이루어지고 있다. 특히 기존 반도체를 도핑시키기 위하여 사용되었던 이온 주입 공정은 TMD의 결정구조에 심각한 손상을 가하여 이를 대체할 새로운 도핑 방법에 대한 연구가 활발히 이루어지고 있다. 우리는 이번 연구에서 기존에 유기반도체 물질로 연구되었던 pentacene을 도핑층으로 활용하고 Raman 분광법 및 전기 측정 등을 통하여 TMD물질이 금속화 되지 않는 정도의 매우 낮은 p형 도핑 현상을 확인하였다. 또한 시간에 따른 측정을 통하여 pentacene의 p형 도핑현상이 필름 증착 직후에는 미약하지만 시간이 지나면서 점점 강해지는 것을 발견하였다.. 이는 도핑현상이 pentacene의 구조에 의해 주로 일어나는 것으로 시간이 지남에 따라 대기중의 수분에 의해 생성된 pentacene 산화물들이 도핑 현상을 증가 시키는 원인으로 보인다.
-
We studied the electrical properties and gate bias stress (GBS) stability of thin film transistors (TFTs) with multi-stacked InZnO layers. The InZnO TFTs were fabricated via solution process and the In:Zn molar ratio was 1:1. As the number of InZnO layers was increased, the mobility and the subthreshold swing (S.S) were improved, and the threshold voltage of TFT was reduced. The TFT with three-layered InZnO showed high mobility of
$21.2cm^2/Vs$ and S.S of 0.54 V/decade compared the single-layered InZnO TFT with$4.6cm^2/Vs$ and 0.71 V/decade. The three-layered InZnO TFTs were relatively unstable under negative bias stress (NBS), but showed good stability under positive bias stress (PBS). -
산화물 반도체는 비정질임에도 불구하고 높은 이동도를 나타내며, 적은 누설 전류, 낮은 소비전력, 저온 공정 가능, 가시광선 영역에서 투명한 성질을 가지고 있다. 이와 같은 다양한 장점들로 인해 산화물 반도체를 이용한 트랜지스터는 차세대 플랫 패널 디스플레이 적용에 있어서 핵심 기술로 각광받고 있다. 한편, 소자의 크기가 점점 더 작아짐에 따라 고집적화에 따른 scaling down은 항상 언급되는 이슈이다. 이와 관련하여 소자의 높은 on current는 트랜지스터를 더 작게 구현할 수 있다는 가능성을 보여준다. 따라서 현재 소자의 on current를 높이기 위해서 소자의 구조를 변형하는 연구가 활발히 진행되고 있다. 본 연구에서는 소자의 on current를 높이기 위한 방법으로 ITO buried layer를 이용한 산화물 반도체 pseudo 트랜지스터를 제작하였다. 먼저 채널을 형성하기 전에 ITO buried layer를 형성시켜준 후, 채널 영역으로서 InGaZnO (2:1:1)를 용액 공정을 이용하여 형성시켰다. 이어서 소자의 전기적 특성 향상을 위해 마이크로웨이브 열처리를 1800 W에서 2분간 실시하였다. 또한 대조군으로 ITO buried layer를 갖지 않는 소자를 같은 방법으로 제작하여 평가하였다. 그 결과 ITO buried later를 갖는 소자에서 대조군과 비교하여 높은 on current를 나타냄을 확인하였다. 이와 같은 결과는 낮은 저항의 ITO buried layer가 current path를 제공함과 동시에 더 두꺼운 채널 층을 형성시켜 높은 on current에 기여하기 때문이다. 결과적으로 ITO buried layer를 갖는 소자 구조를 이용함으로써 고성능 트랜지스터를 제작하여 소자를 집적화 함에 있어서 유망한 소자가 될 것으로 예상된다.
-
최근에 금속산화물을 증착하는 방법으로 용액공정이 주목 받고 있다. 용액 공정은 대기압에서 매우 간단한 방법으로 복잡한 공정과정을 요구하지 않기 때문에 박막을 경제적으로 간단하게 형성할 수 있다. 하지만 용액공정을 통해 형성한 박막에는 소자의 특성을 열화 시키는 solvent와 탄소계열의 불순물을 많이 포함하고 있어 고온의 열처리가 필수적이다. 박막의 품질을 향상시키기 위해서 다양한 열처리 방법들이 이용되고 있으며, 일반적인 열처리 방법으로는 furnace를 이용한 conventional thermal annealing (CTA)이 많이 이용되고 있다. 하지만, 최근에는 microwave를 이용한 공정이 주목 받고 있다. Microwave energy는 CTA보다 효과적으로 비교적 낮은 온도에서 높은 열처리 효과를 나타낸다. 본 실험은 n-type Silicon 기판에 solution-ZrO2 산화막을 형성 후, oven baking을 한 뒤, CTA와 microwave를 이용하여 solvent와 불순물을 제거 하였다. 전기적 특성을 확인하기 위해 solution ZrO2 산화막 위에 E-beam evaporator를 이용해 Ti 금속 전극을 증착하여 Metal-Oxide-Semiconductor (MOS) capacitor를 제작하였다. 다음으로, PRECISION SEMICONDUCTOR PARAMETER ANALYZER (4156B)를 이용하여, capacitance-voltage (C-V) 특성 및 current-voltage (I-V) 특성을 비교하였다. 다음으로, CTA를 통하여 제작한 소자와 전기적 특성을 비교하였다. 그 결과, Microwave irradiation으로 열처리한 MOS capacitor 소자에서 capacitance 값과 flat band voltage, hysteresis 등이 개선되는 효과를 확인하였다. Microwave irradiation 열처리는 100oC 미만의 온도에서 공정이 이루어짐에도 불구하고 시료 내에서의 microwave 에너지의 흡수가 CTA 공정에서의 열에너지 흡수보다 훨씬 효율적으로 이루어지며, 결과적으로 ZrO2 용액의 불순물과 solvent를 낮은 온도에서 제거하여 고품질 박막 형성에 매우 효과적이라는 것을 나타낸다. 따라서, microwave irradiation 열처리 방법은 비정질 산화막이 포함되는 박막 transistor 소자 제작에 대하여 결정적인 열처리 방법이 될 것으로 기대한다.
-
최근 비정질 산화물 반도체 thin film transistor(TFT)는 차세대 투명 디스플레이로 많은 관심을 받고 있으며 활발한 연구가 진행되고 있다. 산화물 반도체 TFT는 기존의 비정질 실리콘 반도체에 비하여 큰 on/off 전류비, 높은 이동도 그리고 낮은 구동전압으로 인하여 차세대 투명 디스플레이 산업에 적용 가능하다는 장점이 있다. 한편 기존의 sputter나 evaporator를 이용한 증착 방식은 우수한 막의 특성에도 불구하고 많은 시간과 제작비용이 든다는 단점을 가지고 있다. 따라서 본 연구에서는 별도의 고진공 시스템이 필요하지 않을 뿐만 아니라 대면적화에도 유리한 용액공정 방식을 이용하여 박막 트렌지스터를 제작하였으며 thermal 열처리와 microwave 열처리 방식에 따른 전기적 특성을 비교 및 분석하고 각 열처리 방식의 열처리 온도 및 조건을 최적화 하였다. 제작된 박막 트렌지스터는 p-type bulk silicon 위에 산화막이 100 nm 형성된 기판에 spin coater을 이용하여 Al-Zn-Sn-O 박막을 형성하였다. 연속해서 photolithography 공정과 BOE (30:1) 습식 식각 과정을 이용해 활성화 영역을 형성하여 소자를 제작하였다. 제작 된 소자는 Pseudo-MOS FET구조이며, 프로브 탐침을 증착 된 채널층 표면에 직접 접촉시켜 소스와 드레인 역할을 대체하여 동작시킬 수 있어 전기적 특성평가가 용이하다는 장점을 가지고 있다. 그 결과, microwave를 통해 열처리한 소자는 100oC 이하의 낮은 열처리 온도에도 불구하고 furnace를 이용하여 열처리한 소자와 비교하여 subthreshold swing(SS), Ion/off ratio, field-effectmobility 등이 개선되는 것을 확인하였다. 따라서, microwave 열처리 공정은 향후 저온 공정을 요구하는 MOSFET 제작 시의 훌륭한 대안으로 사용 될 것으로 기대된다.
-
차세대 디스플레이 소자로서 TAOS TFT (transparent amorphous oxide semiconductor Thin Film Transistor)가 주목 받고 있다. 또한, 최근에는 값 비싼 전자 제품을 저렴하고 간단히 처분 할 수 있는 시스템으로 대신 하는 연구가 진행되고 있다. 그중, cellulose-fiber에 전기적 시스템을 포함시키는 e-paper에 대한 관심이 활발하다. cellulose fiber는 가볍고 깨지지 않으며 휘는 성질을 가지고 있다. 가격도 저렴하고 가공이 용이하여 차세대 기판의 재료로서 주목받고 있다. 하지만, cellulose-fiber 위에는 고온의 열처리공정과 고품질 박막 성장이 어려워서 TFT 제작에 어려움을 겪고 있다. 이러한 문제를 해결하기 위해서 산화물 반도체를 이용하여 TFT를 제작한 사례가 보고되고 있다. 또한, 채널 물질 뿐만 아니라 cellulose fiber에도 다른 물질을 첨가하거나 증착하여 전기적 화학적 특성을 개선시킨 사례도 많이 보고되고 있다. 본 연구에서는 가장 저품질의 용지로 알려진 신문지와 A4용지를 gate dielectric을 이용하여서 a-IGZO TFT를 제작하였다. 하지만, cellulose fiber로 만들어진 TFT의 경우에는 고온의 열처리가 불가능 하다. 따라서 저온에서 높을 효율은 보이는 microwave energy를 이용하여 열처리를 진행하였다. 추가적으로 저품질의 종이의 특성을 개선시키기 위해서 high-k metal-oxide solution precursor를 첨가 하여 TFT의 특성을 개선시켰다. 결과적으로 cellulose fiber에 metal-oxide solution precursor을 첨가하는 공정과 micro wave를 조사하는 방법을 사용하여 100도 이하에서 cellulose fiber를 저렴하고 우수한 성능의 TFT를 제작에 성공하였다.
-
차세대 디스플레이 소자로서 TAOS TFT (transparent amorphous oxide semiconductor Thin Film Transistor)가 주목 받고 있다. 또한, 최근에는 값 비싼 전자 제품을 저렴하고 간단히 처분 할 수 있는 시스템으로 대신 하는 연구가 진행되고 있다. 그중, cellulose-fiber에 전기적 시스템을 포함시키는 e-paper에 대한 관심이 활발하다. cellulose fiber는 가볍고 깨지지 않으며 휘는 성질을 가지고 있다. 가격도 저렴하고 가공이여 용이하여 차세대 기판의 재료로서 주목받고 있다. 하지만, cellulose-fiber 위에는 고온의 열처리공정과 고품질 박막 성장이 어려워서 TFT 제작에 어려움을 겪고 있다. 이러한 문제를 해결하기 위해서 산화물 반도체를 이용하여 TFT를 제작한 사례가 보고되고 있다. 또한, 채널 물질 뿐만 아니라 cellulose fiber에도 다른 물질을 첨가하거나 증착하여 전기적 화학적 특성을 개선시킨 사례도 많이 보고되고 있다. 본 연구에서는 가장 저품질의 용지로 알려진 신문지와 A4용지를 gate dielectric을 이용하여서 a-IGZO TFT를 제작하였다. 하지만, cellulose fiber로 만들어진 TFT의 경우에는 고온의 열처리가 불가능 하다. 따라서 저온에서 높을 효율은 보이는 microwave energy를 이용하여 열처리를 진행하였다. 추가적으로 저품질의 종이의 특성을 개선시키기 위해서 high-k metal-oxide solution precursor를 첨가 하여 TFT의 특성을 개선시켰다. 결과적으로 cellulose fiber에 metal-oxide solution precursor을 첨가하는 공정과 micro wave를 조사하는 방법을 사용하여 100도 이하에서 cellulose fiber를 저렴하고 우수한 성능의 TFT를 제작에 성공하였다.
-
최근, 차세대 투명 디스플레이 구동소자로서 산화물 반도체를 이용한 Transparent Amorphous Oxide Semiconductor (TAOS) 기술이 큰 주목을 받고 있다. 산화물 반도체는 기존의 a-Si에 비해 우수한 전기적인 특성과 낮은 구동전압 그리고 넓은 밴드 갭으로 인한 투명성의 장점들이 있다. 그리고 낮은 공정 온도에서도 제작이 가능하기 때문에 유리나 플라스틱과 같은 다양한 기판에서도 박막 증착이 가능하다. 하지만 기존의 furnace를 이용한 열처리 방식은 낮은 온도에서 우수한 전기적인 특성을 내기 어려우며, 공정 시간이 길어지는 단점들이 있다. 따라서 본 연구에서는 산화물 반도체중 In-Ga-Zn-O (IGZO)와 In-Sn-O(ITO)를 각각 채널 층과 게이트 전극으로 이용하였다. 또한 마이크로웨이브 열처리 기술을 이용하여 기존의 열처리 방식에 비해 에너지 전달 효율이 높고 짧은 시간동안 저온 공정이 가능하며 우수한 전기적인 특성을 가지는 투명 박막 트랜지스터를 구현 하였다. 본 실험은 glass 기판위에서 진행되었으며, RF sputter를 이용하여 ITO를 150 nm 증착한 후, photo-lithography 공정을 통하여 하부 게이트 전극을 형성하였다. 이후에 RF sputter를 이용하여 SiO2 와 IGZO 를 각각 300, 50 nm 증착하였고, patterning 과정을 통하여 채널 영역을 형성하였다. 또한 소자의 전기적인 특성 향상을 위해 마이크로웨이브 열처리를 1000 Watt로 2 분간 진행 하였고, 비교를 위하여 기존 방식인 furnace 를 이용하여 N2 분위기에서
$400^{\circ}C$ 로 30분간 진행한 소자도 병행하였다. 그 결과 마이크로웨이브를 통해 열처리한 소자는 공정 온도가$100^{\circ}C$ 이하로 낮기 때문에 glass 기판에 영향을 주지 않고 기존 furnace 열처리 한 소자보다 전체적으로 전기적인 특성이 우수한 것을 확인 하였다. -
최근 산화물 반도체에 대한 연구가 활발하게 이루어지고 있다. 비정질 산화물 반도체인 In-Ga-Zn-O(IGZO)는 기존의 비정질 실리콘에 비해 공정 단가가 낮으며 넓은 밴드 갭으로 인한 투명성을 가지고 있고, 저온 공정이 가능하여 다양한 기판에 적용이 가능하다. 반도체의 공정 과정에서 열처리는 소자의 특성 개선을 위해 필요하다. 일반적인 열처리 방법으로 furnace 열처리 방식이 주로 이용된다. 그러나 furnace 열처리는 시간이 오래 걸리며 일반적으로 고온에서 이루어지기 때문에 최근 연구되고 있는 유리나 플라스틱, 종이 기판을 이용한 소자의 경우 기판이 손상을 받는 단점이 있다. 이러한 단점들을 극복하기 위하여 저온 공정인 마이크로웨이브를 이용한 열처리 방식이 제안되었다. 마이크로웨이브 열처리 기술은 소자에 에너지를 직접적으로 전달하기 때문에 기존의 다른 열처리 방식들과 비교하여 에너지 전달 효율이 높다. 또한 짧은 공정 시간으로 공정 단가를 절감하고 대량생산이 가능한 장점을 가지고 있으며, 저온의 열처리로 기판의 손상이 없기 때문에 기판의 종류에 국한되지 않은 공정이 가능할 수 있을 것으로 기대된다. 따라서 본 연구에서는 마이크로웨이브 열처리가 소자의 전기적 특성 개선에 미치는 영향을 확인하였다. 제작된 IGZO 박막 트렌지스터는 p-type bulk silicon 위에 thermal SiO2 산화막이 100 nm 형성된 기판을 사용하였다. RCA 클리닝을 진행한 후 RF sputter를 사용하여 In-Ga-Zn-O (1:1:1) 을 70 nm 증착하였다. 이후에 Photo-lithography 공정을 통하여 active 영역을 형성하였고, 전기적 특성 평가가 용이한 junctionless 트랜지스터 구조로 제작하였다. 후속 열처리 방식으로 마이크로웨이브 열처리를 1000 W에서 2분간 실시하였다. 그리고 기존 열처리 방식과의 비교를 위해 furnace를 이용하여 N2 가스 분위기에서
$600^{\circ}C$ 의 온도로 30분 동안 열처리를 실시하였다. 그 결과, 마이크로웨이브 열처리를 한 소자의 경우 기존의 furnace 열처리 소자와 비교하여 우수한 전기적 특성을 나타내는 것을 확인하였다. 따라서 마이크로웨이브를 이용한 열처리 공정은 향후 저온 공정을 요구하는 소자 공정에 활용될 수 있을 것으로 기대된다. -
Indium gallium zinc oxide (IGZO), indium zinc oxide (IZO) 그리고 zinc tin oxide (ZTO) 같은 zinc oxide 기반의 산화물 반도체는 높은 이동도, 투과도 그리고 유연성 같은 장점을 갖고 있어, display application의 backplane 소자로 적용되고 있다. 또한 최근에는 산화물 반도체를 이용한 thin-film transistor (TFT) 뿐만아니라 resistive random access memory (RRAM), flash memory 그리고 pH 센서 등 다양한 반도체 소자에 적용을 위한 연구가 활발히 진행 중이다. 그러나 zinc oxide 기반의 산화물 반도체의 전기 화학적 불안정성은 위와 같은 소자에 적용하는데 제약이 있다. 산화물 반도체의 안정성에 영향을 미치는 다양한 요인들 중 한 가지는, sputter 같은 plasma를 이용한 공정 진행 시 active layer가 plasma에 노출되면서 threshold voltage (Vth)가 급격하게 변화하는 plasma damage effect 이다. 급격한 Vth의 변화는 동작 전압의 불안정성을 가져옴과 동시에 누설전류를 증가시키는 결과를 초래 한다. 따라서 본 연구에서는, IGZO 기반의 TFT를 제작 후 plasma 분위기에 노출시켜, power와 노출 시간에 따른 전기적 특성 변화를 확인 하였다. 또한, thermal annealing을 적용하여 열처리 온도와 시간에 따른 Vth의 회복특성을 조사 하였다. 이러한 결과는 추후 산화물 반도체를 이용한 다양한 소자 설계 시 유용할 것으로 기대된다.
-
Two dimensional layered materials, such as transition metal dichalcogenides (TMDs) family have been attracted significant attention due to novel physical and chemical properties. Among them, molybdenum disulfide (
$MoS_2$ ) has novel physical phenomena such as absence of dangling bonds, lack of inversion symmetry, valley degrees of freedom. Previous studies have shown that the interface of metal/$MoS_2$ contacts significantly affects device performance due to presence of a scalable Schottky barrier height at their interface, resulting voltage drops and restricting carrier injection. In this study, we report a new device structure by using few-layer graphene as the bottom interconnections, in order to offer Schottky barrier free contact to bi-layer$MoS_2$ . The fabrication of process start with mechanically exfoliates bulk graphite that served as the source/drain electrodes. The semiconducting$MoS_2$ flake was deposited onto a$SiO_2$ (280 nm-thick)/Si substrate in which graphene electrodes were pre-deposited. To evaluate the barrier height of contact, we employed thermionic-emission theory to describe our experimental findings. We demonstrate that, the Schottky barrier height dramatically decreases from 300 to 0 meV as function of gate voltages, and further becomes negative values. Our findings suggested that, few-layer graphene could be able to realize ohmic contact and to provide new opportunities in ohmic formations. -
최근 산화물 반도체에 대한 연구가 활발하게 이루어지고 있다. 비정질 산화물 반도체인 In-Ga-Zn-O (IGZO)는 기존의 비정질 실리콘에 비해 공정 단가가 낮으며 넓은 밴드 갭으로 인한 투명성을 가지고 있고, 저온 공정이 가능하여 다양한 기판에 적용이 가능하다. 반도체의 공정 과정에서 열처리는 소자의 특성 개선을 위해 필요하다. 일반적인 열처리 방법으로 furnace 열처리 방식이 주로 이용된다. 그러나 furnace 열처리는 시간이 오래 걸리며 일반적으로 고온에서 이루어지기 때문에 최근 연구되고 있는 유리나 플라스틱, 종이 기판을 이용한 소자의 경우 기판이 손상을 받는 단점이 있다. 이러한 단점들을 극복하기 위하여 저온 공정인 마이크로웨이브를 이용한 열처리 방식이 제안되었다. 마이크로웨이브 열처리 기술은 소자에 에너지를 직접적으로 전달하기 때문에 기존의 다른 열처리 방식들과 비교하여 에너지 전달 효율이 높다. 또한 짧은 공정 시간으로 공정 단가를 절감하고 대량생산이 가능한 장점을 가지고 있으며, 저온의 열처리로 기판의 손상이 없기 때문에 기판의 종류에 국한되지 않은 공정이 가능할 수 있을 것으로 기대된다. 따라서 본 연구에서는 마이크로웨이브 열처리가 소자의 전기적 특성 개선에 미치는 영향을 확인하였다. 제작된 IGZO 박막트렌지스터는 p-type bulk silicon 위에 thermal SiO2 산화막이 100 nm 형성된 기판을 사용하였다. RCA 클리닝을 진행한 후 RF sputter를 사용하여 In-Ga-Zn-O (1:1:1)을 70 nm 증착하였다. 이후에 Photo-lithography 공정을 통하여 active 영역을 형성하였고, 전기적 특성 평가가 용이한 junctionless 트랜지스터 구조로 제작하였다. 후속 열처리 방식으로 마이크로웨이브 열처리를 1000 W에서 2분간 실시하였다. 그리고 기존 열처리 방식과의 비교를 위해 furnace를 이용하여 N2 가스 분위기에서
$600^{\circ}C$ 의 온도로 30분 동안 열처리를 실시하였다. 그 결과, 마이크로웨이브 열처리를 한 소자의 경우 기존의 furnace 열처리 소자와 비교하여 우수한 전기적 특성을 나타내는 것을 확인하였다. 따라서, 마이크로웨이브를 이용한 열처리 공정은 향후 저온 공정을 요구하는 소자 공정에 활용될 수 있을 것으로 기대된다. -
유기 박막 트랜지스터(Organic Thin Film Transistor, OTFT)기반의 바이오센서는 저비용 제작 및 플렉서블 소자 제작이 가능하여 많은 주목을 받아오고 있다. 본 연구에서는, hexamethyldisilazane (HMDS) 표면 처리된
$Si/SiO_2$ 기판 위에 진공 증착 공정을 이용하여 pentacene 기반의 OTFT를 제작한 후, 수용성 매체에 대한 안정성을 향상시키기 위하여 저분자 물질인 tetratetracontane (TTC)를 진공 증착 공정을 이용하여 증착하였으며, cyclized perfluoropolymer (CYTOP)을 용액 공정으로 코팅하여 bilayer의 passivation 층을 형성하였다. 실제 제작된 OTFT의 수용성 매체에 대한 안정성을 테스트하기 위하여 소자에 수용성 phosphate buffered saline (PBS)용액을 투하하여 10분에 걸쳐 1분 간격으로 transistor의 transfer 특성을 측정하였다. 또한 측정된$I_d-V_g$ 곡선 데이터를 이용하여 시간에 따른 드레인 전류, 이동도, 문턱 전압, 점멸비 등의 수치를 산출하였다. 그리고, 그$I_d-V_g$ 곡선 데이터와 산출된 데이터들을 증류수가 투하된 OTFT 소자의$I_d-V_g$ 곡선 데이터와 산출된 데이터들과 비교하였다. 결론적으로, TTC/Cytop bilayer passivation 층이 형성된 OTFT 소자는 인체 내 혈액의 pH와 유사한 PBS 용액 하에서도 안정적인 구동 성능을 보여 바이오센서로 응용될 수 있는 가능성이 있다는 결론을 얻었다. -
최근 용액 공정을 이용한 산화물 반도체에 대한 연구가 활발히 진행되고 있다. 넓은 밴드갭을 가지고 있는 산화물 반도체는 높은 투과율을 가지고 있어 투명 디스플레이에 적용이 가능하다. 기존의 박막 진공증착 방법은 진공상태를 유지하기 위한 장비의 가격이 비싸며, 대면적의 어려움, 높은 생산단가 등으로 생산율이 높지 않다. 하지만 용액 공정을 이용하면 대기압에서 증착이 가능하고 대면적화가 가능하다. 그리고 각각의 조성비를 조절하는 것이 가능하다. 이러한 장점에도 불구하고, 소자의 신뢰성이나 저온공정은 중요한 이슈이다. Instability는 threshold voltage (Vth)의 shift 및 on/off switching의 신뢰성과 관련된 parameter이다. 용액은 소자의 전기적 특성을 열화 시키는 수분 과 탄소계열의 불순물을 다량 포함 하고 있어 고품질의 박막을 형성하기 위해서는 고온의 열처리가 필요하다. 기존의 열처리는 고온에서 장시간 이루어지기 때문에 유리나 플라스틱, 종이 기판의 소자에서는 불가능하지만
$100^{\circ}C$ 이하의 저온 공정인 microwave를 이용하면 유리, 플라스틱, 종이 기판에서도 적용이 가능하다. 본 연구에서는 산화물 반도체 중에서 InGaZnO (IGZO)를 용액 공정으로 제작한 juctionless thin-film transistor를 제작하여 기존의 열처리를 이용하여 처리한 소자와 microwave를 이용해서 열처리한 소자의 전기적 특성을 한 달 동안 관찰 하였다. 또한 In:Zn의 비율을 고정한 후 Ga의 비율을 달리하여 특성을 비교하였다. 먼저 p-type bulk silicon 위에 SiO2 산화막이 100 nm 증착된 기판에 RCA 클리닝을 진행 하였고, solution InGaZnO 용액을 spin coating 방식으로 증착하였다. Coating 후에, solvent와 수분을 제거하기 위해서$180^{\circ}C$ 에서 10분 동안 baking공정을 하였다. 이후 furnace열처리와 microwave열처리를 비교하기 위해 post-deposition-annealing (PDA)으로 furnace N2 분위기에서$600^{\circ}C$ 에서 30분, microwave를 1800 W로 2분 동안 각각의 샘플에 진행하였다. 또한, HP 4156B semiconductor parameter analyzer를 이용하여 제작된 TFT의 transfer curve를 측정하였다. 그 결과, microwave 열처리한 소자의 경우 기존의 furnace 열처리 소자와 비교하여 높은 mobility, 낮은 hysteresis 값을 나타내었으며, 1달간 소자의 특성을 관찰하였을 때 microwave 열처리한 소자의 경우 전기적 특성이 거의 변하지 않는 것을 확인하였다. 따라서 향후 용액공정, 저온공정을 요구하는 소자 공정에 있어 열처리방법으로 microwave를 이용한 활용이 기대된다. -
In order to investigate the effect of front channel in DAL (dual active layer) TFT (thin film transistor), we successfully fabricated DAL TFT composed of ITZO and IGZO as active layer using the solution process. In this structure, ITZO and IGZO active layer were used as front and back channel, respectively. The front channel was changed from 0.05 to 0.2 M at fixed 0.3 M IGZO of back channel. When the mol concentration of front channel was increased, the threshold voltage (VTH) was increased from 2.0 to -11.9 V and off current also was increased from 10-12 to 10-11. This phenomenon is due to increasing the carrier concentration by increasing the volume of the front channel. The saturation mobility of DAL TFT with 0.05, 0.1, and 0.2 M ITZO were 0.45, 4.3, and
$0.65cm2/V{\cdot}s$ . Even though 0.2 M ITZO has higher carrier concentration than 0.05 and 0.1 M ITZO, the 0.1 M ITZO/0.3 M IGZO DAL TFT has the highest saturation mobility. This is due to channel defect such as pores and pin-holes. These defect sites were created during deposition process by solvent evaporation. Due to these defect sites, the 0.1 M ITZO/0.3 M IGZO DAL TFT shows the higher saturation mobility than that of DAL TFT with front channel of 0.2 M ITZO. -
본 연구에서는 용액 공정을 통해 제작한 IGZO 박막 트랜지스터의 Active layer를 적층 구조로 쌓아올리고, 신뢰성 평가를 위해 Gate에 지속적인 바이어스를 인가함으로써 소자의 문턱 전압 변화를 측정 실험을 진행하였다. Active layer 제작에 사용된 용액의 비율은 In:Zn:Ga = 1:1:30%로 제작되었고, 단일층부터 이중, 삼중층까지 적층을 하였다. 각 소자의 Active layer 층이 많아질수록 이동도가 1.21, 0.87, 0.69 (
$cm^2/Vs$ )으로 감소하는 등의 전기적 특성이 감소하는 경향을 보였다. 하지만 Gate에 10 V를 3000초간 지속적으로 인가해주었을 때 문턱 전압의 변화가 단일층일 때 10.4 V에서 삼중층일 때 1.3 V로 감소하였다. 이것은 Active layer의 층 사이의 계면이 형성되면서 current path에 영향을 주어 전기적 특성이 감소하였지만, 적층으로 인한 surface의 uniformity가 향상되는 것으로 확인하였다. 또한 1500초에서 Dit (Interface Trap Density)를 추출한 결과, 단일층에서는$7.53{\times}10^{12}$ ($cm^{-2}-1$ <)로 삼중층에서$4.52{\times}10^{12}$ ($cm^{-2}-1$ <)의 약 두 배 정도 높게 추출되었다. -
본 연구에서는 용액 공정을 통해 제작한 IGZO, ITZO 박막 트랜지스터의 전기적 특성을 비교, 분석하였다. 실험에 사용된 용액의 농도는 In:Zn:Ga, In:Zn:Sn = 1:1:1로 제작하여 Spin-Coating을 통해 증착하였다. 두 소자 모두
$350^{\circ}C$ 에서 열처리 공정을 진행한 뒤, 전기적 특성을 측정 및 분석하였다. IGZO 박막 트랜지스터의 경우, Threshold Voltage, S.Swing, Mobility, On/Off ratio가 각각 2.2 V, 0.42,$0.18cm^2/Vs$ ,$1.5{\times}$ 10^5로 측정되었으나 ITZO 박막 트랜지스터의 경우, -6.92 V, 0.91,$0.43cm^2/Vs$ ,$2.1{\times}$ 10^5 로 IGZO보다 Negative한 방향으로 이동하였다. 이는 Sn이 Ga에 비해 Band gap이 넓고, 산소와의 결합력이 작기 때문에, ITZO 박막 트랜지스터가 Oxygen vacancy형성을 통한 Carrier density가 높은 것으로 판단된다. -
Field effect transistors (FETs)를 기반으로 한 바이오센서는 빠른 응답속도, 저비용, label-free 등을 이유로 각광받고 있다. 그러나 3D 구조를 기반으로 한 FETs 바이오센서의 낮은 sensitivity의 한계점을 지니며, 이를 극복하기 위해 1D 구조의 나노튜브 등을 활용하였으나 여전히 높은 sensitivity의 확보는 힘들다. 최근에는 이러한 문제점을 극복하기 위해 이차원 반도체 물질 중 하나인 Transition metal dichalcogenide (TMD)를 이용하여, 700 이상의 sensitivity를 지니는 pH센서 및 100 이상의 sensitivity를 지니는 바이오센서가 보고되었다. 하지만 이보다 더 높은 정확성 및 반응성을 높이기 위한 연구는 부족한 실정이다. 우리는 DNA 템플릿을 이용하여, TMD FET 기반 pH 및 바이오센서의 반응성을 극대화시키는 연구를 선보인다. DNA는 7~8정도의 유전상수 (K)를 가지는 물질로 기존
$SiO_2$ (K=3.9)보다 높은 유전상수를 가지며 두께를 0.7 nm로 매우 얇게 형성할 수 있는 장점이 있다. 이는 FET 기반 바이오센서의 표면 캐패시턴스를 높여 sensitivity를 극대화할 수 있으며, 기존에 사용된 high-k 기반 바이오센서와 비교하여도 약 10배 이상의 sensitivity 향상을 노릴 수 있다. 또한, TMD 물질로 우리는$WSe_2$ 를 선택하였으며, pH 용액의 receptor로써 우리는 3-Aminopropyltriethoxysilane (APTES)를 활용하였고, 템플릿으로 사용된 DNA는 DX tile 및 Ring type의 두 가지를 사용하였다. 추가로, DNA의 phosphate backbone을 중성화시키고 DNA의 base pairing의 charge 안정화를 위해 구리 이온($Cu^{2+}$ ) 및 란타넘족($Tb^{3+}$ )을 추가하였다. 완성된 바이오센서의 pH 센싱을 위해 우리는 pH 6,7,8의 표준 용액을 사용하였으며, 재현성 및 반복성의 확인하였다. -
모바일 기기의 성장세로 인해 낸드 플래시 메모리에 대한 수요가 급격히 증가하면서 높은 집적도의 소자에 대한 요구가 커지고 있다. 그러나 기존의 MOSFET 구조의 소자는 비례 축소에 의한 게이트 누설 전류, 셀간 간섭, 단 채널 효과 같은 여러 어려움에 직면해 있다. 특히 트윈 실리콘 나노 와이어 전계 효과 트랜지스터 (TSNWFETs)는 소자의 크기를 줄이기 쉬우며 게이트 비례 축소가 용이하여 차세대 메모리 소자로 각광받고 있다. 그러나 TSNWFETs의 공정 방법과 실험적인 전기적 특성에 대한 연구는 많이 이루어 졌지만, TSNWFETs의 전기적 특성에 대한 이론적인 연구는 많이 진행되지 않았다. 본 연구는 직경의 크기가 다른 나노 와이어를 사용한 TSNWFETs의 전기적 특성에 대해 이론적으로 계산하였다. TSNWFETs과 실리콘 나노 와이어를 사용하지 않은 전계 효과 트랜지스터(FET)를 3차원 시뮬레이션 툴을 이용하여 계산하였다. TSNWFETs와 FETs의 드레인 전류와 문턱전압 이하 기울기, 드레인에 유기된 장벽의 감소 값, 게이트에 유기된 드레인 누설 전류 값을 이용하여 전류-전압 특성을 계산하였다. 이론적인 결과를 분석하여 TSNWFETs의 스위칭 특성과 단 채널 효과를 최소화하는 특성 및 전류 밀도를 볼 수 있었으며, 나노 와이어의 직경이 감소하면 증가하는 드레인에 유기된 장벽의 감소를 볼 수 있었다.
-
Thin-film transistors (TFTs)의 채널층으로 널리 쓰이는 indium-gallium-zinc oxide (IGZO)는 높은 전자 이동도(약 10 cm2/Vs)를 나타내며 유기 발광 다이오드디스플레이(OLED)와 대면적 액정 디스플레이(LCD)에 필수적으로 사용되고 있다. 하지만, 이러한 재료는 우수한 TFT의 채널층의 특성을 가지는 반면, ZnO 기반 재료이기 때문에 소자 구동에서의 안정성은 가장 큰 문제로 남아있다. 따라서 최근, IGZO layer의 특성을 향상시키기 위한 연구가 다양한 방법으로 시도되고 있다. IGZO의 조성비를 조절하여 전기적 특성을 최적화거나 IGZO layer의 조성 중 Ga을 다른 금속 메탈로 대체하는 연구도 이루어지고 있다. 그러나 IGZO에 미량의 도펀트를 첨가하여 박막 특성 변화를 관찰한 연구는 거의 진행되지 않고 있다. 산화물 TFTs의 전기적 특성과 안정성은 산소 함량에 영향을 많이 받는 것으로 알려져 있으며, 더욱이 TFT 채널층으로 쓰이는 IGZO 박막의 고유한 산소 공공은 디바이스 작동 중 열적으로 활성화 되어 이온화 상태가 될 때 소자의 안정성을 저하시키는 것이 문제점으로 지적되고 있다. 그러므로 본 연구에서는 낮은 전기 음성도(1.22)와 표준전극전위(-2.372 V)를 가지며 산소와의 높은 본드 엔탈피 값(719.6 kJ/mol)을 가짐으로써 산소 공공생성을 억제할 것으로 기대되는 yttrium을 IGZO의 도펀트로 도입하였다. 따라서 본 연구에서는 Y-IGZO의 박막 특성 변화를 관찰하고자 한다. 본 연구에서는 magnetron co-sputtering법으로 IGZO 타깃(DC)과 Y2O3 타깃(RF)를 이용하여 기판 가열 없이 동시 방전을 이용해 non-alkali glass 기판 위에 증착 하였다. IGZO 타깃은 DC power 110 W으로 고정하였으며 Y2O3 타깃에는 RF Power를 50 W에서 110 W까지 증가시키면서 Y 도핑량을 조절하였다. Working pressure는 고 순도 Ar을 20 sccm 주입하여 0.7 Pa로 고정하였다. 모든 실험은
$50{\times}50mm$ 기판 위에 총 두께$50nm{\pm}2$ 박막을 증착 하였으며, 그 함량에 따른 전기적 특성 및 광학적 특성을 살펴보았다. 또한, IGZO 박막 제조 시 박막의 안정화를 위해 열처리과정은 필수적이다. 하지만 본 연구에서는 열처리를 진행하지 않고 Y-IGZO의 안정성 개선 여부를 보기 위하여 20일 동안 상온에서 방치하여 그 전기적 특성변화를 관찰하였다. 나아가 Y-IGZO 채널 층을 갖는 TFT 소자를 제조하여 소자 구동 특성을 관찰 하였다. Y2O3 타깃에 가해지는 RF Power가 70 W 일 때 Y-IGZO박막은 IGZO박막과 비교하여 상대적으로 캐리어 밀도는 낮은 반면 이동도는 높은 최적 특성을 얻을 수 있었다. 상온방치 결과 Y-IGZO박막은 IGZO박막에 비해 전기적 특성 변화 폭이 적었으며 이것은 Y 도펀트에 의한 안정성 개선의 결과로 예상된다. 투과도는 Y 도핑에 의하여 약 1.6 % 정도 상승하였으며 밴드 갭 내에서 결함 준위로 작용하는 산소공공의 억제로 인한 결과로 판단된다. -
본 연구에서는 Zr을 첨가한 용액 공정 기반 ZTO:Zr 산화물 반도체 제작 및 열처리 온도에 따른 트랜지스터의 특성 변화를 분석하였다. Zn:Sn=4:7 비율로 고정하고, Zr (0~1%) 비율에 따른 도핑과 열처리 온도(
$350{\sim}550^{\circ}C$ )를 가변하였다. 실험 결과, Zr의 비율이 증가할수록 전류와 이동도가 감소하였고, 문턱전압이 양의 방향으로 이동하는 것을 확인하였다. Zr는 SEP (Standard Electrode Potential)가 -1.45로 Zn (-0.76), Sn (-0.13) 보다 작아 금속과 산소의 결합을 증가시키며, 또한 밴드갭이 ~7 eV로 다른 금속 보다 높아 산소와 결합력이 높다. 이러한 요인은 산화물 내의 산소 원자 결함(Oxygen vacancy)을 감소시킨다. 반대로 열처리 온도가 높아질수록 탈 수산화(Dehydroxylation)로 인한 산소 원자 결함이 증가시켜, Zr 도핑 효과와 반대 경향을 보인다. 실험 결과를 통해 Zr:Zn:Sn=0.5:4:7의 비율과$550^{\circ}C$ 열처리 조건에서 문턱전압과 이동도, 아문턱 스윙, 전류 온오프 비(Ion/Ioff)가 각각 0.68V,$0.18cm^2/Vs$ , 1.06 V/dec,$1.6{\times}10.6$ 의 특성을 확인하였다. -
본 연구에서는 용액 공정 기반 ZrO2 절연막의 우수한 특성을 확인하기 위해 SiO2 절연막을 가지는 IGZO (Indium-Gallium-Zinc Oxide) 박막 트랜지스터와 비교했다. In:Ga:Zn=1:1:1의 비율의 0.3 M IGZO 용액과 0.2 M ZrO2용액을 사용하였다. ZrO2 박막 트랜지스터는 0.2M ZrO2 용액을 5번 반복 증착하며 140nm 두께의 ZrO2 절연막을 가지는 IGZO 박막 트랜지스터와 비교대상으로 동일한 두께의 SiO2의 절연막을 가지는 IGZO 박막 트랜지스터를 제작하였다. ZrO2 박막 트랜지스터의 문턱전압은 4.3V로 SiO2 박막 트랜지스터의 -6.1V보다 낮았고, 이동도는
$1.2356cm^2/V{\cdot}s$ 로$0.0554cm^2/V{\cdot}s$ 보다 약 20배 높았다. 실험 결과를 통해 ZrO2를 절연막으로 사용한 박막 트랜지스터의 특성이 더 향상되었음을 확인하였다. -
We fabricated dual active layer (DAL) thin film transistors (TFTs) with indium tin zinc oxide (ITZO) and indium gallium zinc oxide (IGZO) thin film layers using solution process. The ITZO and IGZO layer were used as the front and back channel, respectively. In order to investigate the bias stress stability of ITZO SAL (single active layer) and ITZO/IGZO DAL TFT, a gate bias stress of 10 V was applied for 1500 s under the dark condition. The SAL TFT composed of ITZO layer shows a poor positive bias stability of
${\delta}VTH$ of 13.7 V, whereas${\delta}VTH$ of ITZO/IGZO DAL TFT was very small as 2.6 V. In order to find out the evidence of improved bias stress stability, we calculated the total trap density NT near the channel/gate insulator interface. The calculated NT of DAL and SAL TFT were$4.59{\times}10^{11}$ and$2.03{\times}10^{11}cm^{-2}$ , respectively. The reason for improved bias stress stability is due to the reduction of defect sites such as pin-hole and pores in the active layer. -
본 연구에서는 용액 공정 기반 AZTO (Aluminum-Zinc-Tin Oxide, AlZnSnO) 박막 트랜지스터를 제작하여 Al (Aluminum) 도핑과 열처리 온도의 가변을 통한 특성 향상을 확인하였다. ZTO 용액의 Zn:Sn 비율(4:7)을 고정하고 Al 도핑(0~8.3%)과 열처리 온도(
$350{\sim}550^{\circ}C$ )를 가변하였다. 실험 결과 Al 도핑이 증가할수록 드레인 전류는 감소하고 문턱 전압이 양의 방향으로 이동하면서 포화 이동도와 아문턱 기울기가 감소하였다. 열처리 온도가 증가할 때는 드레인 전류가 증가하고 문턱 전압은 음의 방향으로 이동하며 이동도와 아문턱 기울기가 증가하였다. Al 도핑은 강한 금속-산소 결합에 의해 oxygen vacancy와 전자 농도가 감소하게 하여 드레인 전류, 이동도, 아문턱 기울기의 감소와 양의 방향 문턱 전압 이동을 야기한다. 열처리 온도가 높아지면 반도체 층의 분자 구조가 더 밀집되고 oxygen vacancy 가 증가하며, 이는 전자 농도의 증가로 이어져 Al 도핑의 효과와 반대의 경향을 보인다. 실험 결과를 통해 Al:Zn:Sn=0.5:4:7의 비율과$350^{\circ}C$ 열처리 조건에서 문턱 전압과 이동도, 아문턱 기울기, 전류 온오프 비($I_{on}/I_{off}$ )가 각각 3.54V,$0.16cm^2/Vs$ , 0.43 V/dec,$8.1{\times}10^5$ 으로 우수한 특성을 확인하였다. -
본 연구에서는 charge pumping method에서 사용되는 변수들의 변화를 이용하여 hot carrier stress가 MOSFET소자의 oxide내에서의 trap 분포에 어떤 영향을 미치는지에 대해서 연구하였다. trap 분포를 확인하기 위해 스트레스 전 후에 reverse bias와 주파수에 따른 trap의 양을 측정 하였다. 스트레스 전과 후에 reverse bias와 주파수가 감소할수록 trap이 증가하는 모습이 나타났고, 스트레스 후에는 전과 비교하여 전반적으로 trap의 양이 증가하였다. 또한, 스트레스 전과 후에 MOSFET소자의 trap density는 center region에서
$2.89{\times}$ 10^10에서$1.64{\times}$ 10^10으로 감소하였고, drain region에서$2.83{\times}$ 10^10에서$5.26{\times}$ 10^10으로 증가한 것을 확인하였다. 이는 reverse bias와 주파수의 가변에 따라서 trap의 공간적 분포를 측정할 수 있다는 것을 의미한다. -
본 연구에서는 차세대 투명소자의 기본 요구사항인 투명 산화물 TFT를 제조하는데 가장 유망한 재료인 IGZO (Indium-Gallium-Zinc oxide) 박막의 구동 안정성과 신뢰성을 높이기 위한 후처리 기술을 제시하고자 한다. 이는 기존의 400도 이상의 고온 후열처리 공정을 대체할 수 있는 기술로써, IGZO 박막의 스퍼터링 공정 후에 동일 챔버에서 전자빔 조사를 통해 수분 이하의 고속 후처리를 진행함으로써 가능하다. 본 발표에서는 전자빔 후처리 공정을 통해 얻어지는 IGZO 기반의 TFT 소자 물성에 대해서 소개가 이루어질 것이다.
-
본 연구에서는 ITZO를 용액으로 제작하여,
$O_2$ 플라즈마 처리를 통해 표면 및 광학적 특성을 분석하였다. 열처리 전 처리시간(0초~70초)을 가변하여$O_2$ 플라즈마 처리하였다. 박막의 표면 상태를 RMS (Root Mean Square)로 비교하였다. 처리 전 표면의 거칠기는 1.38 nm이고, 50초에서 0.67nm로 표면의 상태가 좋아지며, 이후에는 RMS가 증가하여 표면 상태가 안 좋아짐을 확인하였다. 50초까지는$O_2$ 플라즈마 처리를 통해 표면 상태의 개선된 효과를 얻을 수 있지만, 70초 이후에는 표면이 에칭되어 저하된 특성을 보이는 것을 확인하였다. 광학적 특성은 투과도와 밴드갭으로 차이를 확인하였다. 가시광선 영역 (380 nm~770 nm)에서의 투과도는 92%에서 90%로 감소하였고, 밴드갭은 3.64eV에서 3.57eV로 줄어들었다.$O_2$ 플라즈마 처리 시간에 따라 개선효과를 얻을 수 있지만, 70초 이후에는 표면에 결함을 야기하여 표면 및 광학적 특성의 저하를 보였다. -
The vapor-liquid-solid (VLS) method, where the "liquid" catalytic droplets collecting atoms from vapor precursors build the solid crystal layers via supersaturation, is a ubiquitous technique to synthesize 1-dimensional nanoscale materials. However, the lack of fundamental understanding of chemical information governing the process inhibits the rational route to the structural programming. By combining the in situ or operando IR spectroscopy with post-growth high resolution electron microscopy, we show the strong correlation between the surface chemical species concentration and nanowire structures. More specifically, the critical role of surface adsorbed hydrogen, generated from the decomposition of Si2H6 precursor on the interplay between nanowire / kinking and the defect propagation is demonstrated. Our results show that adsorbed hydrogen atoms are responsible for selecting -oriented growth and indicate that a twin boundary imparts structural coherence. The twin boundary, only continuous at / kinks, reduces the symmetry of the trijunction and limits the number of degenerate directions available to the nanowire. These findings constitute a general approach for rationally engineering kinking superstructures and also provide important insight into the role of surface chemical bonding during VLS synthesis.
-
Graphene is very interesting 2 dimensional material providing unique properties. Especially, graphene has been investigated as a stretchable and transparent conductor due to its high mobility, high optical transmittance, and outstanding mechanical properties. On the contrary, high sheet resistance of extremely thin monolayer graphene limits its application. Artificially stacked multilayer graphene is used to decrease its sheet resistance and has shown improved results. However, stacked multilayer graphene requires repetitive and unnecessary transfer processes. Recently, growth of multilayer graphene has been investigated using a chemical vapor deposition (CVD) method but the layer controlled synthesis of multilayer graphene has shown challenges. In this paper, we demonstrate controlled growth of multilayer graphene using a two-step process with multi heating zone low pressure CVD. The produced graphene samples are characterized by optical microscope (OM) and scanning electron microscopy (SEM). Raman spectroscopy is used to distinguish a number of layers in the multilayer graphene. Its optical and electrical properties are also analyzed by UV-Vis spectrophotometer and probe station, respectively. Atomic resolution images of graphene layers are observed by high resolution transmission electron microscopy (HRTEM).
-
Recently, graphene quantum dots (GQDs) have attracted great attention due to various properties including cost-effectiveness of synthesis, low toxicity, and high photostability. Nevertheless, the origins of photoluminescence (PL) from GQDs are unclear because of extrinsic states of the impurities, disorder structures, and oxygen-functional groups. Therefore, to utilize GQDs in various applications, their optical properties generated from the extrinsic states should be understood. In this work, we have focused on the effect of oxygen-functional groups in PL of the GQDs. The GQDs with nanoscale and single layer are synthesized by employing graphite nanoparticles (GNPs) with 4 nm. The series of GQDs with different amount of oxygen-functional groups were prepared by the chain of chemical oxidation and reduction process. The fabrication of a series of graphene oxide QDs (GOQDs) with different amounts of oxygen-contents is first reported by a direct oxidation route of GNPs. In addition, for preparing a series of reduced GOQDs (rGOQDs), we employed the conventional chemical reduction to GOQDs solution and controlled the amount of reduction agents. The GOQDs and rGOQDs showed irreversible PL properties even though both routes have similar amount of oxyen-functional groups. In the case of a series of GOQDs, the PL spectrum was clearly redshifted into blue and green-yellowish color. On the other hand, the PL spectrum of rGOQDs did not change significantly. By various optical measurement such as the PL excitation, UV-vis absorbance, and time-resolved PL, we could verify that their PL mechanisms of GOQDs and rGOQDs are closely associated with different atomic structures formed by chemical oxidation and reduction. Our study provides an important insights for understanding the optical properties of GQDs affected by oxygen-functional groups. [1]
-
A
$Ge_2Sb_2Te_5$ nanowire (GST NW) phase change memory device is investigated with Joule heating electrodes. GST is the most promising phase change materials, thus has been studied for decades but atomic structure transition in the phase-change area of single crystalline phase-change material has not been clearly investigated. We fabricated a phase change memory (PCM) device consisting of GST NWs connected with WN electrodes. The GST NW has switching performance with the reset/set resistance ratio above$10^3$ . We directly observed the changes in atomic structure between the ordered hexagonal close packed (HCP) structure and disordered amorphous phase of a reset-stop GST NW with cross-sectional STEM analysis. Amorphous areas are detected at the center of NW and side areas adjacent to heating electrodes. Direct imaging of phase change area verified the atomic structure transition from the migration and disordering of Ge and Sb atoms. Even with the repeated phase transitions, periodic arrangement of Te atoms is not significantly changed, thus acting as a template for recrystallization. This result provides a novel understanding on the phase-change mechanism in single crystalline phase-change materials. -
본 연구에서는 지름이 다른 두 개의 디스크가 적층된 구조를 갖는 금 나노 구조체를 제작하고 그 광학적 특성에 대해 연구하였다. 나노임프린팅을 통하여 패턴된 폴리머 포어 어레이에 금 박막을 증착하고, 포어 내부에 증착된 금 나노구조체를 선택적으로 수거하는 방법을 이용하였다 [1]. 특히 금 증착 시, 빗각으로 증착 (oblique-angle deposition)을 하여 지름이 다른 두 개의 디스크가 적층되어 있는 구조를 형성하는 것이 가능하였다. 증착 각도의 조절을 통해 적층된 두 디스크의 지름 비율을 변화시킬 뿐만 아니라, 2차원 디스크 형태의 나노구조체부터 3차원 디쉬 형태의 구조체도 제작이 가능함을 확인하였다. 제안된 하향식 나노공정을 통하여 합성된 금 나노구조체를 이용하여 광열 전환(photothermal heat conversion)과 광 간섭성 단층 (optical coherence tomography) 측정을 진행하였고, 서로 다른 두 개의 디스크가 적층된 형태의 금 나노구조체는 상용 금 나노로드 (Au nanorod) 보다 높은 광 열 전환 효율을 갖을 뿐 아니라 우수한 OCT 이미징 특성을 보였다. 광열 전환 및 OCT 이미징 실험 결과는 각각 플라즈모닉 나노구조의 광흡수, 광산란 특성에 기반하므로, 본 연구를 통하여 제안된 금 나노구조체는 광흡수 및 광산란을 기반한 바이오이미징 나노프로브로 유용하게 사용될 수 있을 것으로 전망된다.
-
Polyurethane acrylate (PUA) has been introduced to utilize as a mold material for sub-100 nm lithography as it provides advantages of stiffness for nanostructure formation, short curing time, flexibility for large area replication and transparency for relevant biomedical applications. Due to the ability to fabricate nanostructures on PUA, there have been many efforts to mimic extracellular matrix (ECM) using PUA especially in a field of tissue engineering. It has been demonstrated that PUA is useful for investigating the nanoscale-topographical effects on cell behavior in vitro such as cell attachment, spreading on a substrate, proliferation, and stem cell fate with various types of nanostructures. In this study, we have conducted surface modification of PUA films with micro/nanostructures on their surfaces using plasma treatment. In general, it is widely known that the plasma treated surface increases cell attachment as well as adsorption of ECM materials such as fibronectin, collagen and gelatin. Effect of plasma treatment on PUA especially with surface of micro/nanostructures needs to be understood further for its biomedical applications. We have evaluated the modified PUA film as a culture platform using adipose derived stem cells. Then, the behavior of stem cells and the level of adsorbed protein have been analyzed.
-
산업이 발달하면서 다양한 화학물질이 배출되고 이로 인하여 환경이 오염되고 있으며, 특히, 대부분의 유기 화합물은 대기오염에 많은 영향을 주는 물질로 알려져 있다. 최근 유기 화합물을 제거하기 위해서 UV와 가시광에서 반응하는 광촉매 연구가 진행되고 있다. 본 연구에서는 밴드갭에 변화를 주는 doped
$TiO_2$ 와 가시광에서 반응하는 조촉매를 이용하여 광촉매의 특성을 향상시키는 coupled$TiO_2$ 를 제조하였다. Doped$TiO_2$ 를 제조하기 위해서 비금속 물질인 질소(nitrogen)을 사용하였고, coupled$TiO_2$ 는 graphine oxide(GO)를 환원하여$TiO_2$ -RGO 촉매를 제조하였다. N-$TiO_2$ 와$TiO_2$ -RGO의 광학 특성을 평가하기 위해서 UV/Vis 분광광도계를 사용하였다. Methylene blue(MB)와 methyl orange(MO)가 분해되는 반응을 통해서 N-$TiO_2$ 와$TiO_2$ -RGO의 광촉매 특성을 평가하였다. 또한, MB와 MO 분해 테스트에 395 nm long pass filter를 이용하여 가시광에서의 광촉매 활성을 평가하였다. -
Gwon, Seong-Ryul;Ban, Won-Jin;Nam, Jae-Hyeon;Lee, Ye-Ji;Jeong, Dong-Geun;Seo, Yeong-Sik;Park, Hyeon-Yong 227.2
세포를 부착하는 기술은 세포를 배양하기 위한 가장 기초적이며 중요한 기술이다. 세포 부착기술은 대상물과 세포 간의 다양한 생물학적, 물리화학적 연관 관계가 있으나 세포와 부착 대상물 간의 복잡한 상호작용 때문에 완벽히 예측하기는 어렵다. 우리는 이 연구에서 siloxane 성분을 포함하고 있는 전구체인 tetrakis(trimethylsilyloxy)silane과 hydro-carbon을 포함하고 있는 전구체인 cyclohexane을 혼합하여 플라즈마 중합 박막을 만들고 그 박막에서의 mouse embryonic fibroblast cells과 bovine aortic endothelial cell 부착의 정도를 확인하였다. 플라즈마 중합 박막을 제작하기 위해 capacitively coupled plasma chemical vapor deposition system을 사용하였고 carrier gas로는 Ar을 사용하였다. Plasma RF power는 13.56MHz 70W를 사용하였다. Bubbler에서 기화된 전구체를 포함하고 있는 Ar carrier gas가 process chamber에서 혼합되고 두 전구체의 비율을 조절하기 위해 carrier gas를 0 에서 150sccm으로 변화시켜 플라즈마 중합 박막을 제작하였다. 플라즈마 중합 박막의 화학적 조성은 Fourier transform infrared absorption spectroscopy와 X-ray photoelectron spectroscopy를 이용하여 측정하였고, 생물학적 세포 부착 정도는 현미경을 통해 관찰하였다. 또한, 물과 박막의 접촉각(Water contact angle)을 측정함으로써 본 박막과 세포 부착에서의 친, 소수성의 연관성을 확인하였다. Tetrakis(trimethylsilyloxy)silane를 전구체를 사용한 박막에서 세포 부착 억제 표면특성이 관찰되었고, 주입되는 cyclohexane 비율이 늘어날수록 세포부착 가능한 표면 특성을 보였다. 결과적으로, 전구체인 tetrakis(trimethylsilyloxy)silane와 cyclohexane의 비율을 조절함으로써 세포의 부착정도를 제어할 수 있음을 확인하였다. -
We demonstrate a simple route to hybridize two different nanomaterials by using three-dimensional nanodishes that can be used as small plasmonic containers to host guest nanoparticles. Our nanodishes were fabricated using nanoimprint lithography and oblique-angle film deposition, and the guest nanoparticles were drop-casted onto the host nanodishes. Based on the proposed method, colloidal Au nanoparticles were assembled inside Au nanodishes in the form of a labyrinth. These Au nanoparticle-nanodish hybrids excited a strong surface plasmon resonance, as verified by a numerical simulation of the local field enhancement and by direct observation of the enhanced Raman signals. Our results point to the potential of the nanodishes as a useful platform for combining diverse nanomaterials and their functionalities.
-
We have developed a TOF-MEIS system using 70~100 keV He+. A TOF-MEIS system was designed and constructed to minimize the ion beam damage effect by utilizing a pulsed ion beam with a pulse width < 1 ns and a TOF delay-line-detector with an 120 mm diameter and a time resolution of 180 ps. The TOF-MEIS is an useful tool for interfacial analysis of the composition and structure of nano and bio systems. Our recent applications are reported. We investigated the effect with Polyaspartic Acid (pAsp) and Osteocalcin on the initial bone growth of calcium hydroxyl appatite on a carboxyl terminated surface. When pAsp is not added to the self-assembled monolayers of Ca 2mM with Phosphate 1.2 mM, the growth procedure of calcium hydroxyl appatite cannot be monitored due to its rapid growth. When pAsp is added to the SAMs, the initial grow stage of the Ca-P can be monitored so that the chemical composition and their nucleus size can be analyzed. Firstly discovered the existence of 1-nm-sized abnormal calcium-rich clusters (Ca/P ~ 3) comprised of three calcium ions and one phosphate ion. First-principles studies demonstrated that the clusters can be stabilized through the passivation of the non-collagenous-protein mimicking carboxyl-ligands, and it progressively changes their compositional ratio toward that of a bulk phase (Ca/P~1.67) with a concurrent increase in their size to ~2 nm. Moreover, we found that the stoichiometry of the clusters and their growth behavior can be directed by the surrounding proteins, such as osteocalcin.
-
생체 시료인 세포나 조직을 분석을 위해 임의로 파괴하거나 훼손하지 않은 본래의 상태에서 세포에 존재하는 다양한 생체분자 물질의 질량과 조성을 분석하고 영상화할 수 있는 대기압 표면 질량분석 이미징 기술을 개발했다. 생체 시료의 표면을 질량 분석을 하기 위해서는 대기압 분위기에서 시료에 열적 손상이 없는 조건으로 시편의 이온화 및 탈착 과정이 이루어지게 하기 위해 저온 대기압 탈착/이온화원으로 저온대기압 플라즈마 젯과 펨토초 적외선 레이저를 결합하여 대기압 이온화원을 제작하였다. 기존에 잘 알려진 저온 대기압 플라즈마 젯 소자는 유리관에 방전기체를 흘려주고 전극에 고전압을 인가하는 방식으로 제작했으며, 또 다른 대기압 이온화원으로서 근적외선 대역의 고출력 펨토초 레이저 빔을 현미경용 대물렌즈로 집속하여 생체시료에 조사시켰다. 수백 나노미터에서 수 마이크로미터 수준으로 빔을 집속할 수 있는 펨토초 레이저는 금나노로드의 도움으로 생체 시료를 매우 작은 수준으로 탈착하는 데 주로 사용하며, 수십 마이크로미터에서 수 밀리미터 정도의 크기를 가지는 저온 대기압 플라즈마 젯은 탈착된 물질을 이온화시키는데 사용하여, 이 두 가지 이온화원을 결합하여 이온화원으로 사용한다. 시료에서 발생한 이온을 질량분석기 입구까지 잘 끌고 갈 수 있도록 이온 전달관을 설계하고 보조펌프를 장착 사용한다. 이렇게 자체 개발한 대기압 이온화원을 상용 질량분석기기와 결합하여 대기압 분위기에서 시료의 표면을 질량분석할 수 있는 시스템과 측정 기술을 개발했다. 현미경 스테이지에 정밀 2-D 자동 스캐닝 스테이지를 장착하여 질량분석 정보에 공간 정보를 더할 수 있는 질량분석 이미징 기술 방법을 개발하여 생체 시편의 질량분석 이미징을 얻었다. 수분을 포함하는 생채시료로부터 단백질, 지질, 대사물질을 직접 분리하여 분석하는 이 새로운 질량분석법은 기존의 분석법에 비해 훨씬 더 많은 생체분자 정보를 얻을 수 있으며 공간정보를 더해 영상화할 수 있는 큰 장점이 있다. 대기압 표면 질량분석 기술은 생체시료를 파괴해서 용액화할 필요도 없으며, 진공 챔버에 넣기 위해 필요한 복잡한 전처리 과정 단계를 간략화 할 수 있으며 최종적으로는 살아있는 세포나 생체 조직도 정량 분석이 가능하여 생명과학 및 의료진단 분야에서 응용할 수 있는 분야는 무궁무진할 것이다.
-
전자현미경은 전자빔을 이용하여 나노 수준의 분해능으로 초미세 구조물을 관찰할 수 있는 측정 장치이다. 이러한 전자를 소스로 사용하는 현미경에서 전자총의 특성을 파악하는 것은 전자현미경의 광학계를 설계하거나 그 성능을 평가할 때 매우 중요하다. 본 연구에서 제작한 전자총 평가 시스템은 전자총의 특성인 각전류밀도와 가상 전자원 크기를 측정할 수 있다. 이러한 특성을 정확하게 도출하기 위해서는 우선 가상 전자원의 위치를 알아야 한다. 전자총 평가 시스템은 전자총에서 방출된 전자빔을 형광 스크린에 조사하여 전자빔을 가시광선으로 변환하고, 형광 스크린 반대편에서 광학 현미경 렌즈가 장착된 카메라를 이용하여 빛으로 변환된 전자빔을 촬영하여 영상으로 획득 할 수 있다. 본 발표는 이렇게 획득한 영상에서 MathWorks(R)사의 MATLAB(R) 소프트웨어를 이용하여 물리적인 거리를 도출하기 위하여 사용하는 영상처리기법을 소개한다. 사용한 영상처리는 픽셀을 기반으로 계산하였으며, 취득 영상의 잡음을 제거하는 방법, 형광 스크린에서 획득한 전자빔에서 전자빔의 중심점 찾는 방법 및 이동한 전자빔의 거리를 계산하는 방법 등이 있다.
-
One of the major problems of biological ToF-SIMS imaging is the lack of protein and peptide imaging. Most of biological story telling is mianly based on proteins. The biological implication of lipid ToF-SIMS imaging would be much higher if protein imaging is provided together. Utilizing high secondary ion yields of metals, proteins can be ToF-SIMS imaged with nanoparticle tagged proteins. Nanoparticles such as Fe3O4, SiO2, PbS were used for imaing NeuN, MCH, Orexin A,
${\alpha}$ synucline, TH(Tryosine Hydroxylase) in mouse tissues with a spatial resolution of${\sim}2{\mu}m$ using a TOF-SIMS. Lipids and neurotransmitters images obtained simultaneously with protein images were overlayed for more deeper understanding of neurobiology, which is not allowed by any other bioimaging technqiues. The protein images from TOF-SIMS were compared with confocal fluorescence microscopy and NanoSIMS images. A new sample preparation method for imaging single cell membranes in a tissue using the vibrotome technique to prepare a tissue slice without any fixation and freeze drying will be also presented briefly for Hippocampus and Hypothalamus tissues. -
Nanoparticles of magnesium ferrite are used as a heterogeneous catalyst, humidity sensor, oxygen sensor and cure of local hyperthermia. These applications usually utilize the magnetic behavior of these nanoparticles. Moreover, magnetic properties of nanoferrites exhibit rather complex behavior compared to bulk ferrite. The magnetic properties of ferrites are complicated by spins at vortices, surface spins. Reports till date indicate strong dependency on the structural parameters, oxidation state of metal ions and their presence in octahedral and tetrahedral environment. Thus we have carried out investigation on magnesium ferrite nanoparticles in order to study coordination, oxidation state and structural distortion. For present work, magnesium ferrite nanoparticles were synthesized using nitrates of metal ions and citric acid. Fe L-edge spectra measured for these nanoparticles shows attributes of
$Fe^{3+}$ in high spin state. Moreover O K-edge spectra for these nanoparticles exhibit spectral features that arises due to unoccupied states of O 2p character hybridized with metal ions. Mg K-edge spectra shows spectral features at 1304, 1307, 1311 and 1324 eV for nanoparticles obtained after annealing at 400, 500, 600, 800, 1000, and$1200^{\cir c}C$ . Apart from this, spectra for precursor and nanoparticles obtained at$300^{\circ}C$ exhibit a broad peak centered around 1305 eV. A shoulde rlike structure is present at 1301 eV in spectra for precursor. This feature does not appear after annealing. After annealing a small kink appear at ~1297 eV in Mg K-edge spectra for all nanoparticles. This indicates changes in local electronic structure during annealing of precursor. Observed behavior of change in local electronic structure will be discussed on the basis of existing theories. -
Surface energy, being an important material parameter to control its interactions with the other surfaces plays a key role in bio-related application. Carbon films are found very promising due to their characteristics such as wear and corrosion resistant, high hardness, inert, low resistivity and biocompatibility. The present work deals with the deposition of carbon films using unbalanced facing target magnetron sputtering technique. The discharge characteristics were studied using optical emission spectroscopy and correlated with the film properties. Surface energy was investigated through contact angle measurement. The ID/IG ratio as calculated from Raman spectroscopy data increases with the increase in power density due to the higher number of sp2 clusters embedded in the amorphous matrix. The deposited films were smooth and homogeneous as observed by Atomic force microscopy having RMS roughness in the range of 1.74 to 2.25 nm. It is observed that electrical resistivity and surface energy varies in direct proportionality with operating pressure and has inverse relation with power density. The surface energy results clearly exhibited that these films can have promising applications in cell cultivation.
-
본 연구에서는 나노갭 소자에 미세유체 수직 이중층을 도입하여 상층에 존재하는 금나노입자를 검출하였다. 형성된 수직 이중 층의 상층에는 검출물질을 주입하였고 하층에는 검출물질과 소자 표면의 전극을 분리 시킬 수 있는 용액을 주입하였다. 수직 이중층의 형성은 크로노암페로메트리(Chronoamperometry)을 이용하여 상층에 흘려준 electrochemical indicator 인 ferricyanide 용액의 전기화학 신호가 발생되지 않음을 통해서 확인하였다. 연속적인 수직 이중 층의 흐름에서 유전영동법을 이용하여 상층에 존재하는 금나노입자들을 나노갭전극으로 유도포획 하였고 이때 실시간으로 변하는 전류 값으로부터 금나노입자의 검출여부를 판단하였다.
-
흑연 박리를 통해 형성된 탄소나노플레이트를 탄소나노튜브 합성을 위한 지지체로 적용하여 탄소나노플레이트 위에 직접 탄소나노튜브를 합성함으로써 3차원 구조의 탄소나노튜브/탄소나노플레이트 나노혼성체를 합성하였음. 흑연의 박리를 통해 탄소나노플레이트를 제조하기 위해서 층간화합물 삽입과 열처리를 통해 팽창흑연을 제조하고, 물리적 분쇄 과정과 액상 고압균질기 방법을 통해 두께 30nm 이하, 수 마이크론 크기의 탄소나노플레이트를 제조하고 동결건조 방법으로 탄소나노플레이트를 제조하였음. 제조된 탄소나노플레이트 상에 탄소나노튜브 합성을 위해서 탄소나노플레이트 표면처리 공정을 적용하였는데, 표면처리 방법 및 물질에 따라 금속 촉매의 담지량 및 담지 형상이 결정되어 합성되어지는 탄소나노튜브의 합성 수율과 합성된 탄소나노튜브의 형성이 다르게 나타났다. 표면처리 방법으로는 산처리방법, 흡착성 고분자 처리법, 무전해 도금법, 무기산화물 처리법이 적용되었다. 또한 담지되는 촉매 종류 및 함량, 조촉매 적용에 따라 탄소나노튜브 합성 거동을 분석하여 최적 촉매시스템을 구축하여 촉매담지체 질량 대비 700% 이상의 고수율의 탄소나노튜브/탄소나노플레이트 혼성체 합성법을 개발하였다.
-
Piezoelectric force microscopy (PFM) is a powerful method to characterize inversed piezoelectric effects directly using conductive atomic force microscopy (AFM) tips. Piezoelectric domains respond to an applied AC voltage with a characteristic strain via a contact between the tip and the surface of piezoelectric material. Electroactive piezoelectric polymers are widely investigated due to their advantages such as flexibility, light weight, and microactuation enabling various device features. Although piezoelectric polymers are promising materials for wide applications, they have the primary issue that the piezoelectric coefficient is much lower than that of piezoelectric ceramics. Researchers are studying widely to enhance the piezoelectric coefficient of the materials including nanoscale fabrication and copolymerization with some materials. In this report, nanoscale electroactive polymers are prepared by the electrospinning method that provides advantages of direct poling, scalability, and easy control. The main parameters of the electrospinning process such as distance, bias voltage, viscosity of the solution, and elasticity affects the piezoelectric coefficient and the nanoscale structures which are related to the phase of piezoelectric polymers. The characterization of such electroactive polymers are conducted using piezoelectric force microscopy (PFM). Their morphologies are characterized by field emission-scanning electron microscope (FE-SEM) and the crystallinity of the polymer is determined by X-ray diffractometer.
-
Molybdenum disulfide (MoS2)는 van der Waals 결합을 통한 층상구조의 물질로써 뛰어난 물리화학적, 기계적 특성으로 Field Effect Transistors (FETs), Photoluminescence, Photo Detectors, Light Emitters 등의 많은 분야에서 연구가 보고 되어지고 있는 차세대 2D-materials이다. 이처럼 MoS2 가 다양한 범위에 응용될 수 있는 이유는 layer 수가 증가함에 따라 1.8 eV의 direct band gap 에서 1.2 eV 의 indirect band-gap으로 특성이 변화할 뿐만 아니라 다양한 고유의 전기적 특성을 지니고 있기 때문이다. 그러나 MoS2 는 원자층 단위의 layer control 이 어렵다는 이유로 다양한 전자소자 응용에 많은 제약이 보고 되어졌다. 본 연구에서는 MoS2 의 layer를 control 하기 위해 ICP system 에서 mesh grid 를 삽입하여 Cl2 radical을 효과적으로 adsorption 시킨 뒤, Ion beam system 에서 Ar+ Ion beam 을 통해 한 층씩 제거하는 방식의 atomic layer etching (ALE) 공정을 진행하였다. ALE 공정시 ion bombardment 에 의한 damage 를 최소화하기 위해 Quadruple Mass Spectrometer (QMS) 를 통한 에너지 분석으로 beam energy 를 20 eV에서 최적화 할 수 있었고, Raman Spectroscopy, X-ray Photoelectron Spectroscopy (XPS), Atomic Force Microscopy(AFM) 분석을 통해 ALE 공정에 따른 MoS2 layer control 가능 여부를 증명할 수 있었다.
-
Recently, the electromagnetically-induced transparency (EIT)-like effect in metamaterials has attracted enormous interest. Metamaterial analogs of EIT enable promising applications in slow-light devices, low-loss metamaterial, quantum optics, and novel sensors. In this work, we experimentally and numerically studied a bilayer metamaterial for controllable EIT-like spectral response at microwave frequencies. Bilayer metamaterial consists of two snake-shape resonators (SSRs) with one and two bars. The transmission spectra were measured in a frequency range of 4 - 8 GHz in an anechoic chamber at normal incidence. It is found that two SSRs in the metamaterial are activated in bright modes, and the coupling between two bright modes leads to the EIT-like effect, which results in the enhanced transmission at 5.61 GHz. Furthermore, we confirm that the EIT-like feature could be controlled by adjusting the geometric parameters of metamaterial structure. Our work provides a way to tunable EIT-like effect and various potential applications including filters, sensors, and other microwave devices.
-
Artificially-engineered materials, whose electromagnetic properties are not available in nature, such as negative reflective index, are called metamaterials (MMs). Although many scientists have investigated MMs for negative-reflective-index properties at the beginning, their interests have been extended to many other fields comprising perfect lenses. Among various kinds of MMs, metamaterial absorbers (MM-As) mimic the blackbody through minimizing transmission and reflection. In order to maximize absorption, the real and the imaginary parts of the permittivity and permeability of MM-As should be adjusted to possess the same impedance as that of free space. We propose a dual-wide-band and polarization-independent MM-A. It is basically a triple-layer structure made of metal/dielectric multilayered truncated cones. The multilayered truncated cones are periodically arranged and play a role of meta-atoms. We realize not only a wide-band absorption, which utilizes the fundamental magnetic resonances, but also another wide-band absorption in the high-frequency range based on the third-harmonic resonances, in both simulation and experiment. In simulation, the absorption bands with absorption higher than 90% are 3.93 - 6.05 GHz and 11.64 - 14.55 GHz, while the experimental absorption bands are in 3.88 - 6.08 GHz and 9.95 - 13.84 GHz. The physical origins of these absorption bands are elucidated. Additionally, it is also polarization-independent because of its circularly symmetric structures. Our design is scalable to smaller size for the infrared and the visible ranges.
-
Kim, Yongjun;Kang, Junyoung;Jeon, Minhan;Kang, Jiyoon;Hussain, Shahzada Qamar;Khan, Shahbaz;Yi, Junsin 235.2
The front transparent conductive oxide (TCO) films must exhibit good transparency, low resistivity and excellent light scattering properties for high efficiency amorphous silicon (a-Si) thin film solar cells. The light trapping phenomenon is limited due to non-uniform and low aspect ratio of the textured glass [1]. We present the low cost electrochemically deposited uniform zinc oxide (ZnO) nanorods with various aspect ratios for a-Si thin film solar cells. Since the major drawback of the electrochemically deposited ZnO nanorods was the high sheet resistance and low transmittance that was overcome by depositing the RF magnetron sputtered AZO films as a seed layer with various thicknesses [2]. The length and diameters of the ZnO nanorods was controlled by varying the deposition conditions. The length of ZnO nanorods were varied from 400 nm to$2{\mu}m$ while diameter was kept higher than 200 nm to obtain different aspect ratios. The uniform ZnO nanorods showed higher haze ratio as compared to the commercially available FTO films. We also observed that the scattering in the longer wavelength region was favored for the high aspect ratio of ZnO nanorods and much higher aspect ratios degraded the light scattering phenomenon. Therefore, we proposed our low cost and uniform ZnO nanorods for the high efficiency of thin film solar cells. -
Kim, Yongjun;Kang, Junyoung;Jeon, Minhan;Kang, Jiyoon;Hussain, Shahzada Qamar;Khan, Shahbaz;Kim, Sunbo;Yi, Junsin 236.1
The surface morphology of front transparent conductive oxide (TCO) films is very important to achieve high current density in amorphous silicon (a-Si) thin film solar cells since it can scatter the light in a better way. In this study, we present the low cost hydrothermal deposited uniform zinc oxide (ZnO) nano-flower structure with various aspect ratios for a-Si thin film solar cells. The ZnO nano-flower structures with various aspect ratios were grown on the RF magnetron sputtered AZO films. The diameters and length of the ZnO nano-flowers was controlled by varying the annealing time. The length of ZnO nano-flowers were varied from 400 nm to$2{\mu}m$ while diameter was kept higher than 200 nm to obtain different aspect ratios. The ZnO nano-flowers with higher surface area as compared to conventional ZnO nano structure are preferred for the better light scattering. The conductivity and crystallinity of ZnO nano-flowers can be enhanced by annealing in hydrogen atmosphere at 350 oC. The vertical aligned ZnO nano-flowers showed higher haze ratio as compared to the commercially available FTO films. We also observed that the scattering in the longer wavelength region was favored for the high aspect ratio of ZnO nano-flowers. Therefore, we proposed low cost and vertically aligned ZnO nano-flowers for the high performance of thin film solar cells. -
The copper-zinc(Cu-Zn) nanofiber was prepared by electrospinning method. The Cu/PVP (polyvinylpyrrolidone) and Zn/PVP precursor solutions were prepared by dissolution of copper sulfate and zinc acetate in methanol, respectively. The PVP was used to control the viscosity of the precursor solutions. The optimized ratio for the Cu/PVP and Zn/PVP nanofibers was determined separately. Then the suitable ratio of the precursor solutions was applied for fabrication of Cu/Zn/PVP nanofiber. For the electrospinning method, the precursor solutions were filled in a syringe. The distance between metallic needle on the syringe and collector was fixed at 16 cm and the voltage was applied on the tip was 13.0 kV. And the as-spun nanofiber was heated at 353K for removal of residual solvent. Then the heated nanofibers were calcined at 973K to decompose PVP. The obtained Cu, Zn, and Cu-Zn nanofibers were investigated with X-ray photoelectron spectroscopy (XPS) for the chemical properties, scanning electron microscopy (SEM) for the morphologies, and X-ray diffraction (XRD) to characterize the crystallinity and phase of nanofibers.
-
OLED의 낮은 외부 광자 효율 문제를 해결하기 위해서는 발광층은 물론 전극 재료에 대한 연구가 함께 진행되어야 한다. 최근 플렉서블 디스플레이(Flexible Display) 분야에서 투명전극(Transparent Electrode)은 큰 주목을 받고 있다. 기존 전자소자의 투명전극으로는 인듐산화물(ITO, Indium Tin Oxide)이 널리 사용되어 왔으나, ITO의 주원료인 인듐(Indium)은 희소성으로 인해 앞으로 30년 후에 고갈될 것으로 예상되어 ITO를 대체할만한 투명전극 재료가 필요하게 되었다. 인듐이 포함되지 않은(Indium-free) 투명전극을 개발하려는 많은 연구들이 진행 중인데, 본 연구에서는 PEN(Polyethylene Naphthalate) 유연기판 상에 그래핀(Graphene)을 투명전극으로 구현하여 OLED의 효율을 높이는데 이용하고자 하였다. 화학 기상 증착(CVD, Chemical Vapor Deposition) 방법을 이용하여 Cu 호일 위에 그래핀을 성장시킨 후 PEN 유연기판에 전사하여 그래핀 투명전극을 구현하면서 그래핀 성장층을 단층 또는 다층으로 구분하여 성장시켜 각각의 투명전극을 구현해보았다. 유연기판 상의 그래핀의 상태를 확인하기 위해 라만 분광(Raman Spectroscopy) 분석을 이용하여 그래핀 고유의 라만 꼭지점(Raman peak)인 G 꼭지점(G peak: 1580 cm-1), 2D 꼭지점(2D peak: ~2700 cm-1)을 확인하였는데 그래핀 전사 상태가 양호하여 D 꼭지점(D peak: ~1360 cm-1)은 나타나지 않았다. 원자힘 현미경(AFM, Atomic Force Microscope) 분석을 통해 다층 및 단층 그래핀 표면의 거칠기(Roughness) 및 두께(Thickness)를 각각 확인할 수 있었고 자외선-가시광선 분광법(UV-Visible Spectroscopy) 분석으로 그래핀 투명전극과 유연기판의 투과도(Transmittance)를 분석하였으며, 단층 그래핀 투과도가 90%수준의 높은 값이 나타나 ITO보다 개선됨을 확인하였다. 그래핀 면저항은 TLM(Transmission Line Measurement)법을 통해 측정하였는데, 단층 그래핀의 경우
$800{\Omega}/{\square}$ 내외 수준임을 확인할 수 있었다. 본 연구에서는 근자외선 영역에서 높은 투과도와 우수한 전기적 특성을 가지는 그래핀 투명 전도성 전극 구조를 제안하고, 나아가 가시영역에서 ITO를 대체할 수 있는 투명 전도성 전극 물질을 개발함으로써 발광다이오드의 광효율을 높일 수 있는 투명 전도성 전극을 구현하였다. -
본 연구에서는 포토레지스트 코팅과 전기도금 기술을 이용하여 3차원 갭을 가지는 전극을 제작하였다. 3차원 갭은 마이크로 전극이 배열된 하층과 벌크전극이 놓여진 상층으로 구성되었다. 갭의 크기는 하층 전극에 코팅된 포토레지스트의 두께와 하층 전극의 높이 차이로 결정되며, 코팅 두께가 다른 포토레지스트 (
$3.5{\mu}m$ ,$1.25{\mu}m$ )의 사용과 전기도금 기술을 병용하여 3차원 갭의 크기를 줄일 수 있다 (~150 nm). 제작한 3차원 갭 소자의 상 하층 전극에 각각 산화, 환원 전압을 인가함으로써, 유입된 ferricyanide의 redox cycling 을 유도 할 수 있음을 확인하였으며, 본 연구의 결과는 원자힘현미경 (AFM), 주사전자현미경 (SEM), 순환전압전류법 (CV) 및 시간대전류법 (CA)을 통해 분석 되었다. -
2차원 탄소나노재료인 그래핀은 본연의 우수한 물성으로 인하여 전자소자, 에너지 저장매체, 유연성 전도막 등 다양한 분야로의 응용가능성이 제기되었다. 그러나 실제적인 응용을 위해서는 그래핀의 구조적인 결함을 최소화하며, 특성을 자유로이 제어하거나 향상시키는 공정의 개발이 필요하다. 일반적으로 화학적 도핑은 그래핀의 전기적 특성을 제어하는 효율적인 방법으로 알려져 있다. 화학적 도핑의 방법으로는 그래핀을 특정 가스 분위기에서 고온 열처리하거나 활성종들이 존재하는 플라즈마에 노출시킴으로써, 그래핀을 구성하는 탄소원자를 이종원자로 치환하거나 표면에 흡착시켜 기능화 된 그래핀을 얻는 방법 등이 제시되었다. 특히 플라즈마를 이용한 도핑방법은 저온에서 단시간의 처리로 효율적인 도핑이 가능하고, 인가전력, 처리시간 등의 플라즈마 변수를 변경하여 도핑정도를 수월하게 제어할 수 있다는 장점을 가지고 있다. 그러나 플라즈마 내에 존재하는 극성을 띄는 다양한 활성종들로 인하여 그래핀에 구조적인 결함을 형성하여 오히려 특성이 저하될 수 있어 이를 고려한 플라즈마 공정조건의 설정이 필수적이다. 따라서 본 연구에서는 플라즈마에 노출된 그래핀의 Raman 특성을 고찰함으로써 화학적 도핑과 구조적인 결함의 경계를 확립하고 구조결함의 형성을 최소화한 효율적인 도핑조건을 도출하였다. 고품질 그래핀은 물리적 박리법을 이용하여 300 nm 두께의 실리콘 산화막이 존재하는 실리콘 웨이퍼 위에 제작하였으며, 평행 평판형 직류 플라즈마 장치를 이용하여 전극의 위치, 인가전력, 처리시간을 변수로 암모니아(NH3) 플라즈마를 방전하여 그래핀의 Raman 특성변화를 관찰하였다. 그래핀의 구조적 결함 및 도핑은 라만 스펙트럼의 D, G, D', 2D밴드의 강도비와 G밴드의 위치와 반치폭(Full width at half maximum; FWHM)의 변화를 통해 확인하였다. 그 결과, 인가전력과 처리시간이 증가함에 따라 그래핀의 도핑레벨이 증가되고, 이후에는 도핑효과가 없어지고 결함의 정도가 상승하는 천이구역이 존재하며, 이를 넘어서는 너무 높은 인가적력의 처리는 그래핀에 결함을 형성하여 구조적인 붕괴를 야기함을 확인하였다.
-
Surface modification of vertically-aligned carbon nanotube (VACNT) is essential in order to meet specific demands for particular applications such as field emission displays, heat dissipation device and potential sun energy conversion due to their superior electrical and thermal conductivity and strong light absorption. In this study, we observe the effect of exposure to water vapor on a different lengths of the surfaces of VACNT. The study was conducted on three different lengths of the VACNT: short length around
$200{\mu}m$ , medium-length around$500{\mu}m$ , and high length around 1 mm. Water exposure time ranges between 2-10 min and temperature of the water ranges from 60 to 120 oC. The result of water vapor exposure mainly show that increasing the exposure time and water temperature give rise to increase of the speed of change on the surface of the VACNT. Especially, the shorter VACNT change their surface morphology most rapidly. -
1차원 탄소나노재료이며 한 겹의 흑연을 말아 놓은 형태인 단일벽 탄소나노튜브(Single-walled carbon nanotubes, SWNTs)는 감긴 형태에 따라 반도체성, 금속성 성질을 나타내는 특이성과 우수한 기계적 성질을 지니고 있어 광범위한 분야로 응용이 기대되어왔다. 이러한 SWNTs의 응용가능성을 실현시키기 위해서는 보다 경제적, 산업적인 면에서 손쉬운 합성방법의 개발이 필요한 실정이다. SWNTs의 합성 방법들로는 아크방전법과 레이저 증발법, 그리고 열화학기상증착법(Thermal chemical vapor deposition, TCVD) 등이 이용되었다. 이 중 TCVD법은 대면적의 균일한 CNTs를 합성할 수 있다는 장점이 있다. 그러나 탄화수소가스를 효율적으로 분해하기 위하여
$800^{\circ}C$ 이상의 고온 공정이 요구되며, 이는 경제적, 산업적인 면에서 사용이 제한적이다. 따라서 저결함, 고수율의 SWNTs를 저온합성 할 수 있는 공정의 개발이 지속적으로 필요하다. 본 연구에서는, TCVD법을 이용하여 에틸렌 원료가스로 SWNTs의 저온합성 가능성을 확인하였다. 합성을 위한 기판과 촉매로는 실리콘 산화막 기판(SiO2/Si wafer)에 철 나노입자를 지닌 ferritin을 스핀코팅 후 산화하여 이용하였다. 저온합성 공정의 변수로는 합성온도와 원료가스인 에틸렌의 분율을 설정하여, 변수가 SWNTs의 결정성과 수율에 미치는 영향을 고찰하였다. 합성된 SWNTs의 분석의 용이함과 손지기(Chirality)의 제어를 위하여 나노 다공성 물질인 제올라이트(Zeolite)를 보조 기판으로 사용하였다. 실험결과 에틸렌 원료가스로 합성한 SWNTs는$700^{\circ}C$ 부근의 저온에서도 합성이 가능함을 확인하였다. 또한 에틸렌 원료가스의 분율과 합성시간의 정밀한 제어를 통해 SWNTs의 합성온도를 더욱 감소시키는 것도 가능할 것으로 예상된다. -
Graphene is a most interesting material due to its unique and outstanding properties. However, semi-metallic properties of graphene along with zero bandgap energy structure limit further application to optoelectronic devices. Recently, many researchers have shown that band gap can be induced in the Bernal stacked bilayer graphene. Several methods have been used for the controlled growth of the Bernal staked bilayer graphene, but it is still challenging to control the growth process. In this paper, we synthesize the large area Bernal stacked bilayer graphene using multi heating zone low pressure chemical vapor deposition (LPCVD). The synthesized bilayer graphenes are characterized by Raman spectroscopy, optical microscope (OM), scanning electron microscopy (SEM). High resolution transmission electron microscopy (HRTEM) is used for the observation of atomic resolution image of the graphene layers.
-
Lee, Yong-Min;Hwang, Gi-Hwan;Seo, Hyeon-Jin;Choe, Hyeon-Ji;Lee, Yul-Hui;Kim, Dong-In;Nam, Sang-Hun;Bu, Jin-Hyo 243.1
To replace the based on silicon solar cells, the third generation solar cells, Dye-sensitized solar cells (DSSCs), is low fabrication than silicon solar cells, environmentally friendly and can be applied to various field. For this reason, the DSSCs have been continuously researched. But DSSCs have one drawback that is the low power conversion efficiency (PCE) than silicon solar cells. To solve the problem, we used the backr-eflector the Al foil that can be easily obtained from the surrounding in order to improve the efficiency of the DSSCs. Easily detachable Al foil back-reflector increases the photocurrent by enhancing the harvesting light because the discarded light is reused. It also leads to enhance the power conversion efficiency (PCE). In addition, we compared with the efficiency of the DSSCs that is applied and does not be applied with back-reflector according to the thickness of the TiO2 photoelectrode. When the back-reflector is applied to DSSCs, the photocurrent is increased. It leads to affect the efficiency. We used to solar simulator and Electrochemical Impedance Spectroscopy (EIS) to confirm the PCE and resistance. The DSSCs were also measured by External Quantum effect (EQE). At the same time, FE-SEM and XRD were used to confirm the thickness of layer and crystal structural of photoelectrode. -
We report a high-performing nanoscale NiO thin film grown by thermal oxidation of sputtered Ni film. The structural, physical, optical and electrical properties of nanoscale NiO were comprehensively investigated. A quality transparent heterojunction (NiO/ZnO) was formed by large-area applicable sputtering deposition method that has an extremely low saturation current of 0.1 nA. Considerable large rectification ratio of more than 1000 was obtained for transparent heterojunction device. Mott-Schottky analyses were applied to develop the interface of NiO and ZnO by establishing energy diagrams. Nanoscale NiO has the accepter carrier concentration of the order of 1018 cm-3. Nanoscale NiO Schottky junction device properties were comprehensively studied using room temperature impedance spectroscopy.
-
The Ag Nanowire is one of the materials that are widely studied as alternatives to ITO and is available for large area, low cost process and the flexible transparent electrode. However, Ag nanowire can have the problem of a lack of stability at high temperatures, making this impossible to form a film. Using a structure of ITO/AgNW/ITO in photodetector device, we improved the properties of the ITO in the IR region and improved the thermal stability of the AgNW. The structure of ITO/AgNW/ITO has a high transmittance value of 89% at a wavelength of 900 nm and provide a good electrical property. The AgNWs embedded ITO film has a high transmittance, this is because of the light scattering from the AgNW. The thermal stability of the developed ITO/AgNWs/ITO films were investigated and found AgNWs embedded ITO films posses considerable high stability compared to the solo AgNWs on the Si surface. The ITO/AgNWs/ITO device showed a improved photo-response ratio compared to those of the conventional TC device in IR region. This is attributed to the high transmittance and low sheet resistance. We suggest an effective design scheme for IR-sensitive photodetection by using an AgNW embedded ITO.
-
염료감응형 태양전지(DSSC)는 친환경성과 저렴한 제조공정이 장점으로 최근에 많은 관심을 받고 있다. 현재에는 DSSC를 다양한 반도체 나노입자를 염료에 흡착시키거나, 무반사 코팅등 광학적인 접근을 통해 효율을 증가시키려는 실험이 활발히 진행되고 있다. 다른 한편으로는 DSSC의 효율을 떨어뜨리지 않으면서 DSSC의 장점인 저렴한 제조단가를 더 줄이는 연구가 진행되고 있다. 본 연구에서는 DSSC의 재료물질 중 가장 비싼 편인 Pt 박막을 다른 물질로 대체하기 위해, 몇 가지 공정을 거쳐 추출한 carbon을 사용하였다. 전형적인 DSSC 제작을 위해, FTO glass와 TiO2, 액체전해질을 사용하였고, 제작된 carbon 물질을 solvent에 섞은 뒤 counter electrode에 닥터 블레이드 방식으로 바르고 열처리하였다. 제작된 carbon을 분석하기 위해서 scanning electron microscope (SEM)과 X-ray diffraction (XRD)를 사용하였으며, counter electrode에 carbon을 사용한 DSSC는 Pt 박막을 사용한 일반적인 DSSC와 비교하였을 시에 만족할 만한 효율을 보이는 것을 확인하였다.
-
Quantum dots (QDs) are attracting growing attention for photovoltaic device applications because of their unique electronic, optical and physical properties. Lead sulfide (PbS) QDs are one of the most widely studied materials for the devices and known to have size-tunable properties. In this context, we investigated the relationship between the size of PbS QDs and two synthesizing conditions, a concentration of ligand, oleic acid in this work, and injection temperature. The inverted colloidal quantum dot solar cells based on the heterojunction of n-type zinc oxide layer and p-type PbS QDs were also fabricated. The size of the QDs and cell properties were observed to depend on both the QD synthesizing conditions, and hence the overall efficiency of the cell could vary even though the size of QDs used was same. The QD synthesizing conditions were finally optimized for the maximum cell efficiency.
-
Connecting two or more sub-cells is a simple and effective way of improving power conversion efficiency (PCE) of solar cells, and the theoretical efficiency of this tandem cell is known to reach 85~88% of the sum of the sub-cell's efficiencies. There are two ways of connecting sub-cells in the tandem structure, i.e. parallel and series connection. The parallel connection can increase the short circuit current (Jsc) and the series connection can increase the open circuit voltage (Voc). Although various tandem structures have been studied, the full use of incident light and optimization of cell efficiency is still limited. In this work, we designed series tandem solar cells consisting of lead sulfide (PbS) quantum dots/zinc oxide-based QDSC and zinc phthalocyanine (ZnPc)/C60-based small molecule OSCs. It is expected that the loss of the incident light is minimized because the absorption range of the PbS quantum dots and ZnPc is significantly different, and the Voc increases according to the Kirchhoff's law.
-
Kim, Dong-In;Nam, Sang-Hun;Hwang, Gi-Hwan;Lee, Yong-Min;Seo, Hyeon-Jin;Yu, Jeong-Hun;Choe, Hyeon-Ji;Lee, Yul-Hui;Bu, Jin-Hyo 250.1
광학적 특성 중 광 포집 (Light trapping)을 향상시키기 위해 표면의 거칠기 및 형태를 변화시킬 수 있는 방법으로 유리 텍스쳐 방법을 적용시키는 연구가 최근에 많이 진행되고 있다. 본 연구에서 광 포집 및 전류밀도 향상을 위해 페로브스카이트 태양전지의 상부전극에 적용 하였다. 본 연구에서 FTO 기판 후면의 유리 부분을 희석된 HF 용액을 사용하여 습식화학공정을 진행 하였다. 이때 텍스쳐 시간을 조절하여 실험을 진행하였으며, 박막의 광 산란 및 포집 특성을 조절 하였습니다. 텍스쳐된 유리기판을 페로브스카이트 태양전지에 적용 하였을 때, 광 산란 및 포집 효과로 인하여 전류밀도와 효율이 증가됨을 확인하였다. 이러한 유리 텍스처 처리는 다양한 태양전지 구조에 이용될 수 있다. -
Hwang, Sang-Hyeok;Park, Jong-In;Kim, U-Jae;Choe, Jin-U;Park, Hye-Jin;Jo, Tae-Hun;Yun, Myeong-Su;Gwon, Gi-Cheong 250.2
결정질 태양전지의 변환효율은 이미 이론적 한계에 가까워져, 최근 산업에서는 이 대신 제조공정 단가를 낮추려는 연구가 진행되고 있다. 본 연구에서는 태양전지 도핑공정에 대기압 DBD 플라즈마를 응용하여 저렴하게 태양전지를 제작할 수 있는 방법을 모색한다. 대기압 DBD 플라즈마를 발생시키기 위해 DC-AC 인버터 구조의 전원을 사용하여 수십 kHz의 주파수, 수 kV의 전압을 인가하여$5cm{\times}1cm$ 직사각형 모양의 아노다이징된 알루미늄 전극을 사용하였다. 전극과 Ground 사이에 Argon 가스를 주입하여 플라즈마를 발생시켰으며, 출력전류는 수십 mA의 전류가 측정되었다.$3cm{\times}3cm$ 의 P-type wafer에 스핀코팅 방식으로 H3PO4를 도포한 후, Wafer 표면에 플라즈마를 조사하여 대기압 DBD 플라즈마를 이용한 태양전지 도핑 가능성을 확인하였다. 플라즈마 출력 전류와 플라즈마 조사시간을 변수로 도핑된 Wafer의 특성을 확인하였다. 도핑 프로파일은 SIMS (Secondary Ion Mass Spectrometry)를 통해 측정하였으며, 전기적인 특성은 4 point probe로 면저항을 측정하였다. 대기압 DBD 플라즈마를 이용해 도핑된 wafer에 전극을 형성하여, 같은 도펀트를 사용하여 Furnace로 열 확산법을 이용해 도핑 공정을 진행한 wafer와 변환효율(Conversion efficiency)을 측정하여, 대기압 플라즈마를 이용한 도핑 가능성을 확인하였다. -
최근 화석연료 고갈 문제를 해결하기 위해 대체에너지 개발과 다양한 형태의 에너지 개발에 관한 연구가 활발히 이루어지고 있다. 특히, supercapacitor는 high energy density, high power density, longer life-time과 같은 특성으로 인해 에너지 저장 소자로 각광 받고 있다. Supercapacitor는 석유를 대체할 수 있으며 이산화탄소 배출이 없는 친환경 에너지인 태양광, 풍력, 수소연료전지 등의 신재생에너지 저장장치로써 큰 비중을 차지한다. Supercapacitor의 종류인 electrical double layer capacitors (EDLCs) 는 전극과 전해질 사이에 발생하는 전기 이중층에 축적되는 전하를 이용하여 에너지를 저장하는 반응 메커니즘을 가지며 전극 재료로는 탄소 소재를 사용한다. 탄소 소재는 환경 오염이 적고 가격이 저렴하며 넓은 표면적이라는 장점이 있다. 하지만 기존 탄소 소재는 이러한 장점을 가지지만 supercapacitor로써의 효율이 좋지 않게 나온다. 이런 문제를 개선하기 위하여 그래핀 나노플레이트(Graphene nanoplate, GNP) 위에 직접 탄소나노튜브(Carbon nanotube, CNT)를 성장 시킴으로써 GNP-CNT 하이브리드 탄소 소재를 제조하여 전극으로 사용하였다. 이 GNP-CNT 하이브리드 탄소 소재는 다차원 구조를 가짐으로써 기존 탄소 소재들보다 분산이 잘되고 전해질과의 작용하는 비표면적이 넓다. 전극을 제작하여 Cyclic voltammetry(CV)와 galvano를 측정한 결과는 기존 탄소나노튜브보다 5배 정도의 정전용량(Capacitance)를 가졌다. 이 전극의 구조적 특성을 관찰하기 위해 SEM, TEM 등을 측정하였다.
-
야외에 설치 운영되는 태양광발전 시설과 설비들은 여러 요인들로 인해 쉽게 오염이 되고 이로 인한 효율 저하 및 유지 비용증가로 인하여 경제성이 저하되는 문제점을 가지고 있다. 본 연구에서는 기능성 나노코팅을 이용한 태양광 묘듈의 표면 코팅으로 내오염 특성을 향상시켜 오염에 의한 태양광 발전효율과 유지비용의 절감에 대한 방안을 제시하였다. 기능성 나노코팅은 태양광 모듈 커버글라스와 재질이 같은 유리 기판위에 코팅하였고, 코팅 방식에 따른 변화를 실험하였고, 코팅 방식으로는 딥핑(dipping), 스프레이, 천, 브러쉬를 사용하여 수행하였다. 유리 기판 위에 합성된 기능성 나노코팅의 특성분석은 내오염 특성, 광투과도, 접촉각, 부착력을 수행하였고, 실험에 활용된 기능성 나노코팅이 유리 기판 표면 오염방지에 탁월한 효과를 가짐을 확인하였다.
-
Cu(In,Ga)Se2 (CIGS) 박막 태양 전지에서 buffer layer는 CIGS 흡수층과 TCO 사이의 밴드갭 차이에 대한 문제점과 lattice mismatch를 해결하기 위해 필수적이다. 흔히 buffer layer 물질로는 CdS가 가장 많이 사용되고 있으나 Cd의 독성에 관한 문제가 야기되고 있다. 따라서 ZnS(O, OH) buffer layer가 친환경 물질로 기존의 CdS 버퍼 층의 대체 물질로 각광 받고 있으며, 단파장 범위에서 높은 투과율로 인해 wide band gap의 Chalcopyrite 태양 전지에 응용되는 buffer layer로 많은 연구가 이루어지고 있다. 또한 buffer layer를 최적화 하여 carrier lifetime과 양자 효율이 증가시킬 수 있는 특성을 가지고 있다. 이 연구에서는 Cu(In,Ga)Se2 (CIGS) 박막에 화학습식공정 (CBD) 방법을 이용하여 최적화된 ZnS(O, OH)의 증착 조건을 찾고, 고품질의 buffer layer를 제조하기 위한 실험에 초점을 맞췄다. 또한, buffer layer의 막질을 개선하고 균일한 막을 제조하기 위해 processing parameters인 시약의 농도, 제조 시간 및 온도 등의 다양한 변화를 통해 실험을 진행하였다. 그 후 최적화된 ZnS(O, OH) buffer layer의 특성 분석을 위해 X-ray diffraction(XRD), photoluminescence (PL), scanning electron microscope (SEM) and GD-OES을 이용하였고, 이를 통해 제조된 CIGS 박막 태양전지는 light induced current-voltage (LIV) and external quantum efficiency (EQE)를 통해 특성 분석을 실시 하였다. 결과적으로, 제조된 ZnS(O, OH) buffer layer의
$ZnSO4{\cdot}7H2O$ 의 농도는 0.16 M, Thiourea는 0.5 M, NH4OH는 7.5 M, 그리고 반응 온도는 77.5 oC의 조건 하에 CIGS 기판 위에 균일하고 균열이 없는 ZnS(O, OH) 박막을 제조하였으며 이때 제조된 태양전지의 소자 특성은 Voc = 0.478 V, Jsc = 35.79 mA/cm2, FF = 47.77%,${\eta}=8,18 %$ 이다. -
In this paper, we report on the 10.33% efficient thin film/bulk tandem solar cells with the top cell made of amorphous silicon thin film and p-type bulk crystalline silicon bottom cell. The tunneling junction layers were used the doped nanocrystalline Si layers. It has to allow an ohmic and low resistive connection. For player and n-layer, crystalline volume fraction is ~86%, ~88% and dark conductivity is
$3.28{\times}10-2S/cm$ ,$3.03{\times}10-1S/cm$ , respectively. Optimization of the tunneling junction results in fill factor of 66.16 % and open circuit voltage of 1.39 V. The open circuit voltage was closed to the sum of those of the sub-cells. This tandem structure could enable the effective development of a new concept of high-efficiency and low cost cells. -
ITO가 코팅된 PET 기판 위에 P3HT:PCBM으로 이루어진 bulk heterojunction 유기 태양전지 소자를 만들었다. 이렇게 만들어진 유기 태양전지의 flexibility 특성을 측정하기 위해서, 태양전지 소자를 반지름이 다른 원통에 감아서 휘어지게 한 후 AM 1.5의 조명 하에서 전류-전압 특성을 관측하고 소자의 임피던스 분석도 측정하였다. 이때 flexibility 특성 측정의 일관성을 위하여, 단 하나의 유기 태양전지 소자를 만들고, 이 소자를 반지름이 큰 원통에서 부터 휘게 하고난 후 소자의 특성을 측정하고, 점차 작은 원통으로 바꾸어 가면서 측정을 진행하였다. 임피던스 분석 실험 자료로부터 shifted two semicircles이라는 equivalent circuit model를 분석하고 난 후, 이 회로를 구성하는 구성 성분-R(s), R(low f), R(hi f), C(low f), C(hi f)-값의 변화를 원통의 반지름의 변화에 따라 분석하였다. 반지름이 0.75cm일 때, power conversion efficiency (PCE) 값은 초기값에 비해 약 1/3로 줄었고, 반지름이 0.5cm일 때는 약 10%로 줄어 들었다. 나머지 1~2 cm일 때는 거의 변화가 나타나지 않았다. 휘어짐에 따른 이러한 태양전지의 특성의 변화를 임피던스 분석의 Cole-Cole plot의 저 주파수 영역의 반원의 반지름에 가장 큰 영향을 받음을 확인하였고, 저항과 capacitance 값의 변화에 따른 특성에 대해 이번 발표에서 더 자세히 설명할 예정이다.
-
염료감응형 태양전지(Dye-Sensitized Solar Cells; DSSC)는 공정비용과 재료가 저렴하여 차세대 태양전지로 각광받고 있다. 특히 투명한 재료를 사용하므로 flexible한 기판을 이용하여 그 적용범위가 넓다. DSSC는 상부전극인 FTO와 전해질의 접촉으로 인해 일부 FTO의 전자가 외부로 나가지 못하고 산화환원 반응에 의해 도로 전해질로 들어갈 확률이 있다. 이로 인해 효율 감소문제를 야기 할 수 있다. 이를 해결하기 위해 FTO위에 여러 물질들을 증착하거나 코팅 등의 많은 연구가 이루어져 왔다. ZnO를 DSSC로 적용한 연구는 많이 이루어졌지만 대부분 공정이 Chemical Vapor Deposition (CVD)으로 진행 되어왔다. 본 연구에서는 FTO위에 ZnO를 진공 공정에 비해 저렴하고 간단한 spin-coating으로 blocking layer를 형성하였다. 그 후 염료에서 여기 된 전자를 FTO로 전달해 주는 역할을 하는 TiO2를 doctor blade방법으로 형성하였다. ZnO는 TiO2하고 전도대와 가전자대의 에너지 준위 차이가 거의 없고, ZnO의 전자 이동도가 TiO2보다 높기 때문에 FTO로 전자를 큰 저항 없이 전달 할 수 있다. 또한 투과율이 좋아 염료까지의 빛의 투과성도 뛰어나다. ZnO blocking layer를 형성하여 FTO에서 전해질로의 전자이동을 막아주는 역할을 하여 DSSC의 performance 향상을 확인하였다. Field Emission Scanning Electron Microscope(FE-SEM)을 통해 FTO/ZnO/TiO2의 계면 및 두께를 확인하였고. DSSC의 특성 분석을 위해 I-V curve, Power conversion efficiency, Impedance spectroscopy를 측정 하였다.