Proceedings of the Korean Vacuum Society Conference (한국진공학회:학술대회논문집)
- Semi Annual
Domain
- Physics > Optics
2012.08a
-
Vacuum pumping remains central to the performance and economy of many manufacturing processes, scientific instruments and scientific research. More vacuum is being used in many of the latest or leading edge manufacturing processes: Current examples include 3D semiconductor devices, EUV lithography, 450 mm silicon wafers, AMOLED displays, LEDs, Lithium-ion batteries and steel degassing. In other applications, vacuum pumping technology developments have led to much lower product costs which for example have enabled mass spectrometers to become a ubiquitous tool is life science research. Vacuum pumps have continuously evolved during the past 100 years of vacuum-based industrial processing but remain a key component which is often on the critical path of process and product improvements. This is especially so in the growing number of applications where the pumps are highly stressed. This presentation outlines significant developments in vacuum that have brought about this progress. The likely course of continued improvements is discussed in terms of increased performance and reliability, robust by-product handling, better cost efficiency and reduced environmental impact especially power consumption.
-
편광분석법(ellipsometry)은 대상 물질의 유전율 함수의 실수부와 허수부를 Kramers-Kronig 관계식의 도움 없이 그 물질상수를 정확히 측정할 수 있는 매우 우수한 기술이다. 이 기술의 큰 장점 중 하나는 빛의 편광상태의 변화를 이용한 비파괴적인 방법으로써 실시간 측정이 가능하며, 박막의 두께측정의 오차범위는 0.1 nm 이하로써 매우 정확하다는 것이다. 본 연구자는 이러한 우수한 측정 기술인 편광분석법을 고진공의 분자살박막증착장치(MBE) 와 결합하여 AlSb, AlP의 유전율 함수를 측정하였다. Al 계열을 포함하는 반도체 화합물은 Al의 산소친화력이 강해 대기 중에서 순수한 유전율 함수를 얻기가 불가능하다. 하지만 본 연구실에서 초고진공 상태의 MBE 챔버에서 시료를 성장시키는 동시에 실시간으로 편광분석기를 이용하여 측정하였고, 지금까지 발표된 결과들 중 가장 순수한 상태의 AlSb 유전율 함수를 얻어낼 수 있었다. 또한 순수한 AlP의 유전함수를 측정할 수 있었고, 이는 편광분석기를 이용한 최초의 실험결과로써 이차미분을 이용한 전이점 분석결과 이론적인 전자밴드구조에서 E1, E1+
${\Delta}1$ , E2에 해당하는 밴드갭들을 확인할 수 있었다. 또한 표면의 원자배열 구조와 실시간으로 일어나는 그들의 역학적인 현상들에 관한 정보를 얻을 수 있는 surface photoabsorption (SPA)를 metalorganic chemical vapor deposition (MOCVD)에 장착하여 실시간 모니터링이 가능하도록 하였다. SPA를 이용하여 GaAs/AlGaAs 양자우물구조의 성장을 원자층 수준으로 실시간 모니터링을 할 수 있었다. 그리고 SPA를 이용하여 MOCVD 안에서 InP에 As가 흡착 및 탈착되는 현상을 분석하여, As의 흡착이 두 단계에 의해 이루어짐을 분석하였다. 그리고 편광분석법의 빠르고 정확한 측정 기술을 규칙적인 구조체에서 전자기파의 회절을 구할 수 있는 Rigorous Coupled-Wave Analysis (RCWA) 계산방법과 결합하여 나노구조의 기하학적인 모양을 정확하고 빠르게 구할 수 있었다. 본 연구를 위해 규칙적인 3차원 Si 구조체 제작하여 편광분석기로 측정하고$SiO_2$ 와 표면 거칠기를 고려하여 RCWA로 분석한 결과, 규칙적인 Si 구조와 산화막 층까지 정확하게 분석할 수 있음을 확인하였다. 또한 규칙적인 나노구조분석 연구를 넘어 불규칙적인 나노구조에 대한 분석 가능성을 보이기 위해 InAs 양자점을 증착하여 분석하였고, 이를 통해 편광분석법과 RCWA를 이용하여 불규칙적인 나노구조의 모양과 크기, 분포의 분석이 가능함을 보였다. -
기존 실리콘 전자소자와 광소자를 접목한 실리콘 광전 집적회로를 구현하기 위하여, 또한, 실리콘 계 광소자에서 예상하는 장점으로 인하여 실리콘 계 광소자 연구가 꾸준히 진행되어 왔다. 본 발표에서는 그동안 수행하였던 여러 가지 실리콘 계 광물질의 합성과 광 특성 그리고 광 방출 메카니즘에 관하여 논의하고자 한다.
-
Graphene, two-dimensional one-atom-thick planar sheet of carbon atoms densely packed in a honeycomb crystal lattice, exhibits fascinating electrical properties, such as a linear energy dispersion relation and high mobility in addition to a wide-range optical absorption and high thermal conductivity. Graphene's outstanding tensile strength allows graphene-based electronic and photonic devices to be flexible, bendable, or even stretchable. Recently many groups have reported high performance electronic and optoelectronic devices based on graphene materials, i.e. field-effect transistors, gas sensors, nonvolatile memory devices, and plasmonic waveguides, in which versatile properties of graphene materials have been incorporated into a flexible electronic or optoelectronic platform. However, there are several fundamental or technological hurdles to be overcome in real applications of graphene in electronics and optoelectronics. In this tutorial we will present a short introduction to the basic material properties and recent progresses in applications of graphene to electronics and optoelectronics and discuss future outlook of graphene-based devices.
-
근래 탄소 단원자 두께의 2차원 결정재료인 그래핀은 연구자들에게 연구대상물질로 가장 각광 받고 있지만 그 합성법 및 이에 따른 분석법은 매우 제한되어 있다. 특히 분석의 핵심인 결정구조 및 원자구조 등을 탐구하기 위해서는 투과전자현미경(TEM)의 이용이 필수적이다. 최근 수차보정 기법을 이용한 투과전자현미경의 비약적인 발전으로 인해 탄소와 같이 가벼운 원소의 단원자까지 이미징해 낼 수 있는 수준에 이르고 있지만 정확한 그래핀 분석을 위해서는 전자현미경의 기본적인 이해와 분석사례 중심으로 깊이 있게 분석법을 살펴보는 것이 유용하다. 본 Tutorial에서는 전자현미경의 기본적인 이론과 최첨단 투과전자현미경의 소개, 그리고 이 투과전자현미경을 이용한 그래핀의 분석 방법과 그 사례들, 제한점등에 대해 알아본다. 그래핀의 층수분석을 위한 전자회절법, 그래핀의 결정입계 분석을 위한 전자회절, 암영상법 및 원자분해능 이미징, STEM영상 기법, 그래핀을 나노재료 분석에 지지막으로 이용하는 방법, bilayer 그래핀 등에 대해 살펴본다. 그리고 저전압에서 작동하는 저손상 단원자 분해능의 성능과 미래의 응용 가능성, 발전방향등을 전망해 본다.
-
본 발표에서는 최근 과학계에서 대두되어 전 세계적으로 폭발적인 연구가 수행되고 있는 신소재 그래핀에 대한 전반적인 소개와 현재 동향 및 미래 응용가능성에 대해 언급하고자 한다. 그래핀 연구관련 다양한 분야가 있으나, 본 세미나에서는 CVD로 합성하는 방법에 대한 개념 그리고 합성한 대면적 그래핀의 다양한 응용 분야들과 본인이 수행했던 연구결과물들을 몇 가지 소개하고자 한다. 구체적인 내용으로는 CVD에 의한 대면적 그래핀의 합성, 합성된 그래핀의 전사 및 패터닝 공정, CVD 그래핀의 도핑 및 다양한 물성분석, 그리고 그래핀 파이버, 히터 및 태양전지 응용 연구 등이다. 특히, 그래핀의 연구에 있어서 가장 중요한 이슈가 아주 품질이 좋은 그래핀 시료를 준비하는 것인데, 이는 좋은 그래핀을 합성해야 하는 것은 물론이고, 깨끗한 전사공정이 수반되어야 가능하다. 따라서 깨끗한 전사공정을 통해 품질 좋은 그래핀을 준비하는 상세한 과정들과 이에 대한 결과물들을 언급하겠다. 이어서 최근에는 CVD 방법에 의한 질소원자가 도핑된 그래핀의 직접 합성을 시도하였고, 이렇게 도핑된 그래핀 시료에 대해, 다양한 분석 장비들(Raman, STM, XPS & XAS)을 이용하여 기초물성들을 측정하고 비교 분석하였다. 끝으로 최근에 수행중인 그래핀과 기타 다른 나노소재(VO2, h-BN etc.)들과 접목된 hybrid 나노소재 연구에 대한 내용을 간략히 소개하고 발표를 마무리하겠다.
-
Chemically modified graphene has been great interest for the application of printed electronics using solution prossesable technique. Here, we demonstrate a large area graphene exfoliation method with fewer defects on the basal plane by application of shear stress in solution to obtain high quality reduced graphene oxide (RGO). Moreover, we introduce a novel route to preparing highly concentrated and conductive RGO in various solvents by monovalent cation-
${\pi}$ interaction. Noncovalent binding forces can be induced between a monopole (cation) and a quadrupole (aromatic${\pi}$ system). The stability of this RGO dispersion was more sensitive to the strength of the cation-${\pi}$ interactions than to the cation-oxygen functional group interactions. The RGO film prepared without a post-annealing process displayed superior electrical conductivity of 97,500 S/m. Our strategy can facilitate the development of large scalable production methods for preparing printed electronics made from high-quality RGO nanosheets. -
The next generation electronics need to not only be smaller but also be more flexible. To meet such demands, electronic devices using two dimensional (2D) atomic crystals have been studied intensely. Especially, graphene which have unprecedented performance fulfillments in versatile research fields leads a parade of 2D atomic crystals. In this talk, I will introduce the electrical characterization and applications of graphene for prominently electrical transistors realization. Even the rising 2D atomic crystals such as hexagonal boron nitride (h-BN), molybdenum disulfide (MoS2) and organic thin film for field effect transistor (FET) toward competent enhancement will be mentioned.
-
This presentation will focus on computational materials research carried out across length scales. Examples will be presented that illustrate the way in which state-of-the-art quantum mechanical calculations and atomistic simulations can be applied to explain experimental data, design new structures, determine mechanisms, and enable new investigations. In particular, the presentation will present key findings from an integrated experimental and computational investigation of the tribological properties of polytetrafluoroethylene and its composites and predictions regarding the mechanical and tribological properties of inorganic nanostructured materials.
-
Surface engineering of polymers has a broad array of scientific and technological applications that range from tissue engineering, regenerative medicine, microfluidics and novel lab on chip devices to building mechanical memories, stretchable electronics, and devising tunable surface adhesion for robotics. Recent advancements in the field of nanotechnology have provided robust techniques for controlled surface modification of polymers and creation of structural features on the polymeric surface at submicron scale. We have recently demonstrated techniques for controlled surfaces of soft and relatively hard polymers using ion beam irradiation and plasma treatment, which allows the fabrication of nanoscale surface features such as wrinkles, ripples, holes, and hairs with respect to its polymers. In this talk, we discuss the underlying mechanisms of formation of these structural features. This includes the change in the chemical composition of the surface layer of the polymers due to ion beam irradiation or plasma treatment and the instability and mechanics of the skin-substrate system. Using ion beam or plasma irradiation on polymers, we introduce a simple method for fabrication of one-dimensional, two-dimensional and nested hierarchical structural patterns on polymeric surfaces on various polymers such as polypropylene (PP), polyethylene (PE), poly (methyl methacrylate) PMMA, and polydimethylsiloxane (PDMS).
-
As interest has increased in the interaction between low-temperature plasmas and materials, the role of modeling and simulation of processing in plasma has become important in understanding the effects of charged particles and radicals in plasma applications. Thus in this presentation, we present the theoretical and experimental studies of electron impact cross section for plasma processing gas, such as plasma etching and deposition processes. Also, here the work conducted at the Data Center for Plasma Properties (DCPP) over last 7 years on the systematic synthesis and assessment of fundamental knowledge on low-energy electron interactions with plasma processing gases is briefly summarized and discussed.
-
In this presentation I will review the expanding thermal plasma chemical vapour deposition (ETP-CVD) technology, a deposition technology capable of reaching ultrahigh deposition rates. High rate deposition of a-Si:H,
${\mu}c$ -Si:H, a-SiNx:H and silicon nanocrystals will be discussed and their various applications, mainly for photovoltaic applications demonstrated. An important aspect over the years has been the fundamental investigation of the growth mechanism of these films. The various in situ (plasma) and thin film diagnostics, such as Langmuir probes, retarding field analyzer, (appearance potential) mass spectrometry and cavity ring absorption spectroscopy, spectroscopic ellipsometry to name a few, which were successfully applied to measure radical and ion density, their temperature and kinetic energy and their reactivity with the growth surface. The insights gained in the growth mechanism provided routes to novel applications of the ETP-CVD technology, such as the ultrahigh high growth rate of silicon nanorystals and surface passivation of c-Si surfaces. -
A high temperature and a low temperature plasma process technologies were developed and demonstrated for synthesis, hybrid formation, surface treatment and CVD engineering of nano powder. RF thermal plasma is used for synthesis of spherical nano particles in a diameter ranged from 10 nm to 100 nm. A variety of nano particules such as Si, Ni, has been synthesized. The diameter of the nano-particles can be controlled by RF plasma power, pressure, gas flow rate and raw material feed rate. A modified RF thermal plasma also produces nano hybrid materials with graphene. Hemispherical nano-materials such as Ag, Ni, Si, SiO2, Al2O3, size ranged from 30 to 100 nm, has been grown on graphene nanoplatelet surface. The coverage ranged from 0.1 to 0.7 has been achieved uniformly over the graphene surface. Low temperature AC plasma is developed for surface modification of nano-powder. In order to have a three dimensional and lengthy plasma treatment, a spiral type of reactor has been developed. A similar plasma reactor has been modfied for nano plasma CVD process. The reactor can be heated with halogen lamp.
-
Im, Yeon-Ho;Chang, Won-Seok;Choi, Kwang-Sung;Yu, Dong-Hun;Cho, Deog-Gyun;Yook, Yeong-Geun;Chun, Poo-Reum;Lee, Se-A;Kim, Jin-Tae;Kwon, Deuk-Chul;Yoon, Jung-Sik;Kim3, Dae-Woong;You, Shin-Jae 80
Recently, one of the critical issues in the etching processes of the nanoscale devices is to achieve ultra-high aspect ratio contact (UHARC) profile without anomalous behaviors such as sidewall bowing, and twisting profile. To achieve this goal, the fluorocarbon plasmas with major advantage of the sidewall passivation have been used commonly with numerous additives to obtain the ideal etch profiles. However, they still suffer from formidable challenges such as tight limits of sidewall bowing and controlling the randomly distorted features in nanoscale etching profile. Furthermore, the absence of the available plasma simulation tools has made it difficult to develop revolutionary technologies to overcome these process limitations, including novel plasma chemistries, and plasma sources. As an effort to address these issues, we performed a fluorocarbon surface kinetic modeling based on the experimental plasma diagnostic data for silicon dioxide etching process under inductively coupled C4F6/Ar/O2 plasmas. For this work, the SiO2 etch rates were investigated with bulk plasma diagnostics tools such as Langmuir probe, cutoff probe and Quadruple Mass Spectrometer (QMS). The surface chemistries of the etched samples were measured by X-ray Photoelectron Spectrometer. To measure plasma parameters, the self-cleaned RF Langmuir probe was used for polymer deposition environment on the probe tip and double-checked by the cutoff probe which was known to be a precise plasma diagnostic tool for the electron density measurement. In addition, neutral and ion fluxes from bulk plasma were monitored with appearance methods using QMS signal. Based on these experimental data, we proposed a phenomenological, and realistic two-layer surface reaction model of SiO2 etch process under the overlying polymer passivation layer, considering material balance of deposition and etching through steady-state fluorocarbon layer. The predicted surface reaction modeling results showed good agreement with the experimental data. With the above studies of plasma surface reaction, we have developed a 3D topography simulator using the multi-layer level set algorithm and new memory saving technique, which is suitable in 3D UHARC etch simulation. Ballistic transports of neutral and ion species inside feature profile was considered by deterministic and Monte Carlo methods, respectively. In case of ultra-high aspect ratio contact hole etching, it is already well-known that the huge computational burden is required for realistic consideration of these ballistic transports. To address this issue, the related computational codes were efficiently parallelized for GPU (Graphic Processing Unit) computing, so that the total computation time could be improved more than few hundred times compared to the serial version. Finally, the 3D topography simulator was integrated with ballistic transport module and etch reaction model. Realistic etch-profile simulations with consideration of the sidewall polymer passivation layer were demonstrated. -
For effective light harvesting, a design weighting should be implemented in a front geometry, in which the incident light transmits from a surface into a light-active layer. We designed a three-dimensionally patterned transparent conductor layer for effective light management. A transparent conductive oxide (TCO) film was formed as three-dimensional structures. This efficiently drives the incident light at the front surface into a Si absorber to yield a reduction in reflection and an enhancement of current. This indicates that an optimum architecture for a front TCO surface will provide an effective way for light management in solar cells.
-
The new approaches for silicon solar cell of new concept have been actively conducted. Especially, solar cells with wire array structured radial p-n junctions has attracted considerable attention due to the unique advantages of orthogonalizing the direction of light absorption and charge separation while allowing for improved light scattering and trapping. One-dimenstional semiconductor nano/micro structures should be fabricated for radial p-n junction solar cell. Most of silicon wire and/or pillar arrays have been fabricated by vapour-liquid-solid (VLS) growth because of its simple and cheap process. In the case of the VLS method has some weak points, that is, the incorporation of heavy metal catalysts into the growing silicon wire, the high temperature procedure. We have tried new approaches; one is electrochemical etching, the other is noble metal catalytic etching method to overcome those problems. In this talk, the silicon pillar formation will be characterized by investigating the parameters of the electrochemical etching process such as HF concentration ratio of electrolyte, current density, back contact material, temperature of the solution, and large pre-pattern size and pitch. In the noble metal catalytic etching processes, the effect of solution composition and thickness of metal catalyst on the etching rate and morphologies of silicon was investigated. Finally, radial p-n junction wire arrays were fabricated by spin on doping (phosphor), starting from chemical etched p-Si wire arrays. In/Ga eutectic metal was used for contact metal. The energy conversion efficiency of radial p-n junction solar cell is discussed.
-
결정질 실리콘 태양전지의 효율을 향상시키기 위하여, 현재 가장 대표적으로 selective emitter가 적용되고 있다. 또한, 효율 향상을 위해 도금, 잉크젯 프린팅, 개선된 스크린 프린팅, 전사를 이용한 전극 형성 개선과 절연막을 이용한 surface passivation이 가장 활발하게 연구 되고 있다. 이외에도 연구되어지고 있는 반도체 기술의 이온주입, 플라즈마 도핑기술 등이 있다. 효율 향상과 관련된 기술들을 논할 것이며, 특히 원자층증착법(ALD)을 이용하여 surface passivation의 특성 향상과 양산 기술 적용 그에 따른 전극 형성 구조에 대하여 발표하고자 한다. ALD기술은 표면반응증착이기 때문에 실리콘 세정법에 따라 패시베이션 특성이 달라지게 된다. 세정법과 열처리에 따른 Al2O3박막의 물성변화, 계면의 반응에 따라서 전하 수명 값이 크게 좌우되는 것을 제시할 것이다.
-
High efficiency thin film photovoltaic device technology is reviewed. At present market situation, the industrial players of thin film technologies have to confront the great recession and need to change their market strategies and find technical alternatives again. Most recent technology trends and technical or industrial progress for Silicon thin film and CIGS are introduced and common interests for high efficiency and reliability are discussed.
-
반도체 및 디스플레이 산업분야 뿐만 아니라 일반 산업분야에도 건식진공펌프의 사용이 보편화됨에 따라 펌핑 성능은 물론 펌프의 특성 역시 중요한 요소로 떠오르고 있다. 건식진공펌프의 두 축을 이루어 온 다단루츠형과 스크류형 진공펌프는 각각 고유의 장단점에 바탕하여 응용분야에 따라 선호되며 기술적으로 발전하여 왔다. 최근 반도체 및 디스플레이 분야에서 사용되는 건식진공펌프들은 작은 크기, 큰 펌핑성능, 높은 신뢰성 및 낮은 소비전력 등과 같이 서로 동시에 실현되기 어려운 특성들을 요구받고 있다. 더욱이 에너지 효율에 대한 중요성이 획기적으로 증대되어 제품개발 과정에서 최우선적으로 고려되어야 할 사항으로 부상하였다. 본 발표에서는 이러한 시장의 요구를 충족시키기 위한 국내외 건식진공펌프 업체들의 접근 방향과 향후 발전 방향에 대하여 살펴보았다.
-
Yu, Jae-Gyeong;Gang, Sang-Baek;No, Yeong-Ho;Go, Deuk-Yong;Park, Seong-Je;Go, Jun-Seok;In, Sang-Ryeol 92
최근 반도체 산업 경기의 활황에 따라 반도체 생산 설비 또한 꾸준히 증설되어가는 추세이며 고진공 펌프의 수요 또한 점차적으로 증가하고 있는 현실이다. 하지만, 국내 기술의 부족으로 고진공 펌프는 대부분 해외로부터의 수입에 의존하고 있다. 반도체 생산 설비는 매우 보수적인 설비로써 새로 개발되는 고진공 펌프가 반도체 생산 설비에 사용되기 위해서는 원천기술, 상품화 기술 및 신뢰성 기술을 확보해야 하며, 특히 한미/한일/한-EU FTA 등에 대비하여 제품의 국산화가 시급한 실정이다. 이에 고진공펌프의 수입이 급증할 것으로 예상되어 국내 진공업체에서도 크라이오펌프의 개발이 진행되고 있다. 본 연구에서는 지식경제부 제조기반 산업원천기술개발사업에 주관기관으로 수행하여 한국기계연구원 및 한국원자력연구원과 급속재생형 저진동 크라이오펌프의 기술 개발을 통해 전량 수입하는 크라이오펌프를 국산화를 도모 하고자 한다. 크라이오펌프의 주요 생산업체는 미국기업의 CTI사이며, 상품화 기술의 성능 확보를 위한 CTI사의 GM 극저온 냉동기와 현재 개발 및 상용화 준비를 하는 극저온 맥동관 냉동기에 대해 성능평가 지표를 제시하며, 신뢰성 확보를 위한 한국과학기술원 나노종합팹센터의 스퍼터 공정장비에 대한 CTI사 크라이오펌프와 상용화를 위한 개발품의 공정 현장시험에 대해 소개하고자 한다. -
Go, Jun-Seok;Park, Seong-Je;Hong, Yong-Ju;Kim, Hyo-Bong;Go, Deuk-Yong;Gang, Sang-Baek;Yu, Jae-Gyeong 93
반도체 생산 공정은 고청정 환경을 요구하며, 이를 위해 반도체 생산 장비에서 고진공 펌프는 핵심 장비이다. 크라이오 펌프는 극저온 냉동기에 의해 냉각되는 냉각판에서의 응축 또는 흡착에 의해 기체를 제거하여 고진공 환경을 조성하는 고진공 펌프의 일종이다. 특히, 기존의 상용화된 크라이오 펌프에 적용되어온 GM 극저온 냉동기에 비해 본 연구에서 개발하는 맥동관 냉동기는 저진동 및 고신뢰성의 장점을 갖기 때문에 반도체 생산 장비의 공정 정밀도 및 유지보수 주기 향상에 도움이 될 것으로 기대된다. 하지만, 맥동관 냉동기는 저온부에 움직이는 부분이 없어 많은 장점을 갖지만, GM 극저온 냉동기에 비해 성능이 낮은 단점이 있다. 때문에, 맥동관 냉동기 적용 크라이오 펌프가 기존의 상용 제품에 대해 경쟁력을 갖기 위해서는 맥동관 냉동기의 성능 향상이 요구된다. 본 연구에서는 형상 설계 및 작동 조건 최적화 등을 포함하여 크라이오 펌프용 GM 맥동관 냉동기의 성능 향상 방안에 대한 연구를 수행한다. -
맥동관형 크라이오펌프 개발 2단계를 맞아 우성진공(유)을 중심으로 상용화 시제품 제작이 진행되고 있다. 최종 크라이오 펌프 개발품은 흡기구 직경(내경) 16.5 (14) 인치에 질소 배기속도 3,600 L/s를 목표로 하고 있다. 상용품 완성 전에 거쳐야 할 필수적인 시험과정으로 시제품의 공정대응성 현장평가를 위해 KAIST 나노팹에 개발품을 투입하는 프로그램이 계획되어 있어서 공정장치에 장착할 수 있도록 10인치 크라이오 펌프 제작이 추가로 진행되고 있다. 개발품의 성능확인은 우선 몬테카를로 계산을 통해 배기속도를 예측하고 구조설계를 최적화했으며 이를 바탕으로 가공 조립된 크라이오펌프 시제품의 성능을 표준화된 장치에서 표준화된 절차를 통해 평가하여 설계 목표값과 비교했다. 한편 개발품의 성능 수준을 상대적으로 규정하기 위해 기존 상용품들을 같은 방식을 따라 평가하여 성능을 서로 비교하는 작업을 수행했다. 10인치 모델로는 국산 Genesis HPM200, CTI-8 수직형 및 수평형 GM 크라이오 펌프를 성능 시험했으며 16.5인치 개발품의 유사모델로 CTI-12 GM 크라이오 펌프를 시험해서 비교했다.
-
우성 진공(크라이오 펌프), 제일 진공(터보 펌프)의 개발 시제품을 나노종합 팹센터(크라이오 펌프는 Sputter 장비, 터보 펌프는 Etcher 장비에 부착)에서 공정 신뢰성 Test 실시함.
-
복합분자펌프는 기존의 터보분자펌프 turbine blade에 spiral grooved를 추가하여 초고진공(10-8Pa)에서 저진공(330Pa)까지 넓은 압력범위에서 사용할 수 있고 이 펌프를 사용함으로서 완전 oil free한 진공시스템을 만들 수 있는 특징을 가지고 있다. 특히, 회전체를 비접촉으로 지지하는 자기베어링 방식을 적용함으로써, 진동은 극히 작고 베어링수명은 길면서 중저진공에 대한 배기속도가 크고 임의의 방향으로 접속이 가능하여 반도체 및 디스플레이 제조 공정과 같은 첨단산업의 다양한 분야에 쉽게 적용되고 있으며, 그 적용 분야와 시장은 계속 성장하고 있다. 고 진공과 배기 속도의 달성을 위해서, 고속으로 이동하는 격면과 기체분자를 충돌시켜, 기체 분자를 원하는 방향으로 유도하는 작동원리를 가지고 있다. 특히 공기분자의 밀도가 매우 낮은 희박가스 상태에서 고속 회전하는 blade로 공기분자를 쳐내면서 작동됨으로써 날개의 상하 압력차에 의한 공기력보다도 날개의 고속회전이 매우 중요시되고 압력으로는 10-1 Pa 이하의 분자영역에서 그 성능을 최고로 발휘할 수 있다. 이러한 복합 펌프의 주요 장점은 다음과 같다. 1. 10-8 Pa (10-10 torr) ~ 10 Pa (1 torr) 까지 넓은 영역에서 배기가 가능하다. 2. 탄화수계의 대하여 높은 압축특성을 가지고 있고, 윤활유를 사용하지 않으므로 얻을 수 있는 진공상태가 고청정하다(oil free). 3. 정밀 5축제어 자기베어링으로 완전히 부상하여 회전함으로서 마모가 없고 진동이 최소화하였을 뿐 만 아니라, 또한 운전음도 거의 없다. 4. 설치조건에 제한이 없고 고장이 거의 없다. 특히 복합분자펌프는 탄화수소화합물이 없는 진공을 생성시키면서 구성요소가 간단한 반면 폭넓은 진공대역을 충족하기 때문에 산업계와 연구계의 주요 첨단 분야에서 광범위하게 사용되고 있으며, 최근 반도체 및 디스플레이, 바이오엔지니어링 등의 발전으로 적용분야가 넓어지고 있다.
-
반도체 및 디스플레이 공정등에서 고진공 및 급 배기 환경을 제공하기 위하여 사용되는 터보분자펌프 (Turbomolecular Pump, TMP)는 다층의 회전깃을 갖는 로터를 회전시켜 분자를 배출시키는 방식을 사용하는 진공펌프이다. 또한 최근에는 디스플레이 및 반도체 공정에서 높은 진공도뿐만 아니라, 높은 배기속도를 요구하는 추세에 따라, 터보 펌프와 드래그 펌프부분을 동시에 가지고 있어 상대적으로 작동 진공도 영역이 넓은 복합 분자펌프(Compound Turbomolecular Pump, CMP)의 활용도가 넓어지고 있다. 이러한 분자펌프가 장시간의 고속회전에 적합하고, 베어링에서의 오염을 없앨 수 있는 비접촉 방식인 자기부상 방식이 주로 적용된다. 자기베어링 시스템은 하드웨어와 소프트웨어로 나누어질 수 있는데, 하드웨어는 회전하게 되는 블레이드 로터 및 자기베어링 로터, 모터 로터 등이 포함된 축과 고정되어 있는 자기베어링 코어와 코일, 변위센서 등의 펌프 하우징 부분, 또한 이를 제어하기 위한 전력 증폭 시스템 등의 기전적인 요소들이 이루어져 있다. 소프트웨어라 할 수 있는 제어시스템에 있어서 자기 베어링이 불안정한 특성을 갖는 개루프계를 갖고 있으므로 안정화를 위한 능동제어 시스템이 필수적이며 진동제어 등의 기능을 갖도록 적용된다. 따라서 이러한 복합분자펌프의 성능은 이러한 시스템을 구성하는 개별 요소의 성능과 이를 통합한 제어시스템의 성능이 결정한다고 할 수 있다. 본 논문에서는 현재 개발중인 2,500 l/s급의 자기부상형 고진공 복합분자펌프의 시작품에 대하여 고속회전의 안정성에 대한 연구를 수행한 내용을 보고하고 있다. 디지털 제어시스템을 적용한 시작품의 최대 26,000 rpm까지의 고속회전시의 회전 응답 및 진동 특성을 측정 분석하였으며, 로터의 고유진동수 및 진동 모우드를 분석하였다. 또한 연속 작동시의 발열특성과 각 부분의 온도와 회전 안정성과의 관계를 평가하였다.
-
최고진공도 10-10 mbar, 배기속도 2500 L/s를 구현할 대용량 복합 분자펌프(TMP) 설계를 위한 3차원 유동해석을 실시하였다. 진공도가 10-5 mbar 이상이 되는 고진공도에서는 Knudsen 수가 102~107에 이르러 분자간 충돌을 거의 무시할 수 있게 되며, 이때의 유체해석 방법으로서는 통상 희박기체 해석법으로 많이 쓰이는 Direct Simulation Monte Carlo (DSMC) 방법이나 Continuum fluid에 대한 Navier-Stokes 해석보다, 충돌이 없는 분자의 자유운동을 모사하는 Monte Carlo 방법이 더 적합할 수 있다. 본 연구에서는 다단계 rotor와 stator로 구성되는 복합분자 내 유동장에 Monte Carlo 해석법을 적용하여 유동해석을 실시하였다. 해석 방법의 타당성을 확인하기 위해 동일한 형상에 대해 Navier-Stokes 해석과 DSMC 해석을 병행하였다. 각각의 수치적 해석에서 공통적으로, TMP의 성능에 지배적인 영향을 미치는 설계변수는 rotor-stator의 날개각임이 확인되었고, 이 설계변수들의 최적값을 다양한 3차원 유동해석을 통해 도출하였다. 해석결과는 펌프설계에 적용되어 펌프 성능시험결과를 통해 확증된다.
-
본 개발에서는 초고속복합분자펌프 구동을 위한 디지털 구동장치를 설계하였다. BLDC구동을 위한 디지털 제어 시스템의 핵심제어 보드 설계 및 모듈 설계를 하여 보드제작 및 기본성능평가를 하고 고속 회전 실험을 하였다. AMB제어기는 넓은 제어대역폭을 확보하기 위하여 FPGA 1개와 마이크로 콘트롤러 2개를 사용하여 구성하였으며 FPGA로 AMB구동을 위한 PWM기능과 CPU 2개의 원활한 Data 통신을 위하여 Dual Memory을 구현하였으며 PWM의 디지털노이즈 회피를 위하여 AMB구동용 PWM과 동기화하여 Gap Sensor 및 전류센서신호 샘플링할 수 있도록 ADC를 구동 및 샘플링한다. 그리고 Gap Sensor 구동 회로와 제어기회로를 하나의 보드로 구연하였다.
-
Im, Jong-Yeon;Jeong, Wan-Seop;Kim, Wan-Jung;Nam, Seung-Hwan;Im, Seong-Gyu;In, Sang-Yeol;Hong, Seung-Su;Go, Deuk-Yong 100
지식경제부의 청정제조기반 산업원천개발사업의 일환으로 진행 중인 "초고진공펌프 개발" 과제 중 제3세부 과제인 "고진공펌프 종합특성평가시스템 설계, 진단기술 개발" 과제에서 진행되고 있는 연구수행결과를 소개한다. 국내 초고진공펌프 개발 수준의 선진화를 위한 기본적인 초석 확립은 현존하는 모든 진공 발생 장치의 국제적 신뢰성이 있는 완벽한 성능평가의 구현에 있다고 할 수 있다. 고진공펌프개발 총괄 과제의 대명제는 "국제적 신뢰성을 가지는 상용화 제품의 완성"이며, 이를 위한 3세부과제의 추진 방향은 기 완료된 1단계 기술개발에 근거한 1세부과제 및 2세부과제와의 유기적인 infra를 통한 성공적인 지원체계 구축 및 상용화 제품 개발 단계의 모든 신뢰성 확보 전략을 수립, 수행하는 것을 골자로 하고 있다. 또한 2단계 사업 추진 동안 제품 개발 주체인 산업체에 모든 개발된 기술을 적용할 수 있는 기반 제공 및 상용화를 위한 성공적인 기술이전도 포함된다. 상용화 개발 완료 후인 Post Project 기간 동안에 발생할 수 있는 모든 지원체계의 구축도 장기간에 걸친 연구 개발의 연장선상에서 추진되어야 될 것으로 예상된다. 세부 추진내용으로 나노팹 공정현장의 고진공펌프 신뢰성평가의 기본 개념설계를 포함한 현장 데이터의 확보 및 분석 현황, 공정현장의 실제 환경에 투입하기 전 단계의 모든 신뢰성 확보 방안, 터보분자펌프의 경우 파괴실험을 포함한 over speed, shock venting, foreign debris dropping test 등 상용화 단계에 필요한 기본 시험평가 조건을 고찰하고자 한다. 상용화 단계의 내구성 및 신뢰성 확보를 위한 전제 조건은 대외적으로 공표할 수 있는 시험 평가 데이터와 개발 주체에서 기밀 수준으로 유지해야만 하는 민감한 자료의 상시 생산 infra의 구축으로 볼 수 있다. 이러한 고진공펌프개발이라는 과제의 대명제를 완성하기 위하여 추진 연구개발 방향 등 진행형인 2년간의 최종 상용화에 필요한 국제 신뢰성, 공정대응성 확보 등 핵심사업 추진내용 및 infra 구축의 상세개발 로드맵을 완성하고자 한다. -
본 논문은 현재 제품화 단계로 진행 중인 터보 분자펌프(turbo-molecular pump, TMP)와 극저온 펌프(cryopump)의 고장 방지 및 예지 보수를 위한 상태 진단 시스템에 대하여 소개를 한다. 본 상태 진단 시스템은 고진공 펌프들의 다중 상태변수 즉 흡/배기부의 진공 압력, 부위별 온도, 소비 전류(혹은 전력), 그리고 부위별 진동 신호들을 실시간으로 측정하는 상태변수 수집장치, 수집된 시계열 상태변수들이 저장된 database, 그리고 저장된 상태변수를 이용한 고진공펌프의 상태진단 프로그램으로 구성되어 있다. 금번 연구에서 구축한 상태변수 체계의 특징 중 하나는 진동신호를 상태변수로 측정하여 이를 상태진단에 활용하는 점이 기존의 접근방법과 상이한 점이다. 실시간 신호 수집장치는 NI사 PXI 시스템 기반의 16채널 24-bit 동시 전압신호 측정 모듈, 8부위의 온도 측정장치(Lakeshore 218S, RS-232C 통신), 그리고 펌프의 소비전류/전력 측정장치(Hioki 3169, RS-232C), 그리고 고진공 펌프의 흡입 및 배기구의 진공도 측정장치로 구성하였다. 신호 수집용 프로그램은 NI사 Labview를 이용하여 작성하였다. 본 장치는 Nano-Fab 센터의 협조 하에 turbo-molecular 펌프와 cryopump측정 단에 각각 1대를 설치 완료하였으며 현재까지 운용 중이다. PC에 저장된 시계열 상태변수 database는 기 개발된 적응형 인자모델을 이용한 매개변수로 변환되며, 상태진단은 변환된 매개변수를 이용하여 수행할 예정이다.
-
진공측정 특히 고진공영역에 사용되는 터보분자펌프, 이온펌프, 크라이오펌프 등의 특성평가 장치의 신뢰성을 확보하기 위해서는 신뢰성이 검증된 미세 유량발생 장치를 포함한 이온게이지를 이용한 고진공 측정기술이 확보되어야한다. 본 발표에서는 고진공펌프 특성평가를 위한 미세 기체유량계와 펌프 평가기술에 대한 국제적인 동등성을 확립하고 검증하기 위해 수행해오고 있는 진공분야의 국제비교 및 측정기술 개발 활동에 대해 소개한다.
-
We have developed a photoemission-assisted plasma-enhanced chemical vapor deposition (PAPE-CVD) [1,2], in which photoelectrons emitting from the substrate surface irradiated with UV light (
$h{\nu}$ =7.2 eV) from a Xe excimer lamp are utilized as a trigger for generating DC discharge plasma as depicted in Fig. 1. As a result, photoemission-assisted plasma can appear just above the substrate surface with a limited interval between the substrate and the electrode (~10 mm), enabling us to suppress effectively the unintended deposition of soot on the chamber walls, to increase the deposition rate, and to decrease drastically the electric power consumption. In case of the deposition of DLC gate insulator films for the top-gate graphene channel FET, plasma discharge power is reduced down to as low as 0.01W, giving rise to decrease significantly the plasma-induced damage on the graphene channel [3]. In addition, DLC thickness can be precisely controlled in an atomic scale and dielectric constant is also changed from low${\kappa}$ for the passivation layer to high${\kappa}$ for the gate insulator. On the other hand, negative electron affinity (NEA) of a hydrogen-terminated diamond surface is attractive and of practical importance for PAPECVD, because the diamond surface under PAPE-CVD with H2-diluted (about 1%) CH4 gas is exposed to a lot of hydrogen radicals and therefore can perform as a high-efficiency electron emitter due to NEA. In fact, we observed a large change of discharge current between with and without hydrogen termination. It is noted that photoelectrons are emitted from the SiO2 (350 nm)/Si interface with 7.2-eV UV light, making it possible to grow few-layer graphene on the thick SiO2 surface with no transition layer of amorphous carbon by means of PAPE-CVD without any metal catalyst. -
Strategies to facilitate carrier transfer/transport while preserving confined characteristics of isolated nanocrystal quantum dots (NQDs) will be discussed. Specifically, synthesis and characterizations of 1) the fabrication of neat NQD solids (assembled NQD films) with modified surfaces by attaching ligands or by applying physical processes such as heat annealing [J. Phys. Chem. C (2011), 115(3), 607] and 2) coupling NQDs to one-dimensional nanostructures such as single-walled carbon nanotubes (SWNTs) [ACS Nano, (2010) 4(1), 324] will be presented. Further, recent achievement ours of fabricating NQDs assemblies into photovoltaic devices for elucidating transfer mechanism witll be discussed.
-
Recently, large-area synthesis of high-quality but polycrystalline graphene has been advanced as a scalable route to applications including electronic devices. The presence of grain boundaries (GBs) may be detrimental on some electronic, thermal, and mechanical properties of graphene, including reduced electronic mobility, lower thermal conductivity, and reduced ultimate mechanical strength, yet on the other hand, GBs might be beneficially exploited via controlled GB engineering. The study of graphene grains and their boundary is therefore critical for a complete understanding of this interesting material and for enabling diverse applications. I present that scanning electron diffraction in STEM mode makes possible fast and direct identification of GBs. We also demonstrate that dark field TEM imaging techniques allow facile GB imaging for high-angle tilt GBs in graphene. GB mapping is systematically carried out on large-area graphene samples via these complementary techniques. The study of the detailed atomic structure at a GB in suspended graphene uses aberration-corrected atomic resolution TEM at a low kV.
-
This talk will begin with the demonstration of facile synthesis of silicon nanostructures using the magnesiothermic reduction on silica nanostructures prepared via self-assembly, which will be followed by the characterization results of their performance for energy storage. This talk will also report the fabrication and characterization of highly porous, stretchable, and conductive polymer nanocomposites embedded with carbon nanotubes (CNTs) for application in flexible lithium-ion batteries. It will be presented that the porous CNT-embedded PDMS nanocomposites are capable of good electrochemical performance with mechanical flexibility, suggesting these nanocomposites could be outstanding anode candidates for use in flexible lithium-ion batteries. Directed self-assembly (DSA) of block copolymers (BCPs) can generate uniform and periodic patterns within guiding templates, and has been one of the promising nanofabrication methodologies for resolving the resolution limit of optical lithography. BCP self-assembly processing is scalable and of low cost, and is well-suited for integration with existing semiconductor manufacturing techniques. This talk will introduce recent research results (of my research group) on the self-assembly of Si-containing block copolymers for the achievement of sub-10 nm resolution, fast pattern generation, transfer-printing capability onto nonplanar substrates, and device applications for nonvolatile memories. An extraordinarily facile nanofabrication approach that enables sub-10 nm resolutions through the synergic combination of nanotransfer printing (nTP) and DSA of block copolymers is also introduced. This simple printing method can be applied on oxides, metals, polymers, and non-planar substrates without pretreatments. This talk will also report the direct formation of ordered memristor nanostructures on metal and graphene electrodes by the self-assembly of Si-containing BCPs. This approach offers a practical pathway to fabricate high-density resistive memory devices without using high-cost lithography and pattern-transfer processes. Finally, this talk will present a novel approach that can relieve the power consumption issue of phase-change memories by incorporating a thin
$SiO_x$ layer formed by BCP self-assembly, which locally blocks the contact between a heater electrode and a phase-change material and reduces the phase-change volume. The writing current decreases by 5 times (corresponding to a power reduction of 1/20) as the occupying area fraction of$SiO_x$ nanostructures varies. -
탄소나노튜브와 그래핀 소재는 나노 스케일의 탄소를 대표하는 소재로서 전기적, 기계적인 특성이 뛰어나며, 화학적으로 안정하고, 환경 친화성을 가지고 있다. 탄소나노튜브의 경우 전기적으로 도체 및 반도체성을 가지고 있을 뿐만이 아니라 직경이 최소 1 nm 수준으로 종횡비 및 비표면적이 매우 큰 특성을 가지고 있어서 차세대 정보 전자소재, 고효율 에너지 소재, 고기능성 복합소재, 친환경 소재 등의 분야에서 많은 응용연구가 활발히 진행되고 있다. 그래핀의 경우 실리콘의 100배에 이르는 전하 이동도, 구리의 100배에 이르는 전류밀도를 가지며, 열전도도 및 내화학성이 뛰어나고 다양한 화학적 기능화가 가능할 뿐 아니라 뛰어난 유연성과 신축성을 보이면서 그래핀 자체의 물리화적 특성구명과 더불어 투명전극, 반도체, 에너지 전극, 구조체, 가스 베리어 등의 응용연구가 최근 활발히 진행되고 있다. 본 발표에서는 최근의 나노카본 기반의 투명전극 및 기체 차단 필름의 연구 개발동향 등에 대해 발표하고자 한다.
-
In this talk, we represent a novel approach to investigating intra-nanorod surface plasmon coupling with control over block compositions. The multi-component rod-like nanostructures, which consist of optically active components (Au and Ag) and optically less active component (for example, Ni) in UV-vis-NIR spectral window, showed interesting optical response depending on each block length and the total length of the structure. By controlling the composition and relative lengths of the blocks that comprise these structures, we can tailor the overall optical properties. Depending on the relative fraction of Au and Ag blocks, the intensity of the transverse modes varied without noticeable peak shifts. However, the strong intraparticle surface plasmon coupling resulted in the collective appearance of longitudinal LSP modes, including higher-order modes. The experimental observations were confirmed by theoretical calculation, using a discrete dipole approximation method. In addition, we will briefly discuss how single nanorod solar cells can be synthesized by using by using electrochemical deposition and AAO hard templates.
-
Graphene has been considered as one of the potential post Si-materials due to its high mobility. [1] However, since graphene is semi-conductor with zero band gap, it is difficult to achieve high Ion/Ioff ratio, one of the most important requirements for commercial devices. There have been many attempts to open its band gap for high Ion/Ioff ratio, but most of them end up lowering the mobility. [2-5] Thus, we proposed and demonstrated a new device structure for graphene transistor based on one of the unique properties of graphene for high Ion/Ioff: using this approach, we were able to achieve the ratio over
$10^5$ . [6] Our device has several major advantages over previously proposed graphene based electronic devices. Since our device does not alter the given properties of graphene, such as opening the band gap, it has no fundamental issues on mobility degradations. In addition, our device is fully compatible with current Si technology and we were able to fabricate the devices with 6 inch wafer scale with CVD (Chemical Vapor Deposition) grown graphene. In this presentation, we will discuss about the details of our graphene device including the device structure and the detailed understanding of working mechanism. We will present device characteristics including I-V curves with$10^5$ on/off ratio. We will also present the performance of an inverter based on our devices. Finally, we will discuss the current issues and their potential solutions. -
차세대 소재로 많은 관심을 받고 있는 graphene에서는 탄소원자가 육각형 구조를 가지고 2차원으로 배열되어 있으며, 이로 인해 높은 전하 이동도와 같은 독특한 전하의 전도 특성이 나타날 것으로 예측된다. graphene에서의 독특한 전도 특성을 활용한 고속/저전력 전자 소자가 구현되기 위해서는 이론에서 예측된 독특한 전하 특성이 실험적으로 구현되어야 하며, 상온의 열에너지보다 큰 밴드갭이 형성되어야 한다. 본 발표에서는 먼저 atomic force microscope (AFM)을 이용하여 graphene의 전도 특성을 저해할 것으로 예측되는 구역 구조를 관찰한 연구 결과를 발표하고 구역 구조가 발생할 수 있는 원인에 대해 고찰하고자 한다. 또한, graphene을 활용해서 충분히 큰 밴드갭을 가지는 나노 크기의 물질을 간편하게 형성하기 위해 AFM lithography를 적용한 연구 결과를 발표하고 기존의 화학적 방법으로 제조된 graphene 관련 물질들과 기본 물성을 비교하고자 한다.
-
Even though graphene was introduced with a great hope to replace silicon in future, small (or zero) band gap and poor stability have become major challenges in graphene electronics. Especially, rectification and amplification function which are the elemental functions of silicon device, is very difficult to implement without a bandgap. However, the graphene can still be used in many other device applications if the merits of graphene are creatively utilized. For example, graphene can be applied to almost any kind of substrate. Its conductivity can be varied in some degree using electric field, charge dipole, attached molecules, and many other ways. Recently, graphene stacked with ferroelectric materials or piezoelectric materials has been actively studied for various device applications. In this talk, various device applications of graphene using hybrid stack or novel device structure will be introduced and their prospect will be discussed.
-
The demand for flexible electronic systems such as wearable computers, E-paper, and flexible displays has increased due to their advantages of excellent portability, conformal contact with curved surfaces, light weight, and human friendly interfaces over present rigid electronic systems. This seminar introduces three recent progresses that can extend the application of high performance flexible inorganic electronics. The first part of this seminar will introduce a RRAM with a one transistor-one memristor (1T-1M) arrays on flexible substrates. Flexible memory is an essential part of electronics for data processing, storage, and radio frequency (RF) communication and thus a key element to realize such flexible electronic systems. Although several emerging memory technologies, including resistive switching memory, have been proposed, the cell-to-cell interference issue has to be overcome for flexible and high performance nonvolatile memory applications. The cell-to-cell interference between neighbouring memory cells occurs due to leakage current paths through adjacent low resistance state cells and induces not only unnecessary power consumption but also a misreading problem, a fatal obstacle in memory operation. To fabricate a fully functional flexible memory and prevent these unwanted effects, we integrated high performance flexible single crystal silicon transistors with an amorphous titanium oxide (a-TiO2) based memristor to control the logic state of memory. The
$8{\times}8$ NOR type 1T-1M RRAM demonstrated the first random access memory operation on flexible substrates by controlling each memory unit cell independently. The second part of the seminar will discuss the flexible GaN LED on LCP substrates for implantable biosensor. Inorganic III-V light emitting diodes (LEDs) have superior characteristics, such as long-term stability, high efficiency, and strong brightness compared to conventional incandescent lamps and OLED. However, due to the brittle property of bulk inorganic semiconductor materials, III-V LED limits its applications in the field of high performance flexible electronics. This seminar introduces the first flexible and implantable GaN LED on plastic substrates that is transferred from bulk GaN on Si substrates. The superb properties of the flexible GaN thin film in terms of its wide band gap and high efficiency enable the dramatic extension of not only consumer electronic applications but also the biosensing scale. The flexible white LEDs are demonstrated for the feasibility of using a white light source for future flexible BLU devices. Finally a water-resist and a biocompatible PTFE-coated flexible LED biosensor can detect PSA at a detection limit of 1 ng/mL. These results show that the nitride-based flexible LED can be used as the future flexible display technology and a type of implantable LED biosensor for a therapy tool. The final part of this seminar will introduce a highly efficient and printable BaTiO3 thin film nanogenerator on plastic substrates. Energy harvesting technologies converting external biomechanical energy sources (such as heart beat, blood flow, muscle stretching and animal movements) into electrical energy is recently a highly demanding issue in the materials science community. Herein, we describe procedure suitable for generating and printing a lead-free microstructured BaTiO3 thin film nanogenerator on plastic substrates to overcome limitations appeared in conventional flexible ferroelectric devices. Flexible BaTiO3 thin film nanogenerator was fabricated and the piezoelectric properties and mechanically stability of ferroelectric devices were characterized. From the results, we demonstrate the highly efficient and stable performance of BaTiO3 thin film nanogenerator. -
Si:Ge alloy semiconductor nanocrystals (NCs) offer challenging opportunities for integrated optoelectronics/optoplasmonics, since they potentially allow unprecedentedly strong light-matter interaction in the wavelength range of the optical communication. In this talk, we discuss the recent research efforts of my laboratory to develop optoelectronic components based on individual group IV NCs. We present experimental demonstration of the individual NC optoelectronic devices, including broadband Si:Ge nanowire (NW) photodetectors, intra NW p-n diodes, Ge NC electrooptical modulators and near-field plasmonic NW detectors, where the unique size effects at the nanometer scales commonly manifest themselves. In particular, we demonstrated a scanning photocurrent imaging technique to investigate dynamics of photocarriers in individual Si:Ge NWs, which provides spatially and spectrally resolved local information without ensemble average. Our observations represent inherent size-effects of internal gain in semiconductor NCs, thereby provide a new insight into nano optoplasmonics.
-
산업이 고도화, 다원화, 세계화되고 있는 현대사회는 다기능성, 고물성, 극한 내구성을 가지며 환경 친화적이면서 에너지 효율을 극대화시킬 수 있는 다기능 소재의 개발을 요구하고 있다. 이러한 시점에서 다양한 물성을 동시에 발현이 가능한 코팅 소재는 향후 미래에 중요한 원천 소재로서 주목되고 있다. 특히, 환경에 의해 쉽게 물성 및 구조의 변화가 쉬운 종래의 코팅소재와는 달리, 다양한 외부환경에서도 미세 구조 및 물성을 안정적으로 유지할 수 있는 신개념의 코팅 소재의 개발이 절실히 요구되고 있다. 이를 위해서는 코팅소재의 다 성분화가 필수적이다. 최근의 코팅 기술은 2가지 이상의 물성, 특히 서로 상반되는 물성을 동시에 구현할 수 있는 소재의 개발을 요구하고 있다. 이러한 물성의 구현을 위하여 더 많은 성분으로 구성되며 더욱 복잡한 조직으로 구성된 코팅층에 대한 개발이 진행이 필요하다. 본 연구에서 목표로 하는 신 개념의 원천소재기술은 4성분계 이상의 원료 물질을 단일 타겟으로 제조하여, 단순한 공정으로서 단일 코팅층 내에 다양한 성분과 10 nm 미만 크기의 나노 결정립/나노 비정질로 구성된 나노 복합 구조의 형성이 가능하도록 하는 기술을 개발하고자 한다. 이를 통해 복합기능 3 이상의 다기능성 부여는 물론, 그림 1에 정리된 기존 코팅재에서 결여된 특성을 포함한 극한 기능성(광대역 윤활성, 전자 이동 제어에 의한 온도 저항 계수 및 전기 저항 조절, 고온 열적 안정성, 내산화성, 고열전도율, 초저마찰/내구성/초고경도성 등)의 구현이 가능한 복잡한 형태의 나노 복합 코팅층 소재 개발이 가능하도록 하는 기술이다. 또한 기존 코팅재의 구조적 결함을 통해 발생하는 내식성 문제를 방지할 수 있는 기술이다. 다성분계 모물질의 개발이 중요한 이유는 다수의 성분 원소를 합금 상태로 형성시킴으로서, 단일 소스에 의해 다양한 원소를 동시에 스퍼터링 및 증착이 가능하도록 할 수 있다는 장점을 가지기 때문이다. 특히, 타겟의 미세구조를 나노구조화 하는것을 통해, 스퍼터링 yield의 차이가 큰 원소일지라도 균일하게 증착시킬 수 있는 방법을 개발하고자한다. 또한 다수의 타겟을 이용하여 균일한 다성분 코팅층 형성하는 기존의 PVD 코팅방법으로는 다수의 성분타겟을 사용함으로서 장비의 복잡성, 코팅의 재현성, 대형화 등의 문제점을 본질적으로 갖고 있다. 이를 위한 해결방법으로 본 발표에서는 3가지 이상의 다기능성 구현을 위한 가장 중요한 원천기술이라 할 수 있는 다성분계 타겟 모물질 제조 기술의 개발 진행 사항에 대해 소개하고자 한다.
-
The exterior structures of natural organisms have continuously evolved by controlling wettability, such as the Namib Desert beetle, whose back has hydrophilic/hydrophobic contrast for water harvesting by mist condensation in dry desert environments, and some plant leaves that have hierarchical micro/nanostructures to collect or repel liquid water. In this work, we have provided a method for wettability contrast on metals by both nano-flake or needle patterns and tuning of the surface energy. Metals including steel alloys and aluminum were provided with hierarchical micro/nanostructures of metaloxides induced by fluorination and a subsequent catalytic reaction of fluorine ions on metal surfaces in water with various ranges from room to boiling temperature of water. Then, a hydrophobic material was deposited on the structured surfaces, rendering superhydrophobicity. Plasma oxidization induces the formation of superhydrophilic surfaces on selective regions surrounded by superhydrophobic surfaces. We show that wettability contrast surfaces align liquid water within patterned hydrophilic regions during the condensation process. Furthermore, this method could have a greater potential to align other liquids or living cells.
-
초소수성 표면은 150도 이상의 높은 물 접촉각과 10도 이하의 낮은 sliding angle을 가지며 self-cleaning, anti-contamination 기능을 갖고 있는 것이 특징이다. 재료표면의 친수성과 소수성을 제어하기 위해서는 화학적 인자인 물질의 표면에너지나 물리적 인자인 표면 거칠기를 조절하는 방법이 있다. 초소수성 표면을 구현하기 위해서는 표면의 거칠기를 증가시키거나 표면 에너지를 낮춰야 하는데 고체 표면의 거칠기를 증가시키기 위해서는 일반적으로 표면에 microscale과 nanoscale의 계층구조를 형성시키는 방법이 사용된다. 자연계에 매우 풍부하게 존재하는 실리카는 내구성과 내마모성, 화학적 안정성, 고온 안정성 등을 지니고 있으며 인체에 무해하기 때문에 다양한 종류의 전자기기 및 부품의 내외장 코팅에 적용이 검토되고 있다. 이러한 관점에서 본 연구에서는 초소수성 코팅층을 구현하는 하나의 방법으로서 졸-겔방법으로 실리카 졸을 합성하여 전기분무법을 사용하여 microscale의 실리카 입자 코팅층을 형성하였으며, 표면 미세구조 조절 및 계층구조 형성과 불소화처리 공정을 통하여 초소수성 실리카 코팅층을 제조하였다. 이러한 초소수성 실리카 코팅층의 표면거칠기, 자외선 영향향, 내구성 등을 초소수성 관점에서 평가하였다.
-
접촉운동기구 계면에서의 마찰력은 요소 혹은 시스템의 효율과 직접적으로 연관이 있으며 이로 해 마찰계수를 낮추기 위한 저마찰 표면처리는 Tribology 연구에 있어 기초적이면서도 동시에 매우 중요한 부분으로 오래 전부터 인식되어오고 있다. 또한 대부분의 습동기구 표면이 경화 처리되어 마모에 강한 특성을 보이나 이는 마찰계수가 일정 이하로 낮게 유지될 경우에만 해당되는 것으로 마찰계수의 조절은 매우 중요하다고 할 수 있다. 과거 자동차 엔진의 실린더 라이너 표면에 가공된 사선 형태의 패턴들이 저마찰 기능을 하는 것은 이미 잘 알려진 사실이며 최근에는 이러한 패턴 혹은 형상을 인위적으로 조절하여 저마찰 기능과 성능을 더욱 높이고자 하는 연구가 활발히 진행되고 있다. 이와 같은 미세한 패턴은 다양한 가공기술의 발전에 의해 가능하게 되었으며 그 결과 마찰계수는 패턴의 형상과 분포 등과 매우 밀접한 관계가 있는 것으로 보고되어 있다. 본 연구에서는 최근 활발한 연구가 진행되고 있는 표면 텍스쳐링 분야에 대한 전반적인 연구 동향과 미래의 가능성에 대해 살펴보고자 한다.
-
물리증착(physical vapor deposition; PVD)은 진공 또는 특정 가스 분위기에서 고상의 물질을 기화시켜 기판에 피막을 형성하는 방법으로 증발과 스퍼터링 그리고 이온플레이팅 등이 있다. PVD 방법으로 박막을 제작하면 대부분의 박막은 주상정 구조로 성장하게 된다. 이러한 주상정의 조직을 제어하는 방법으로 빗각 증착(oblique angle deposition; OAD) 기술이 있다. OAD는 타겟(증발원)에 대해서 기판을 평행하게 배치하는 일반적인 코팅방법과는 달리 기판의 수직성분과 타겟의 수직성분이 이루는 각도가 0도 이상이 되도록 조절하여 기판을 기울인 상태로 코팅하는 방법을 말한다. OAD 방법을 이용하면 기판으로 입사하는 증기가 초기에 생성된 핵(seed)에 의해 shadowing이 발생하면서 증기가 수직으로 입사하는 normal 증착과는 다른 형상의 성장 조직이 만들어지게 된다. 본 논문에서는 OAD 방법을 이용하여 Al과 TiN 박막을 제조하고 그 특성을 비교하였다. Al 박막은 UBM (Un-Balanced Magnetron) 스퍼터링 소스를 이용하여 빗각을 각각 0, 30, 45, 60 및 90도의 각도에서 강판 및 실리콘 웨이퍼 상에 시편을 제조하되 단층 및 다층으로 시편을 제조하고 치밀도와 함께 조도와 반사율을 비교하고 염수분무시험을 이용하여 내식성을 평가하였다. TiN 박막은 Cathodic Arc 방식을 이용하되 Al 박막과 동일한 방법으로 코팅을 하고 내식성 및 경도 등의 특성을 비교하였다. TiN 박막은 경사각이 커지면서 경도가 낮아졌으나 바이어스 전압을 이용하여 다층으로 제조함에 의해 경도는 유지하면서 modulus를 낮출 수 있어서 박막의 신뢰성을 나타내는 H3/E2 값은 증가함을 알 수 있었다.
-
In past decades, the themal inkjet (TIJ) printer has been widely used as one of the most well-known digital printing technology due to its low cost, and high printing quality. Since the printing speed of TIJ printers are much slower than that of laser printers, however, there has been intensive efforts to raise the printing speed of TIJ printers. One of the most plausible methods to raise the printing speed of TIJ printers is to adopt a page-wide array TIJ printhead. To accomplish this goal, the high efficiency inkjet heating resistor films should be developed to settle the high power consumption problem of a page-wide array TIJ printhead. In this study, we investigated noble metal based multicomponent thin film resistor films prepared by atomic layer deposition (ALD) for a high efficiency inkjet printhead. Design concept, preparation, material properties of noble metal based multicomponent thin films will be discussed in terms of mutlfunctionality.
-
나노결정질 다이아몬드(Nanocrystalline Diamond: NCD) 박막은 고경도와 낮은 마찰계수를 가지고 있어 고속도강과 같은 절삭공구 위에 코팅하여 공구의 성능 향상을 도모하고자 하는 노력이 있어 왔다. 그러나 NCD 박막의 잔류응력이 크고, 철계금속에는 NCD가 증착되지 않는다는 문제점이 있다. 잔류응력 완화와 다이아몬드 핵생성을 위하여 제3의 중간층 재료가 필요하다. 본 연구에서는 Ti과 W을 중간층으로 하여 고속도강(SKH51)에 NCD 박막을 코팅하고 기계적 특성을 비교하였다. 고속도강 위에 DC 마그네트론 스퍼터를 이용하여 2
${\mu}m$ 두께의 Ti 또는 W 중간층을 증착하고, 그 위에 Microwave Plasma Chemical Vapor Deposition (MPCVD) 방법으로 NCD 박막을 2${\mu}m$ 두께로 코팅 한 것과 Ti, W순으로 각각 1${\mu}m$ 두께로 증착 후 그 위에 NCD 박막을 2${\mu}m$ 두께로 코팅 한 시편을 비교하였다. 세 가지 종류의 시편에 대하여 FESEM을 이용하여 표면과 단면의 형상을 관찰하였고, XRD와 Raman spectroscopy를 통해 NCD 박막의 결정성을 확인하였다. 그리고 Tribometer를 이용해 코팅된 박막의 내마모성을 비교하였으며 Rockwell C Indentation test를 이용하여 접합력을 비교하였다. 연구 결과 Ti/W 복합중간층 위에 코팅된 NCD의 접합력이 가장 우수하였으며 그 다음 W, Ti 순으로 나타났다. NCD와 고속도강의 큰 열팽창계수 차이가 복합중간층으로 인해 줄어들고 잔류응력이 완화되어 접합력이 향상되는 것으로 여겨진다. -
재료연구소는 전처리, 식각, 증착 등의 진공 표면처리 공정을 위한 다양한 플라즈마 소스들을 개발해 왔으며, 최근에는 롤투롤 기반의 표면처리 공정용 플라즈마 소스를 개발하고 있다. 본 발표에서는 최근 5년간 연구 개발한 다양한 표면처리용 플라즈마 소스들을 소개하고 각 기술들의 개발 현황을 발표하고자 한다. 본 발표에서 소개할 플라즈마 소스 기술들은 스퍼터링 타겟의 전압-전류 독립제어가 가능한 리모트 플라즈마 스퍼터링 소스, 식각 및 증착 공정용 Closed Drift형 Anode Layer 선형 이온빔 소스, PECVD용 Closed Drift형 선형 플라즈마 소스 등이며, 각 소스들을 활용한 전처리, 식각, 증착 등의 예시를 소개하고자 한다.
-
압전재료는 그 특성상 항공우주분야에서 활용범위가 넓어지고 있는데, 특히 진동제어 분야에 널리 이용되고 있다. 최근에는 위성카메라의 영상 품질개선을 위한 구동기(actuator) 연구도 진행 중이다. 그러나 위성체가 작동하는 우주환경인 고진공상태에서는 각 부품에서 발생할 수 있는 outgassing으로 인해 위성체가 오염되어 위성임무 실패를 초래할 수도 있다. 따라서 지상에서 위성체 부품에 대해 고온(
$60^{\circ}C$ )과 고진공(5.0 E-03 Pa 이하)의 상태를 모사하여 오염물질을 제거함으로써 outgassing 발생을 막고, 오염근원을 검출할 수 있는 bacuum bake-out 시험이 필요수적이다. 압전체의 위성부품 활용성 연구를 위하여 bake-out 챔버를 이용하여 오염측정에 관한 연구를 수행하였다. Vacuum bake-out 시험시에는 TQCM을 사용하여 발생하는 오염물질의 방출률을 측정한다. 아울러, 압전체에 대한 시험 전후의 압전특성을 비교 분석하여 진공 및 온도 환경하에서의 특성 변화를 관찰하였다. -
압력
$10^{-9}$ Torr 이하의 초고진공(ultrahigh vacuum) 영역에서의 압력 측정에는 수 mA의 열전자로 잔류 가스를 이온화시켜 그 이온 전류를 측정하는 이온게이지를 주로 사용한다. 압력이$10^{-12}$ Torr영역 이하인 극고진공(extreme high vacuum: XHV) 영역에 진입하면, ESD (electron stimulated desorption) 효과 등에 의한 이온 게이지 자체의 가스방출률이 커져 정확한 압력 측정이 곤란해 진다. 극고진공 영역에서 이온 게이지는 수 와트(W) 이상의 전력을 사용하여 수 mA의 열전자를 방출시키나, 신호인 이온 전류의 양은 1pA 이하이기 때문에 열전자에 의해 발생되는 백그라운드 전류에 묻혀 신호 전류가 측정되지 않는다고 할 수 있다. 100 nm 이하의 곡률을 가진 뾰족한 금속 탐침에 강한 전기장을 걸어주면 고체 내부의 전자가 터널링 효과에 의해 진공 중으로 방출되며, 이를 전계방출(Field Electron Emission) 효과라 부른다. 전계 방출 전류량은 탐침 표면의 일함수에 의존하며, 일함수가 클수록 지수함수 적으로 감소한다. 금속 표면에 진공 중의 잔류 가스가 부착하면 일함수가 증가한다. 가열에 의해 전계방출 탐침의 표면을 세정한 후에 전자 빔을 방출 시키면, 표면에 가스 분자가 흡착하여 방출 전류량은 점점 감소한다. 감소 속도는 압력에 비례하며, W(310) 탐침의 경우$10^{-10}$ Torr 영역에서는 수분만에 최초 전류값의 1% 이하로 감소한다. 전계방출 전류의 감소속도가 압력에 비례하는 현상을 이용하여 압력을 측정하였다. Extractor Ionization Gauge 측정값$5{\times}10^{-12}-3{\times}10^{-10}$ Torr의 범위에서 (111) 방향으로 정렬된 텅스텐 단결정 탐침을 사용하여 방출전류의 로그값을 시간의 함수로 semilog그래프를 그리면, 그래프는 직선을 그리며 그 기울기가 압력에 비례함을 알 수 있었다. 기울기 값과 게이지 측정값은$10^{-11}{\sim}10^{-10}$ Torr 영역에서 거의 완벽한 비례관계를 보여주었으나,$10^{-12}$ Torr 영역에서 게이지 측정값은 기울기 값에서 추출한 압력치보다 높은 값을 보여주었으며, 이는 게이지 백그라운드 전류에 의한 차이라고 생각된다. W (310) 탐침의 방출전류는 그 감소속도가 W (111) 탐침과 마찬가지로 압력에 비례하였으나, 전류-시간 그래프는 가열 세정 직후에 전류가 거의 감소하지 않는$2{\times}10^{-10}$ Torr에서 약 10분간 지속되는 '안정 영역'이 존재함을 보여주었다. '안정 영역'은$10^{-11}$ Torr 영역에서는 수십분,$10^{-12}$ Torr 영역에서는 수시간 이상으로 증가하였다. 초-극고진공 영역에서의 잔류가스 주성분인 수소에서 물, 일산화탄소등의 가스로 바뀌면 '안정 영역'은 사라졌고, 이는 '안정 영역'이 수소 흡착에 의해서만 나타나는 고유 현상임을 말해준다. -
Min, Gwan-Sik;Yun, Ju-Yeong;Sin, Yong-Hyeon;Cha, Deok-Jun;Gang, Du-Hong;Seong, Gi-Hun;Kim, Seong-Cheol;Kim, Jin-Tae 133
플라즈마 전해산화(Plasma Electrolytic Oxidation;PEO) 피막은 Anodizing 피막에 비해 화학 부식성, 플라즈마 부식성 등의 특성이 뛰어나지만 표면 거칠기는 Anodizing 피막이 우수한 것으로 알려져 있다. 본 연구에서는 PEO 피막의 제작시 사용된 Power Supply의 펄스 변조(PAM, PWM 방식)을 통하여 표면 거칠기를 제어하는 방법에 대한 실험을 수행하였다. 그리고 펄스 변조 전후의 시편의 내전압과 플라즈마 부식성 등의 특성을 비교하였다. 또한 펄스 변조 전후 PEO 시편과 Anodizing 시편의 표면 거칠기, 내전압, 플라즈마 부식성 등의 특성을 비교하였다. -
Song, Je-Beom;Sin, Jae-Su;Yun, Su-Jin;Lee, Chang-Hui;Sin, Yong-Hyeon;Kim, Jin-Tae;Yun, Ju-Yeong 134
최근 반도체 및 디스플레이 산업에서 진공, 특히 플라즈마 공정은 중요한 기술로 알려져 있다. 반도체 제조공정은 플라즈마를 이용하여 증착(deposition)공정 및 패터닝을 위한 식각(Dry Etch)공정으로 크게 나뉘고, 디스플레이 공정에서는 Glass위에 형성된 금속오염입자 및 polymer와 같은 불순물을 제거하는 공정으로 식각(Dry Etch)공정을 주로 사용하고 있다. 진공공정장비인 CVD, Etcher는 플라즈마와 활성기체, 고온의 공정온도에 노출 되면서 진공공정장비 부품에 부식이 진행되기 때문에 내플라즈마성이 강한 재료를 코팅하여 사용하고 있다. 하지만 장시간 부식환경에 노출이 되면, 코팅부품에서도 부식이 진행되면서 다량의 오염입자가 발생하여 생산수율 저하에 원인이 되기도 하고, 부품 교체비용이 많이 들기 때문에 산업체에서 많은 어려움을 겪고 있다. 본 연구에서는 산업체에서 코팅부품으로 많이 사용되고 있는 다양한(Al2O3, Y2O3 등) 산화막 및 세라믹코팅 부품의 내플라즈마 특성을 비교 연구하였다. -
차세대 가속기에 적용할 얇고 매우 긴 알루미늄 진공용기를 제작하고 그 진공특성을 조사하고 있다. 진공용기 내부단면의 크기는 4.8 mm, 두께 0.5 mm (
${\pm}50u{\mu}m$ ), 길이 6,000 mm이며 정밀압출방법으로 제작하였다. 진공용기의 재료는 알루미늄합금 6063이며 베이크아웃하지 않고$1{\times}10^{-7}$ mbar 이하의 평균진공도를 얻어야한다. 압출제작 직후, 박판 가공 후 진공특성(진공도 변화, 진공용기 변형, 견줌탈기체율, 압력분포)을 베이크아웃 유무에 대하여 조사하였으며 해석적으로 계산한 진공도분포도와 비교하였다. -
기체부하가 작은 초고진공 시스템은 보통 60~360 l/s 정도의 배기속도를 가지는 이온펌프를 사용하고 있으며
$10^{-10}$ mbar대의 진공도를, 때에 따라서는$10^{-11}$ mabr대의 도달진공도를 얻을 수 있다. 이온펌프의 단점 중 하나는 그 부피와 무게가 상당하다는 데 있고 따라서 사용자의 불편을 초래한다. 본 논문에서는 부피, 무게를 줄이면서도 동일한 진공성능을 구현하기 위한 노력을 보고하고자 한다. 아주 작은 크기의 이온펌프(10 l/s)와 소형 게터펌프를 조합하여 초고진공용 조합펌프를 구성하였고 그 진공특성을 조사하였다. 그 결과 작은 펌프의 조합으로도$10^{-11}$ mabr대의 진공도를 비교적 손쉽게 얻을 수 있었으며$10^{-10}$ mbar의 진공도는 재빨리 얻을 수 있었다. -
Kim, Yong-Su;Jeon, Sang-Ho;Bostwick, Aaron;Rotenberg, Eli;Ross, Philip N.;Stamenkovic, Vojislav R.;Markovic, Nenad M.;Noh, Tae-Won;Han, Seung-Wu;Mun, Bong-Jin Simon 139
With angle resolved photoemission spectroscopy (ARPES), the surface electronic band structures of Pt3Co (111) and Pt3Ni (111) single crystals are investigated, which allow to study the bonding interaction between chemically absorbed atomic oxygen and its surfaces. The d-band electrons of subsurface TM are separated from the direct chemical bonding with atomic oxygen. That is, the TM does not contribute to direct chemical bonding with oxygen. From the density functional theory (DFT) calculations, it is identified that the main origin of improved oxygen absorption property, i.e. softening of Pt-O bonding, is due to the suppression of Pt surface-states which is generated from change of interlayer potential, i.e. charge polarization, between Pt-top and TM-subsurface. Our results point out the critical roles of subsurface TM in modifying surface electronic structures, which in turn can be utilized to tune surface chemical properties. -
Ham, Hyeong-Cheol;Kim, Hui-Su;Kim, Yong-Min;Yun, Chang-Won;Yun, Seong-Pil;Han, Jong-Hui;Nam, Seok-U 140
최근에 고체산화물 연료전지(SOFC) 연료극 조건에서 우수한 상 안정성, 높은 혼합 전자/이온 전도도 및 황/탄소 저항성 때문에 yttrium-doped strontium titanium oxide (Y-doped SrTiO3)가 대체 연료극 재료로 주목을 받아 왔다. 그러나 Y-doped SrTiO3는 연료 산화에 대해서 기존의 Ni 계열 연료극보다 낮은 전기화학적 활성을 보이는 단점이 있다. 따라서, 효율적인 Y-doped SrTiO3 계열의 연료극 재료를 개발하기 위해서는 Y-doped SrTiO3의 연료극 특성 및 반응성의 이해가 필수적이다. 본 발표에서는 SOFC 연료극에서 수소 산화 반응성을 결정함에 있어 표면 산소 vacancy 형성 에너지의 역할에 대한 spin-polarized DFT (density functional theory) 결과를 발표할 예정이다. 표면 산소 vacancy 형성 에너지는 수소 산화 반응[H2+O (surface)${\rightarrow}$ OH+OH${\rightarrow}$ H2O+O (vacancy)]과 밀접한 관계가 있다는 것을 확인하였다. 또한 Y-doped SrTiO3의 표면을 3d-전이금속을(Sc, V, Cr, Fe, Co, Mn, Ni, Cu) 도핑함으로써 표면 산소 vacancy 형성 에너지를 제어할 수 있다는 것을 보였다. -
Denny, Yus Rama;Lee, Sun-Young;Lee, Kang-Il;Seo, Soon-Joo;Oh, Suhk-Kun;Kang, Hee-Jae;Heo, Sung;Chung, Jae-Gwan;Lee, Jae-Cheol;Tougaard, Seven 141
The electronic and optical properties of Indium Zinc Tin Oxide (IZTO) thin films using gas environment were investigated by X-ray photoelectron spectroscopy (XPS) and reflection electron energy loss spectroscopy (REELS). REELS spectra revealed that the band gaps of IZTO thin films are 3.26, 3.07, and 3.46 eV for water mixed with oxygen, argon mixed with oxygen, and air environments, respectively. The measured band gaps by REELS are consistent with the optical band gaps obtained by UV-Spectrometer. The optical properties represented by the dielectric function$\mathfrak{m}$ , the refractive index n, the extinction coefficient k, and the transmission coefficient T of the IZTO thin films with different gas environments were determined from a quantitative analysis of REELS spectra. The calculated transmission from quantitative analysis of REELS spectra shows good agreement with transmission measured by UV-spectrometer. The transmission values of 89% and low electrical resistivity of$3.55{\times}10^{-3}{\Omega}{\cdot}cm$ have been achieved for argon mixed with oxygen which indicates that the gas enviroment plays an important role in improving the electronic and optical properties of films. -
다양한 In/Si (111) 표면에 PTCDA분자를 흡착하여 일어나는 현상에 대해 STM을 이용하여 실험하였다. PTCDA분자가
$\sqrt{31}{\times}\sqrt{31}$ 표면에서는 강한 표면-분자 상호작용 때문에 배열되지 않고 고립된 분자로 흡착되며$\sqrt{7}{\times}\sqrt{3}$ -hex표면에서는 표면-분자 상호작용이 약하여 분자와 분자 사이의 상호작용으로 수소결합을 통한 2차원 herringbone 구조를 형성한다. 하지만$4{\times}1$ 표면에서는 수소결합 없이 준 1차원 배열을 형성하며 지금까지 연구된 다른 모든 표면에서 수소결합에 의하여 분자배열을 이루는 것과 대조된다. 이는$4{\times}1$ 표면에서 표면-분자 사이의 상호작용에 의해 분자배열이 결정되기 때문이다. 또한, Si (111)$-7{\times}7$ 면 위에 서로 다른 덮힘양의 In 원자를 포함하는$\sqrt{3}{\times}\sqrt{3}$ ,$2{\times}2$ , 그리고$\sqrt{7}{\times}\sqrt{3}$ -hex상이 같이 있는 표면에 PTCDA분자를 흡착할 경우, PTCDA분자의 흡착이 In층 안에서 In 원자를 이동시키면서 국소적으로 in의 덮힘양이 많은 상으로 변화시키는 것을 관찰하였다. PTCDA분자가 In원자를 이동시키는 이유는 상대적으로 약한 In층과의 결합보다는 더 강한 Si (111)표면과의 결합을 위한 것으로 해석된다. -
In/Si (111)-
$4{\times}1$ 표면은 저온에서의$8{\times}2$ 주기의 상과 상온에서의$4{\times}1$ 주기의 상 사이에 상전이가 나타나는 것으로 알려져 있다. 지금까지의 연구에 의하면 저온$8{\times}2$ 주기의 상에서는 최근 제시된 hexagon 구조 모형이 가장 설득력 있게 받아들여지고 있으나, 상온$4{\times}1$ 주기의 상에 대해서는 정적인(static) 구조 모형과 동적요동(dynamic fluctuation) 모형이 제안되었다. 이 두 가지 구조 모형은 모두 2차 상전이를 의미하지만, 최근 엔트로피를 고려한 이론계산 결과는 이 상전이가 1차 상전이를 가짐을 시사하였다. 그래서 우리는 이 표면의 상전이를 저에너지전자회절 실험을 통하여 연구하였고, 온도를 상온에서 저온으로 낮출 때와 저온에서 상온으로 높일 때의 회절세기 변화로부터 가열과 냉각의 두 과정에서 상전이 온도가 서로 다르게 나타나는 히스테리시스 곡선을 보임을 관찰하였다. 이는 주사터널링현미경 이미지에서$4{\times}1$ 상온 구조와$8{\times}2$ 저온 구조가 상전이 온도 근처에서 공존하는 것으로 관찰되는 것과 상통하는 결과로 1차 상전이임을 나타낸다. 이에 우리는 이 표면의 구조 상전이가 1차 상전이인 것으로 결론지으며, 이와 함께 표면의 결함이 상전이에 미치는 영향에 대해서도 논의할 것이다. -
Cutoff probe has been used for measuring a plasma density using the cutoff peak which is located at the plasma frequency in the low pressure plasma. However, research on analysis of low frequency region of transmission microwave frequency (TMF) spectrum does not performed even though important plasma parameters are located in the low frequency region, i.e., ion plasma frequency and collision frequency. In this research, we analyzed the low frequency region of the TMF spectrum. Experimental results reveal the effect of plasma parameters on the low frequency region on the TMF spectrum. Based on the response of TMF spectrum from changing of plasma parameters, deduction of the plasma parameters was tried. This comprehensive analysis of TMF spectrum expands applicable area of cutoff probe.
-
Yu, Gwang-Ho;Na, Byeong-Geun;Kim, Dae-Ung;Yu, Sin-Jae;Kim, Jeong-Hyeong;Seong, Dae-Jin;Sin, Yong-Hyeon;Jang, Hong-Yeong 148
A cutoff probe is the novel diagnostic method to get the absolute plasma density with simple system and less assumption. However, high density of ion flux from plasma on probe tip can make the error of plasma density measurement because the dielectric material of probe tip can be damaged by ion flux. We proposed a shielded cutoff probe using the ceramic tube for protection from ion flux. The ceramic tube on probe tip can intercept the ion flux from plasma. The transmitted spectrum using the shielded cutoff probe is good agreement with E/M wave simulation result (CST Microwave Studio) and previous circuit simulation of cutoff probe [1]. From the analysis of the measured transmitted spectrum base on the circuit modeling, the parallel resonance frequency is same as the unshielded cutoff probe case. The obtained results of electron density is presented and discussed in wide range of experimental conditions, together with comparison result with previous cutoff method. -
We introduce Helicon discharge plasma source and Laser Thomson scattering system recently finished an installation in KRISS. Laser Thomson scattering method is promising for diagnostics in Helicon plasma because a measurement by electrical probe typically used has significant errors due to the gyromotion of electrons induced by high magnetic field. However, we found that LTS is affected by magnetic field so that we applied the normalization method for processing data and the results show a clear Maxwellian distribution at various conditions of magnetic field and RF power at low energy part without distortion.
-
본 연구에서 개발된 Enhanced huels형 플라즈마 발생장치는 초음속 공기 플라즈마 환경에 대한 열 보호 물질의 개발과 시험평가를 위한 지상 시뮬레이션 시험을 목적으로 개발되었다. Enhanced Huel형 플라즈마 토치는 고출력에도 불구하고 전극 부식에 의한 오염도를 최소화할 수 있고, 일반적인 직류 토치로는 얻을 수 없는 초고엔탈피 플라즈마 열유동을 얻을 수 있는 특징이 있다. 구축된 장치는 최대 직류 전력 1,200 kW까지의 출력 제공이 가능한 DC 전원과 플라즈마 토치, 플라즈마의 진단계측 및 재료 시험 수행이 가능한 진공쳄버, 플라즈마를 기체로 회복시켜주기 위한 디퓨저, 디퓨저를 빠져나오는 초고온 열 유동으로부터 열을 제거하기 위한 열교환기, 쳄버 전체의 압력을 제어하기 위한 진공 장치, 후처리 시스템, 가스 공급부 시스템, 냉각수 공급 시스템으로 구성되어 있다. 또한 플라즈마 진단계측장비로는 enthalphy 측정시스템과 각 종 열유속 측정 탐침기, pyrometers, 분광계, 적외선 카메라, 고속 카메라를 갖추고 있다. 시운전 결과 토치 공급전력 412 kW, 공기공급 12.31 g/s , 토치 내부압력 4.14 bar의 운전조건에서 62%의 효율로 플라즈마 엔탈피 16.7 MJ/kg의 성능을 얻었다.
-
A new plasma process, i.e., the combination of PIII&D and HIPIMS, was developed to implant non-gaseous ions into materials surface. HIPIMS is a special mode of operation of pulsed-DC magnetron sputtering, in which high pulsed DC power exceeding ~1 kW/
$cm^2$ of its peak power density is applied to the magnetron sputtering target while the average power density remains manageable to the cooling capacity of the equipment by using a very small duty ratio of operation. Due to the high peak power density applied to the sputtering target, a large fraction of sputtered atoms is ionized. If the negative high voltage pulse applied to the sample stage in PIII&D system is synchronized with the pulsed plasma of sputtered target material by HIPIMS operation, the implantation of non-gaseous ions can be successfully accomplished. The new process has great advantage that thin film deposition and non-gaseous ion implantation along with in-situ film modification can be achieved in a single plasma chamber. Even broader application areas of PIII&D technology are believed to be envisaged by this newly developed process. In one application of non-gaseous plasma immersion ion implantation, Ge ions were implanted into SiO2 thin film at 60 keV to form Ge quantum dots embedded in SiO2 dielectric material. The crystalline Ge quantum dots were shown to be 5~10 nm in size and well dispersed in SiO2 matrix. In another application, Ag ions were implanted into SS-304 substrate to endow the anti-microbial property of the surface. Yet another bio-application was Mg ion implantation into Ti to improve its osteointegration property for bone implants. Catalyst is another promising application field of nongaseous plasma immersion ion implantation because ion implantation results in atomically dispersed catalytic agents with high surface to volume ratio. Pt ions were implanted into the surface of Al2O3 catalytic supporter and its H2 generation property was measured for DME reforming catalyst. In this talk, a newly developed, non-gaseous plasma immersion ion implantation technique and its applications would be shown and discussed. -
In this work we measured electron temperature and electron density of a microplasma by optical emission spectroscopy. The plasma is generated from a small discharge gap of a microwave parallel stripline resonator (MPSR) in Helium at atmospheric pressure. The microwave power supplied for this plasma source from 0.5 to 5 watts at a frequency close to 800 MHz. The electron temperature and electron density were estimated through Collisional-radiative model combined with Corona-equilibrium model. The results show that the electron density and temperature of this plasma in the case small discharge gap width are higher than that in larger gap width. The diagnostic techniques and associated challenges will be presented and discussed.
-
Endpoint detection with plasma impedance monitoring and self-plasma optical emission spectroscopy is demonstrated for dielectric layers etching processes. For in-situ detecting endpoint, optical-emission spectroscopy (OES) is used for in-situ endpoint detection for plasma etching. However, the sensitivity of OES is decreased if polymer is deposited on viewport or the proportion of exposed area on the wafer is too small. To overcome these problems, the endpoint was determined by impedance signal variation from I-V monitoring (VI probe) and self-plasma optical emission spectroscopy. In addition, modified principal component analysis was applied to enhance sensitivity for small area etching. As a result, the sensitivity of this method is increased about twice better than that of OES. From plasma impedance monitoring and self-plasma optical emission spectroscopy, properties of plasma and chamber are analyzed, and real-time endpoint detection is achieved.
-
The promise of nano-crystalites (nc) as a technological material, for applications including display backplane, and solar cells, may ultimately depend on tailoring their behavior through doping and crystallinity. Impurities can strongly modify electronic and optical properties of bulk and nc semiconductors. Highly doped dopant also effect structural properties (both grain size, crystal fraction) of nc-Si thin film. As discussed in several literatures, P atoms or radicals have the tendency to reside on the surface of nc. The P-radical segregation on the nano-grain surfaces that called self-purification may reduce the possibility of new nucleation because of the five-coordination of P. In addition, the P doping levels of
${\sim}2{\times}10^{21}\;at/cm^3$ is the solubility limitation of P in Si; the solubility of nc thin film should be smaller. Therefore, the non-activated P tends to segregate on the grain boundaries and the surface of nc. These mechanisms could prevent new nucleation on the existing grain surface. Therefore, most researches shown that highly doped nc-thin film by using conventional PECVD deposition system tended to have low crystallinity, where the formation energy of nucleation should be higher than the nc surface in the intrinsic materials. If the deposition technology that can make highly doped and simultaneously highly crystallized nc at low temperature, it can lead processes of next generation flexible devices. Recently, we are developing a novel CVD technology with a neutral particle beam (NPB) source, named as neutral beam assisted CVD (NBaCVD), which controls the energy of incident neutral particles in the range of 1~300eV in order to enhance the atomic activation and crystalline of thin films at low temperatures. During the formation of the nc-/pm-Si thin films by the NBaCVD with various process conditions, NPB energy directly controlled by the reflector bias and effectively increased crystal fraction (~80%) by uniformly distributed nc grains with 3~10 nm size. In the case of phosphorous doped Si thin films, the doping efficiency also increased as increasing the reflector bias (i.e. increasing NPB energy). At 330V of reflector bias, activation energy of the doped nc-Si thin film reduced as low as 0.001 eV. This means dopants are fully occupied as substitutional site, even though the Si thin film has nano-sized grain structure. And activated dopant concentration is recorded as high as up to 1020 #/$cm^3$ at very low process temperature (<$80^{\circ}C$ ) process without any post annealing. Theoretical solubility for the higher dopant concentration in Si thin film for order of 1020 #/$cm^3$ can be done only high temperature process or post annealing over$650^{\circ}C$ . In general, as decreasing the grain size, the dopant binding energy increases as ratio of 1 of diameter of grain and the dopant hardly be activated. The highly doped nc-Si thin film by low-temperature NBaCVD process had smaller average grain size under 10 nm (measured by GIWAXS, GISAXS and TEM analysis), but achieved very higher activation of phosphorous dopant; NB energy sufficiently transports its energy to doping and crystallization even though without supplying additional thermal energy. TEM image shows that incubation layer does not formed between nc-Si film and SiO2 under later and highly crystallized nc-Si film is constructed with uniformly distributed nano-grains in polymorphous tissues. The nucleation should be start at the first layer on the SiO2 later, but it hardly growth to be cone-shaped micro-size grains. The nc-grain evenly embedded pm-Si thin film can be formatted by competition of the nucleation and the crystal growing, which depend on the NPB energies. In the evaluation of the light soaking degradation of photoconductivity, while conventional intrinsic and n-type doped a-Si thin films appeared typical degradation of photoconductivity, all of the nc-Si thin films processed by the NBaCVD show only a few % of degradation of it. From FTIR and RAMAN spectra, the energetic hydrogen NB atoms passivate nano-grain boundaries during the NBaCVD process because of the high diffusivity and chemical potential of hydrogen atoms. -
알루미늄 박막을 코팅하기 위해서 비대칭 마그네트론 스퍼터 소스를 이용하였으며 빗각 증착과 외부 자기장에 의한 플라즈마 밀도 제어가 알루미늄 박막의 미세구조에 미치는 영향을 확인하였다. 빗각 증착은 타겟과 기판이 서로 평행하지 않고 빗각을 이루도록 기판을 기울여 코팅하는 방법으로 박막의 미세구조를 제어할 수 있다. 마그네트론 스퍼터 소스 외부에 원형의 전자석을 위치시키고 직류의 전류를 인가하여 타겟 표면에서 발생하는 플라즈마 밀도를 제어할 수 있도록 하였다. 알루미늄을 물리기상증착으로 코팅하면 일반적으로 주상정 구조를 갖는다. 알루미늄 박막이 주상정 구조로 이루어지면 박막의 표면 거칠기가 증가하고 밀도가 감소하는 현상을 보인다. 알루미늄을 빗각 증착으로 코팅하면 주상정 형성이 억제되어 박막의 밀도가 높아지고 표면 거칠기는 감소하는 현상을 보였다. 알루미늄의 빗각 증착 시 전자석에 인가하는 전류의 세기와 방향을 제어하여 타겟 표면의 플라즈마 밀도를 증가시키면 알루미늄 박막의 밀도가 빗각 증착만 적용했을 때보다 증가하고 비정질과 같은 박막 구조를 보이는 현상을 관찰할 수 있었다. 전자석에 인가하는 전류의 방향을 기판 표면의 플라즈마 밀도가 증가하도록 바꾸면 알루미늄 주상정의 크기가 증가하고 기공의 크기도 증가하는 현상을 관찰하였다. 마그네트론 스퍼터 공정 시 자기장과 빗각 증착을 적절하게 이용하여 밀도가 높고 표면 거칠기가 낮은 알루미늄 박막을 코팅할 수 있었으며, 이러한 알루미늄 박막은 기능성 향상을 위한 표면처리 소재로 활용 가능성이 높을 것으로 판단된다.
-
산화아연, 산화니켈, 산화망간 등 금속산화물은 전기적, 광학적 및 화학적 특성이 우수하여 태양전지, 연료전지, 광촉매, 가스센싱 등 다양한 분야에 폭 넓게 활용되고 있다. 또한, 그 성장방법에 따라 다양한 형태와 크기를 제어할 수 있으며 각각의 응용되는 분야에서 요구되는 나노구조를 최적화할 수 있는 장점을 갖고 있다. 그 중, 전기화학증착법(electrochemical deposition method)은 기존의 제작방법에 비해서 간단한 공정과정과 저온성장이 가능하기 때문에 많이 사용하고 있으며, 씨드(seed)층의 형성을 통해서 원하고자하는 부분에 성장시킬 수 있다. 한편, 나노기술의 발전과 함께 IT기술이 일상생활에 밀접해지면서 구부리거나 휴대 또는 입을 수 있는 다양한 전자 및 광전자 소자의 기술 개발이 활발하게 이루어지고 있는데, 이와 더불어 다양한 금속산화물 여러 가지 플렉서블 기판에서의 나노구조의 성장 및 제어에 대한 연구가 시도되고 있다. 본 연구에서는, 전기화학증착법을 이용하여 전도성 섬유와 ITO/PET 기판을 포함한 다양한 플렉서블 기판에 산화아연, 산화니켈, 산화망간의 나노구조물을 제작하였다. 실험을 위해, 용액의 농도, 시간, 인가전압을 바꿔가면서 성장조건을 달리하여 다양한 형태와 크기의 금속산화물의 나노복합구조를 형성 및 제어를 할 수 있었다. 또한, 스퍼터링 또는 스핀코팅을 이용하여 다양한 유연기판에 씨드층을 형성함으로써 금속산화물 나노구조를 균일하고 조밀하게 성장시킬 수 있었다. 플렉서블 광전소자 응용을 위해 다양한 형태로 제작된 샘플의 결정구조와 형태, 광학적 특성, 표면특성과 같은 물리적 특성을 조사하였다.
-
Park, Dong-U;Han, Im-Sik;Kim, Chang-Su;No, Sam-Gyu;Ji, Yeong-Bin;Jeon, Tae-In;Lee, Gi-Ju;Kim, Jin-Su;Kim, Jong-Su 161
본 연구에서는 InGaAs을 이용한 테라헤르쯔(THz) 발생과 검출 특성을 GaAs에 의한 특성과 비교, 조사하였다. 고온성장(HTG,$530^{\circ}C$ ) InGaAs를 이용하여 photo-Dember (pD) 효과(표면방출)에 의한 THz 발생 특성을 조사하였으며, THz 검출 특성에는 저온성장(LTG,$530^{\circ}C$ ) InGaAs: Be을 이용하였다. HTG-InGaAs 기판 위에 패턴한 금속전극 (Ti/Au,${\sim}500{\times}500{\mu}m$ )의 가장자리에 Ti: Sapphire fs 펄스 레이저(30 ps/90 MHz)를 조사하여 LTG-GaAs 수신기(Rx)로 THz를 검출, 전류신호(a)와 Fourier transform (FT) 주파수 스펙트럼(b)을 얻었다. HTG-InGaAs에서 얻은 파형은 SI-GaAs에서와 거의 비슷한 모양이었으나, 주파수 범위(0.5~2 THz)는 SI-GaAs의 1~3 THz 보다 좁고 FT 스펙트럼의 세기는 약 1/8 정도로 낮았다. LTG-InGaAs 수신기 (Rx)의 안테나는 쌍극자 ($5/20{\mu}m$ ) 형태를 가지고 있으며, SI-GaAs Tx로 발생시킨 광원을 사용하여 THz 영역의 검출 특성을 조사하였다. HTG-InGaAs Tx 및 LTG-InGaAs Rx의 이득은 각각 약$5{\times}10^{-8}$ A/W과$2.5{\times}10^{-8}$ A/W인 것으로 분석되었다. -
ZnPc and CuPc molecules stacked similar way in the film, but showed different growth modes in thermal evaporation. The distribution of CuPc crystals did not change by the film thickness, whereas the distribution of ZnPc became random as the increase of the film thickness. The disc type nanograins of CuPc were quite regularly distributed at the initial growth regime and the regular distribution of nanograins was kept during the film growth. On the other hand, ZnPc consisted in ellipsoid shaped nanograins and the distribution of nanograins was not regular in the initial growth regime. The irregular distribution of nanograins changed to the regular mode at the later growth regime by showing structure factor in GISAXS measurement. The different initial nanograin distribution in ZnPc and CuPc was related to the different nanostructure in the mixed layer with C60 to form the bulk heterojunction.
-
We observed strain evolution of P3HT crystals in P3HT:PCBM films and the effect of Al electrode on the evolution during real time annealing process. Based on simple assumptions, both relaxed lattice parameters and thermal expansion coefficient could be quantitatively determined. P3HT:PCBM films displayed tensile strain in as-prepared samples regardless of the presence of an Al layer. In the absence of Al layer, P3HT crystals showed only strain relaxation at an annealing temperature of
$180^{\circ}C$ . Meanwhile In the presence of an Al layer, the strain was relaxed and changed to compressive strain at around 120C annealing temperature, which indicated a tightening of the thiophene ring packing. These behaviors support the improved performance of devices fabricated by post annealing process. -
Lee, Je-Won;No, Gang-Hyeon;Song, Hyo-Seop;Kim, Seong-Ik;Lee, Eun-Ji;Lee, Se-Hui;Jo, Gwan-Sik 164
저진공 (>100 mTorr)에서 냉음극 변압기 전원 소스를 이용하여 플라즈마를 발생시키는 시스템을 개발하였다. 또한 이 장치를 이용하여 Tetraethylorthosilicate (TEOS)를 기화시켜 이산화규소 ($SiO_2$ ) 박막 증착 기술을 연구하였다. 공정 압력은 400~1,000 mT이었다. 증착된 박막의 박막 두께, 굴절률 등의 측정을 실시하였다. 결과를 요약하면, 플라즈마 공정 압력이 증가함에 따라 박막 증착 속도는 약 200~300 A/min이었다. 또한 전압이 1,100에서 2,100 V로 증가함에 따라 산화막의 증착 속도는 약 300에서 40 nm/min으로 증가하였다. TEOS만을 사용하였을 때 굴절률은 약 1.5~1.6정도였다. 그러나 TEOS에 산소를 추가하면 자연 산화막의 굴절률인 1.46을 쉽게 얻을 수 있었다. 초기 연구 결과를 정리하면 냉음극 변압기 플라즈마 장치는 향후 실용적인 산화막 플라즈마 증착 연구 장치로 사용될 수 있을 것으로 생각된다. -
비정질의 Tantalum-indium-zinc oxide (TIZO) 박막 트랜지스터는 RF-sputtering 방법으로 증착되었으며 소결된 단일 타겟을 사용하였다. 증착당시 반응 가스는 알곤과 산소를 95 : 5로 섞어 반응성 스퍼터링을 진행하였으며, 1 mtorr에서 5 mtorr까지 다양한 공정압력에서 증착한 이 후 Furnace system을 통하여
$350^{\circ}C$ 의 온도로 1시간 동안 후열처리 공정을 진행하였다. 비정질 TIZO 박막을 활성 층으로 사용하여 제작한 박막 트랜지스터는 공정압력이 낮아짐에 따라 높은 이동도와 낮은 subthrehsold gate swing 보였다. 이러한 현상의 원인을 규명하고자 물리적, 전기적, 광학적 분석을 통하여 공정압력의 변화가 박막 트랜지스터 구동에 미치는 영향을 해석하였다. 우선 공정압력에 따른 TIZO 박막의 Ta, In, Zn, O 각각의 조성을 분석하기 위하여 Rutherford back scattering (RBS) 분석을 실시하였다. 또한 X-선 회절(X-ray diffraction)분석을 통해 열처리된 TIZO 박막은 공정압력에 따라 물리적 구조의 변화를 일으키지 않으며 모든 박막은 비정질상을 보이는 것을 확인하였다. 3.3eV의 광학적 밴드 갭은 기존에 보고되었던 비정질 산화물 반도체(InGaZnO, HfInZnO 등)와도 유사한 밴드갭을 가지고 있음을 확인하였다. 또한, spectroscopic ellipsometry (SE)분석을 통하여 전도대 이하 밴드 갭 내에 존재하는 결함상태 및 전도대에서 결함상태까지의 에너지 준위 그리고 공정압력에 따라 결함의 양과 발생되는 에너지 준위가 변화하는 현상을 관측하였다. 박막을 제조 할 때의 공정압력은 박막 내의 결함의 양 및 발생되는 에너지 준위의 변화를 야기하고 변화된 결함의 양과 발생된 에너지 준위에 따라 박막트랜지스터의 전기적 특성을 변화시킨다는 결과를 도출하였다. -
We examined the distribution of Co ions of ferromagnetic n-type Zn(1-x)Co(x)O semiconducting films with the Co concentrations of 0.03~0.07 using x-ray absorption fine structure (XAFS) measurements at the Co and Zn K edges. Extended XAFS (EXAFS) revealed that Co ions mainly occupied the zinc sites of the films. X-ray absorption near edge structure (XANES) spectra demonstrated that the pre-edge peak of the Co K edge was substantially affected by the second neighboring Co ions at the zinc sites due to hybridizing of the Co 4p conduction electrons with the Co 3d bounded electrons. From XANES and EXAFS analysis using ab initio calculations, we found that Co ions uniformly occupied the zinc sites of the Zn (0.93) Co (0.07)O film, whereas the Co ions of the Zn (0.97) Co (0.03)O and Zn (0.95) Co (0.05)O films were substituted at localized zinc sites. The ferromagnetic properties of the Zn (0.93) Co(0.07)O film could be induced by direct interaction between the magnetic dipoles of the Co ions with a mean distance of 4.3 A or by Co 4p electron mediation.
-
Aluminium-zinc-tin oxide (AZTO) 박막 트랜지스터는 Spin-coating 방법으로 제작되었다. AZTO용액의 용매는 2-Methoxyethanol, 용질은 각각 Aluminium nitride, Zinc acetate dihydrate, Tin chloride가 사용되어 제작되었다. 용액의 안정성을 위해서 미량의 Mono ethyl amine이 첨가되었다. 용액의 Zn:Sn의 몰 비율은 1 : 1로 고정 되었으며 Al의 mole비를 다양하게 늘리면서 실험을 진행하였다. 이렇게 만들어진 AZTO용액은 3,000 rpm으로 30초간 Spin-coating하였으며 이후 Furnace system을 통하여
$500^{\circ}C$ 의 온도로 1시간 동안 후열처리 공정을 진행하였다. AZTO박막을 활성층으로 제작된 박막 트랜지스터는 Al의 비율이 늘어남에 따라 처음엔 이동도가 증가하였으나 이후 이동도가 낮아지며 소자특성이 나빠지는 것을 보였다. 이러한 현상의 원인을 알아보고자 물리적, 전기적, 광학적 분석을 통해서 Al양의 변화가 박막트랜지스터 구동에 미치는 영향을 해석하였다. 먼저 AZTO용액은 열중량측정/시차열분석법(Thermo Gravimetry/Differential Thermal Analysis)을 이용하여 spin-coating 이후 후 열처리 온도 결정 및 박막의 변화를 관찰하였으며, X-선 분광(X-ray photoelectron spectroscopy)을 이용하여 박막의 조성 및 전자구조의 변화를, 타원분광해석법(Spectroscopic Ellipsometry)분석을 통하여 밴드 갭과 전도대 이하 밴드 갭 내에 존재하는 결함상태변화를 관찰하였다. AZTO 박막 내의 Al양을 조절하는 것은 박막내의 에너지 준위의 변화를 야기하고 그로인해 박막트랜지스터의 특성을 변화킨다는 결과를 도출하였다. -
Enhancement of Electrical and Optical Properties of AZO Thin Film Fabricated by Magnetron SputteringAl doped ZnO (AZO)는 태양전지, 평판 디스플레이, OELD 등 광전자 소자에 적용되는 투명전도막용 재료인 ITO의 대체 재료로서 최근에 가장 각광받고 있는 물질이다. 하지만,
$2.5{\times}10^{-4}{\Omega}{\cdot}cm$ 의 낮은 비저항과 90% 이상의 투과도를 갖는 ITO의 비해 AZO의 특성은 아직 부족한 상황이다. 수십 년간 많은 연구자들에 의해 다양한 제조 방법과 공정 조건들로 전기적, 광학적 특성을 향상시키기 위한 노력들이 진행되어 왔다. 하지만 실리콘 반도체와는 달리 II-VI족 물질의 정확한 근본적인 원리는 아직 불분명한 상태이다. 지금까지 AZO의 특성 향상의 원인을 결정립 크기, 주상구조의 우선 방위, 결정성, Zn-O 구조내의 산소 결핍 등의 메커니즘으로 설명해 왔다. 하지만, 본 연구에서는 지금까지 제안된 상기 요인의 변화 없이 전기적, 광학적 특성을 향상시키는 것이 짧은 열처리만으로도 가능했다. AZO 박막의 전기적, 광학적 특성에 큰 영향을 미치는 보다 근본적인 원인은 도핑 효율이다. ZnO 내에 도핑된 Al의 양보다 실제로로 활성화된 Al의 비율을 올리는 것이 중요하다. 본 연구에서 구조적, 조성적 변화 없이 도핑효율을 8.9%에서 66.7%까지 증가시켰으며, 이동도는 박막 표면의 및 결정립계 사이의 과잉산소를 줄임으로서 optical phonon scattering 감소를 통하여 증가시킬 수 있고, 이러한 과잉산소의 감소는 deep level emission을 감소시킴으로서 투과도 증가에도 영향을 준다. 본 연구에서 짧은 열처리를 통해 구조적 변화 없이 도핑효율의 증가만으로$4.8{\times}10^{-4}{\Omega}{\cdot}cm$ 의 비저항과 90%의 투과도를 갖는 AZO 박막을 제조하였다. -
The high-temperature superconductor YBa2Cu3O7-x (YBCO) have attached attentions because of a high superconducting transition temperature, low surface resistance, high superconducting critical current density (Jc), and superior superconducting capability under magnetic field. Moreover, the Jc of YBCO superconductors can be enhanced by adding impurities to the YBCO films for vortex-pinning. Understanding and controlling pinning centers are key factors to realize high Jc superconductors. We synthesized vertically-aligned ZnO nanorods on SrTiO3 (STO) substrates by catalyst-free metal-organic chemical vapor deposition (MOCVD), and subsequently, deposited YBCO films on the ZnO nanorods/STO templates using pulsed laser deposition (PLD). The various techniques were used to analyze the structural and interfacial properties of the YBCO/ZnO nanorods/STO hybrid structures. SEM, TEM, and XRD measurements demonstrated that YBCO films on ZnO nanorods/STO were well crystallized with the (001) orientation. EXAFS measurements from YBCO/ZnO nanorods/STO at Cu K edge demonstrated that the local structural properties around Cu atoms in YBCO were quite similar to those of YBCO/STO.
-
정보통신 기술 발전과 함께 자동차 및 로봇 산업에서도 다양한 IT 기술이 적용되고 있다. 지능형 로봇 및 자율 주행 자동차의 실현을 위해서는 필수적인 센서 기술이 수반되어야 한다. 자동차 및 로봇 산업에서 종래의 센서와 더불어 레이저를 이용한 센서 기술 적용으로 보다 업그레이드 된 성능을 갖게 되었다. 특히, 반도체 레이저를 이용한 전방 물체 및 거리 인식 센서를 사용함으로써 보다 정밀한 분해능과 장거리의 거리 계측이 가능하게 되었다. RF나 초음파 센서보다 우수한 성능을 가진 반도체 레이저 거리 계측 센서를 위한 박막 성장과 성장된 Wafer의 칩 프로세스 공정을 진행하여 레이저 센서로 적용 가능함을 확인하였다. InP 기판 위해 클래드, 도파로, 활성층을 MOCVD 장비로 각각 성장하였으며, 도파로는 비대칭 구조로 성장이 되었다.
-
We have studied the effects of plasma treatments on CdS buffer layers in CIGS thin film solar cells. The CdS layers were deposited on CIGS films by chemical bath deposition (CBD) method. The RF plasma treatments of the CdS thin films were performed with Ar,
$O_2 and$N_2 gases, respectively. After plasma treatments, the solar cells with Al:ZnO/i-ZnO/CdS/CIGS structures were fabricated. The surface properties of the CdS/CIGS thin films after plasma treatments were investigated with SEM, EDX and AFM measurements. The electrical properties of manufactured solar cell were discussed with the results of current-voltage measurements. The plasma treatments have a strong influence on the open circuit voltage (VOC) and the fill factor of the solar cells. Finally, a correlation between the surface properties of CdS layer and the efficiencies of the CIGS thin film solar cells is discussed. -
Currently GaN based LED is known to show high internal or external efficiency at low current range. However, this LED operation occurs at high current range and in this range, a significant performance degradation known as 'efficiency droop' occurs. Auger process, carrier leakage process, field effect due to lattice mismatch and thermal effects have been discussed as the causes of loss of efficiency, and these phenomena are major hindrance in LED performance. In order to investigate the main effects of efficiency loss and overcome such effects, it is essential to obtain relative proportion of measurements of internal quantum efficiency (IQE) and various radiative and nonradiative recombination processes. Also, it is very important to obtain radiative and non-radiative recombination times in LEDs. In this research, we measured the IQE of InGaN/GaN multiple quantum wells (MQWs) LEDs with PSS and Planar substrate using modified ABC equation, and investigated the physical mechanism behind by analyzing the emission energy, full-width half maximum (FWHM) of the emission spectra, and carrier recombination dynamic by time-resolved electroluminescence (TREL) measurement using pulse current generator. The LED layer structures were grown on a c-plane sapphire substrate and the active region consists of five 30
${\AA}$ thick In0.15Ga0.85N QWs. The dimension of the fabricated LED chip was$800um{\times}300um$ . Fig. 1. is shown external quantum efficiency (EQE) of both samples. Peak efficiency of LED with PSS is 92% and peak efficiency of LED with planar substrate is 82%. We also confirm that droop of PSS sample is slightly larger than planar substrate sample. Fig. 2 is shown that analysis of relation between IQE and decay time with increasing current using TREL method. -
(In, Ga) N-based III-nitride semiconductor materials have been viewed as the most promising materials for the applications of blue and green light emitting devices such as light-emitting diodes (LEDs) and laser diodes. Although the InGaN alloy can have wide range of visible wavelength by changing the In composition, it is very hard to grow high quality epilayers of In-rich InGaN because of the thermal instability as well as the large lattice and thermal mismatches. In order to avoid phase separation of InGaN, various kinds of structures of InGaN have been studied. If high-quality In-rich InGaN/GaN multiple quantum well (MQW) structures are available, it is expected to achieve highly efficient phosphor-free white LEDs. In this study, we proposed a novel InGaN double hetero-structure grown on GaN nano-pyramids to generate broad-band red-color emission with high quantum efficiency. In this work, we systematically studied the optical properties of the InGaN pyramid structures. The nano-sized hexagonal pyramid structures were grown on the n-type GaN template by metalorganic chemical vapor deposition. SiNx mask was formed on the n-type GaN template with uniformly patterned circle pattern by laser holography. GaN pyramid structures were selectively grown on the opening area of mask by lateral over-growth followed by growth of InGaN/GaN double hetero-structure. The bird's eye-view scanning electron microscope (SEM) image shows that uniform hexagonal pyramid structures are well arranged. We showed that the pyramid structures have high crystal quality and the thickness of InGaN is varied along the height of pyramids via transmission electron microscope. Because the InGaN/GaN double hetero-structure was grown on the nano-pyramid GaN and on the planar GaN, simultaneously, we investigated the comparative study of the optical properties. Photoluminescence (PL) spectra of nano-pyramid sample and planar sample measured at 10 K. Although the growth condition were exactly the same for two samples, the nano-pyramid sample have much lower energy emission centered at 615 nm, compared to 438 nm for planar sample. Moreover, nano-pyramid sample shows broad-band spectrum, which is originate from structural properties of nano-pyramid structure. To study thermal activation energy and potential fluctuation, we measured PL with changing temperature from 10 K to 300 K. We also measured PL with changing the excitation power from 48
${\mu}W$ to 48 mW. We can discriminate the origin of the broad-band spectra from the defect-related yellow luminescence of GaN by carrying out PL excitation experiments. The nano-pyramid structure provided highly efficient broad-band red-color emission for the future applications of phosphor-free white LEDs. -
Kim, Jeong-Jin;An, Ho-Gyun;Bae, Seong-Beom;Mun, Jae-Gyeong;Park, Yeong-Rak;Im, Jong-Won;Min, Byeong-Gyu;Yun, Hyeong-Seop;Yang, Jeon-Uk 176
본 연구는 GaN 기반의 전자소자의 표면 패시베이션 방법으로 열산화 공정을 이용한 알루미늄산화막 패시베이션 공정에 대하여 연구하였다. 결정질의 알루미늄산화물은 경도가 크고 화학적으로 안정적이기 때문에 외부 오염에 대한 소자 표면을 효과적으로 보호할 수 있으며, 열적안정성이 뛰어나 공정중 또는 공정 후의 고온 환경에서의 열 손상이 적은 장점을 가진다. 결정질 알루미늄산화막($Al_2O_3$ )을 소자 표면에 형성하기 위해서 일반적으로 TMA (trimethlyaluminium)와 오존($O_3$ )가스를 이용한 ALD 공정법이 사용되고 있으나 공정 비용이 비싸고 열산화막에 비해 전자 trapping이 많이 발생하여 전자이동도가 저하되는 단점이 있어, 본 연구에서는 열산화 공정을 이용하여 소자의 전기적 특성 저하를 발생시키지 않는 알루미늄산화막 패시베이션을 수행하였다. 실험에 사용된 기판은 AlGaN/GaN 이종접합 구조가 증착된 HEMT 제작용 기판을 사용하였으며 TLM 구조를 제작하여 소자의 채널 면저항 및 절연영역간 누설전류 특성을 확인하였다. TLM 구조가 제작된 샘플 위에 알루미늄을 100${\AA}$ 두께로 소자위에 증착하고$O_2$ 분위기에서 약$525{\sim}675^{\circ}C$ 온도로 3분간 열처리하여 알루미늄 산화막을 형성한 후$950^{\circ}C$ 온도로$N_2$ 분위기에서 30초간 안정화열처리 하여 안정한 알루미늄 산화막 패시베이션을 형성하였다. 알루미늄산화막 패시베이션 후 소자의 절연영역 사이의 누설전류는 패시베이션 전과 비슷한 크기를 나타냈고 패시베이션 후 채널의 면저항이 패시베이션 전에 비해 약 20% 감소한 것을 확인하였다. 또한 패시베이션된 소자와 패시베이션되지않은 소자에 대해$900^{\circ}C$ 온도로 30초간 열처리한 결과 패시베이션 되지 않은 소자는 74%만큼 채널 면저항이 증가하였으며, 절연영역 누설전류가 다섯오더 크기로 증가한 반면 알루미늄산화막 패시베이션한 소자는 단지 13%의 채널 면저항의 증가를 나타내었고 절연영역 누설전류는 100배 감소한 값을 보여 알루미늄산화막 패시베이션이 소자의 열적 안정성을 향상시키는 것을 확인하였다. -
Song, Seok-Gyun;Son, Byeong-Gu;Kim, Byeong-Hun;Lee, Mun-Won;Sin, Myeong-Seon;Choe, Seon-Yong;Kim, Seong-In 179
국내에는 나노 분말 제조를 위한 RF 열플라즈마 시스템 제조 기술이 확보되어 있지 않고, 또한 나노 파우더 제조를 위한 공정 기술 역시 외국 업체에 전적으로 의존하고 있다. 본 연구에서는 나노 분말 제조를 위한 RF 열 플라즈마 토치 시스템 개발과 고품질의 나노 파우더 합성 공정 기술을 확립하여 필요 기관에 제공하는데 있다. 80 kW RF Plasma torch system의 설계 및 제작을 위해 플라즈마 Simulator인 CFD-ACE+를 이용하여 플라즈마 토치 및 반응로 내의 온도 분포, 유체 유동, 열전달 등의 해석을 통해 플라즈마 토치 및 반응로의 반경 및 길이, 구조의 설계 값을 도출하여 반응로를 설계하여 RF 파워, RF 플라즈마 토치(Torch), 반응기(Reactor), 사이클론(Cyclone), 포집부(Collector), 열교환기 및 진공배기 시스템으로 구성하였다. Si 나노 소재의 경우, 이차전지 음극재에 적용이 가능한 대표적인 소재로서 높음 비용량과 충/방전시 부피팽창을 감소시킬 수 있어 이차전지의 고용량 구현을 위해서는 가장 중요한 소재중 하나로 많은 관심 재료로 평가 받고 있다. 따라서 본 연구에서는 상용화된 Si 원료 powder를 사용하여 고상 분체 공급 장치를 통하여 고온의 플라즈마를 통과시켜 기상화 및 결정화과정을 통해 Si 나노분말을 제조하였다. 공정 변수로서 공정압력 및 플라즈마 power, Gas의 변화량에 따른 나노 분말의 제조 특성에 대한 실험을 진행한 후 제조된 나노 분말을 비표면적측정(BET) 및 SEM 측정 결과 분석을 통하여 시스템 특성을 파악하였으며 제조된 Si 나노 파우더는 이차전지 음극재로서 770 mAh/g의 용량과 93%@50 cycle 수준의 유지율을 나타내었다. -
Ko, Suk-Min;Kim, Je-Hyung;Ko, Young-Ho;Chang, Yun-Hee;Kim, Yong-Hyun;Yoon, Jong-Moon;Lee, Jeong-Yong;Cho, Yong-Hoon 180
Heteroepitaxial GaN nano- and micro-rods (NMRs) are one of the most promising structures for high performance optoelectronic devices such as light emitting diodes, lasers, solar cells integrated with Si-based electric circuits due to their low dislocation density and high surface to volume ratio. However, heteroepitaxial GaN NMRs growth using a metal-organic vapor phase epitaxy (MOVPE) machine is not easy due to their long surface diffusion length at high growth temperature of MOVPE above$1000^{\circ}C$ . Recently some research groups reported the fabrication of the heteroepitaxial GaN NMRs by using MOVPE with vapor-liquid-solid (VLS) technique assisted by metal catalyst. However, in the case of the VLS technique, metal catalysts may act as impurities, and the GaN NMRs produced in this mathod have poor directionallity. We have successfully grown the vertically well aligned GaN NMRs on Si (111) substrate by means of self-catalystic growth methods with pulsed-flow injection of precursors. To grow the GaN NMRs with high aspect ratio, we veried the growth conditions such as the growth temperature, reactor pressure, and V/III molar ratio. We confirmed that the surface morphology of GaN was strongly influenced by the surface diffusion of Ga and N adatoms related to the surrounding environment during growth, and we carried out theoretical studies about the relation between the reactor pressure and the growth rate of GaN NMRs. From these results, we successfully explained the growth mechanism of catalyst-free and mask-free heteroepitaxial GaN NMRs on Si (111) substrates. Detailed experimental results will be discussed. -
Song, U-Seok;Jeon, Cheol-Ho;Kim, Su-Yeon;Kim, Yu-Seok;Kim, Seong-Hwan;Lee, Su-Il;Jeong, Dae-Seong;Park, Jong-Yun 181
Direct synthesis of graphene using a chemical vapor deposition (CVD) has been considered a facile way to produce large-area and uniform graphene film, which is an accessible method from an application standpoint. Hence, their fundamental understanding is highly required. Unfortunately, the CVD growth mechanism of graphene on Cu remains elusive and controversial. Here, we present the evidences for two different growth modes of graphene on Cu investigated by varying carbon feedstock (C2H2 and CH4) and working pressure. The number of uniform graphene layer grown by C2H2 increased with increasing its injection time. A combined secondary ion mass spectrometry (SIMS) and X-ray diffraction (XRD) study revealed a carbon-diffused Cu layer created below surface region of Cu substrate with the expansion of Cu lattice. The graphene on Cu was grown by the diffusion and precipitation mode not by the surface adsorption mode, because similar results were observed in graphene/Ni system. The carbon-diffused Cu layer was also observed after graphene growth under high CH4 pressure. Based on various previous results and ours, we have successfully found that there are two selective growth modes for graphene on Cu substrate, and a desired mode can be chosen by tuning working pressure corresponding to the kind of carbon feedstock. We believe that this finding will shed light on high quality graphene growth and its multifaceted applications. -
Kim, Je-Hyeong;Go, Yeong-Ho;Gong, Su-Hyeon;Go, Seok-Min;O, Chung-Seok;Park, Gi-Yeon;Jeong, Myeong-Ho;Lee, Jeong-Yong;Jo, Yong-Hun 182
반도체 저차원 구조에서의 독특한 광학적, 전기적 특성이 연구됨에 따라 양자점, 양자선, 양자우물과 같은 공간적으로 구속되어 있는 나노구조 형성에 관한 제작 방법과 그 특성 연구가 많은 관심을 받고 있다. 하지만 Si 또는 GaAs 반도체와 달리 광소자로써 각광받고 있는 질화물 반도체의 경우, 높은 화학적, 물리적 안정성으로 인해, 화학적 에칭에 의한 나노구조 형성이 쉽지 않고, 물리적 에칭의 경우, 표면 결함이 많이 발생되는 문제점이 있어 어려움을 겪고 있다. 최근 본 연구그룹에서는 자체 개발한 고온 HCl 가스를 이용한 화학적 기상 에칭법을 이용하여, 다양한 크기, 모양의 나노구조 형성 및 이를 이용한 다양한 타입의 InGaN 나노구조 제작 및 특성에 대해 연구하였다 (Figure 1). 화학적 기상 에칭법을 이용한 나노구조의 경우, 선택적인 결함구조 제거 및 이종기판 사용에 따른 응력 감소, 광추출 효율을 증가시켜, 우수한 구조적, 광학적 특성을 보여주었고, 에칭 조건에 따른, 피라미드, 막대와 같은 다양한 나노구조를 제작하였다. 뿐만 아니라 이를 기반으로 한 다양한 InGaN 나노구조를 모델을 제시하였는데, 첫번째는 GaN 나노막대 기판 위에 형성된 고품위InGaN 양자우물구조 성장이고, 두 번째는 InGaN 양자우물을 포함하고 있는 나노막대 구조 제작, 세번째는 InGaN/GaN core/shell 구조이다 (Figure 2). 이러한 InGaN 나노구조의 경우 높은 광결정성 및 크게 감소한 내부 전기장 효과, 광방출에 유리한 구조에 기인한 우수한 광특성을 보여주고 있어 광소자로써 응용가능성이 크고, InGaN/GaN core/shell 나노구조의 경우, 나노구조 내부에 단일 InGaN양자점이 형성되어 높은 광추출효율의 양자광소자로써 활용가능성을 보여주었다. -
최근 환경오염과 천연자원의 고갈로 태양광을 이용한 수소와 산소를 제조하는 광전기화학적 물분해 반응이 많은 관심을 받고 있다. 본 연구에서는 란타늄 알루미네이트 단결정 기판 위에 니오븀을 도핑한 이산화 티타늄을 증착 시킨 후 two-step sol-gel법을 이용하여 아나타제 이산화티탄늄 나노막대를 성장시켰다. 성장시킨 아나타제 티타늄산화 막대는 구조적인 특징과 모양, 크기를 전구체 용액의 수소이온화지수(pH)를 조절함으로써 변화 시킬 수 있다. 니오븀을 도핑한 이산화 티타늄 기판 위에 높은 수소이온화지수(pH 10 이상)을 이용하여 우선 배향된 아나타제 나노 막대를 성장 시킬 수 있으며, 주사전자현고해상도 투과전자현미경, x선 회절 분석기를 통해 구조적 특성을 평가하였다. 또한 수소이온화지수를 조절(pH 9)하여 만든 다결정질 아나타제 나노구형과 우선배향된 나노막대의 전하이동특성을 분석하기 위하여 기체 색층분석법(GC), 광전기화학법(PEC), 임피던스를 측정하였다.
-
Recently, InGaN/GaN multi-quantum well grown on GaN pyramid structures have attracted much attention due to their hybrid characteristics of quantum well, quantum wire, and quantum dot. This gives us broad band emission which will be useful for phosphor-free white light emitting diode. On the other hand, by using quantum dot emission on top of the pyramid, site selective single photon source could be realized. However, these structures still have several limitations for the single photon source. For instance, the quantum efficiency of quantum dot emission should be improved further. As detection systems have limited numerical aperture, collection efficiency is also important issue. It has been known that micro-cavities can be utilized to modify the radiative decay rate and to control the radiation pattern of quantum dot. Researchers have also been interested in nano-cavities using localized surface plasmon. Although the plasmonic cavities have small quality factor due to high loss of metal, it could have small mode volume because plasmonic wavelength is much smaller than the wavelength in the dielectric cavities. In this work, we used localized surface plasmon to improve efficiency of InGaN qunatum dot as a single photon emitter. We could easily get the localized surface plasmon mode after deposit the metal thin film because lnGaN/GaN multi quantum well has the pyramidal geometry. With numerical simulation (i.e., Finite Difference Time Domain method), we observed highly enhanced decay rate and modified radiation pattern. To confirm these localized surface plasmon effect experimentally, we deposited metal thin films on InGaN/GaN pyramid structures using e-beam deposition. Then, photoluminescence and time-resolved photoluminescence were carried out to measure the improvement of radiative decay rate (Purcell factor). By carrying out cathodoluminescence (CL) experiments, spatial-resolved CL images could also be obtained. As we mentioned before, collection efficiency is also important issue to make an efficient single photon emitter. To confirm the radiation pattern of quantum dot, Fourier optics system was used to capture the angular property of emission. We believe that highly focused localized surface plasmon around site-selective InGaN quantum dot could be a feasible single photon emitter.
-
중공 발광 나노 물질은 특유의 구조적 특성(낮은 밀도, 높은 비표면적, 다공성 물질, 낮은 열팽창계수 등)과 광학적 성질을 이용하여 디스플레이 패널, 광결정, 약물전달체, 바이오 이미징 라벨 등의 다양한 적용이 가능하다. 이러한 적용에 있어 균일한 크기와 형태의 중공 입자는 필수 조건으로 여겨진다. 지금까지 합성된 중공 발광 입자에는 BaMgAl10O17 : Eu2+-Nd3+, Gd2O3 : Eu3+,
$EuPO_4{\cdot}H_2O$ 과 같은 것들이 있으나 크기 조절이 어렵고, 그 균일성이 확보되지 못하였다. 균일한 크기의 중공 발광 입자를 만들기 위해 SiO2나 emulsion을 템플릿으로 이용하여 황화카드뮴, 카드뮴 셀레나이드 중공 입자를 합성한 예가 있으나, 양자점의 독성으로 인하여 바이오분야 응용에는 적합하지 않다. YAG는 모체로써 형광체에서 가장 많이 이용되는 물질로, 화학적 안정성과 낮은 독성, 높은 양자 효율 등 많은 장점을 갖고 있다. 특히 세륨이 도핑된 YAG형광체의 경우 WLED, 신틸레이터, 바이오산업에 적용이 가능하다. 그러나 지금까지 중공 YAG:Ce3+형광체를 합성한 예가 없었다. 본 연구에서는 단분산 수화 알루미늄 (Al(OH)3) 입자 위에 세륨이 도핑 된 이트륨 베이직 카보네이트 ($Y(OH)CO_3$ )를 균일하게 코팅한 후 열처리를 하여 균일한 크기의 Y3Al5O12:Ce3+(YAG) 중공 입자를 합성하였다. 열처리 온도에 따른 고분해능 투과 전자 현미경(HRTEM), X-선 회절(XRD), 고분해능 에너지 분광법(HREDX) 분석결과, 중공 YAG: Ce3+입자는 Kirkendall 효과에 의해 형성됨을 확인하였다. 전계방사형 주사 전자 현미경(FE-SEM) 측정을 통해, 열처리 후에도 입자의 크기와 형태가 균일함을 확인하였으며, 공초점 현미경 관찰을 통해 중공 형태를 명확히 확인 할 수 있었다. Photoluminescence (PL) 분광법과 형광 수명 이미징 현미경(FLIM)을 이용한 광 특성 분석결과, 합성된 입자는 400-500 nm에서 흡수 파장 (456 nm에서 최대 강도)과 500-700 nm 범위의 발광 파장(544 nm에서 최대 강도)을 나타냈고, 상용 YAG: Ce3+(70 ns)에 준하는 74 ns의 잔광 시간(decay time)이 측정되었다. 단분산 수화 알루미늄 입자의 크기를 조절하여 최종 합성된 YAG: Ce3+의 크기를 조절할 수 있었다. 지름 약 600 nm의 Al(OH)3를 사용한 경우,$1,300^{\circ}C$ 에서 열처리를 한 후 평균 지름 590 nm의 중공입자를 합성하였고, 약 170 nm의 Al(OH)3를 이용하여, 더 낮은 온도인$1,100^{\circ}C$ 에서의 열처리를 통해 평균지름 140 nm의 중공 YAG: Ce3+입자를 합성하였다. 본 연구를 통하여 합성된 균일한 크기의 YAG 중공입자는 LED와 같은 광전변환 소자 및 다기능성 바이오 이미징 등의 나노바이오 소자 분야에 활용될 수 있음이 기대된다. -
This report investigates a new synthetic route and the size-dependent optical and electrical properties of PbS nanocrystal quantum dots (NQDs) in diameters ranging between 1.5 and 6 nm. Particularly we synthesize ultra-small sized PbS NQDs having extreme quantum confinement with 1.5~2.9 nm in diameter (2.58~1.5 eV in first exciton energy) for the first time by adjusting growth temperature and growth time. In this region, the Stokes shift increases as decreasing size, which is testimony to the highly quantum confinement effect of ultra-small sized PbS NQDs. To find out the electrical properties, we fabricate self-assembled films of PbS NQDs using layer by layer (LBL) spin-coating method and replacing the original ligands with oleic acid to short ligands with 1, 2-ethandithiol (EDT) in the course. The use of capping ligands (EDT) allows us to achieve effective electrical transport in the arrays of solution processed PbS NQDs. These high-quality films apply to Schottky solar cell made in an glass/ITO/PbS/LiF/Al structure and thin-film transistor varying the PbS NQDs diameter 1.5~6 nm. We achieve the highest open-circuit voltage (<0.6 V) in Schottky solar cell ever using PbS NQDs with first exciton energy 2.58 eV.
-
In this report, the plasmonic nanopores of less than 5 nm diameter were fabricated on the apex of the pyramidal cavity array. The metallic pyramidal pit cavity can also utilized as the plasmonic bioreactor, and the fabricated Au or Al metallic nanopore can provide the controllable translocation speed down using the plasmonic optical force. Initially, the SiO2 nanopore on the pyramidal pit cavity were fabricated using conventional microfabrication techniques. Then, the metallic thin film was sputter-deposited, followed by surface modification of the nanometer thick membrane using FESEM, TEM and EPMA. The huge electron intensity of FESEM with ~microsecond scan speed can provide the rapid solid phase surface transformation. However, the moderate electron beam intensity from the normal TEM without high speed scanning can only provide the liquid phase surface modification. After metal deposition, the 100 nm diameter aperture using FIB beam drilling was obtained in order to obtain the uniform nano-aperture. Then, the nanometer size aperture was reduced down to ~50 nm using electron beam surface modification using high speed scanning FESEM. The followed EPMA electron beam exposure without high speed scanning presents the reduction of the nanosize aperture down to 10 nm. During these processes, the widening or the shrinking of the nanometer pore was observed depending upon the electron beam intensity. Finally, using 200 keV TEM, the diameter of the nanopore was successively down from 10 nm down to 1.5 nm.
-
Kim, Yoo-Seok;Song, Woo-Seok;Cha, Myoung-Jun;Lee, Su-Il;Cho, Ju-Mi;Kim, Sung-Hwan;Park, Chong-Yun 188
Graphene, with its unique physical and structural properties, has recently become a proving ground for various physical phenomena, and is a promising candidate for a variety of electronic device and flexible display applications. Compared to indium tin oxide (ITO) electrodes, which have a typical sheet resistance of${\sim}60{\Omega}$ /sq and ~85% transmittance in the visible range, the chemical vapor deposition (CVD) synthesized graphene electrodes have a higher transmittance in the visible to IR region and are more robust under bending. Nevertheless, the lowest sheet resistance of the currently available CVD graphene electrodes is higher than that of ITO. In this study, we report a creative strategy, irradiation of microwave at room temperature under vacuum, for obtaining size-homogeneous gold nano-particle doping on graphene. The gold nano-particlization promoted by microwave irradiation was investigated by transmission electron microscopy, electron energy loss spectroscopy elemental mapping. These results clearly revealed that gold nanoparticle with${\geq}30$ nm in mean size were decorated along the surface of the graphene after microwave irradiation. The fabrication high-performance transparent conducting film with optimized doping condition showed a sheet resistance of${\geq}100{\Omega}$ /sq. at ~90% transmittance. This approach advances the numerous applications of graphene films as transparent conducting electrodes. -
Lead sulfide (PbS) nanocrystal quantum dots (NQDs) are promising materials for various optoelectronic devices, especially solar cells, because of their tunability of the optical band-gap controlled by adjusting the diameter of NQDs. PbS is a IV-VI semiconductor enabling infrared-absorption and it can be synthesized using solution process methods. A wide choice of the diameter of PbS NQDs is also a benefit to achieve the quantum confinement regime due to its large Bohr exciton radius (20 nm). To exploit these desirable properties, many research groups have intensively studied to apply for the photovoltaic devices. There are several essential requirements to fabricate the efficient NQDs-based solar cell. First of all, highly confined PbS QDs should be synthesized resulting in a narrow peak with a small full width-half maximum value at the first exciton transition observed in UV-Vis absorbance and photoluminescence spectra. In other words, the size-uniformity of NQDs ought to secure under 5%. Second, PbS NQDs should be assembled carefully in order to enhance the electronic coupling between adjacent NQDs by controlling the inter-QDs distance. Finally, appropriate structure for the photovoltaic device is the key issue to extract the photo-generated carriers from light-absorbing layer in solar cell. In this step, workfunction and Fermi energy difference could be precisely considered for Schottky and hetero junction device, respectively. In this presentation, we introduce the strategy to obtain high performance solar cell fabricated using PbS NQDs below the size of the Bohr radius. The PbS NQDs with various diameters were synthesized using methods established by Hines with a few modifications. PbS NQDs solids were assembled using layer-by-layer spin-coating method. Subsequent ligand-exchange was carried out using 1,2-ethanedithiol (EDT) to reduce inter-NQDs distance. Finally, Schottky junction solar cells were fabricated on ITO-coated glass and 150 nm-thick Al was deposited on the top of PbS NQDs solids as a top electrode using thermal evaporation technique. To evaluate the solar cell performance, current-voltage (I-V) measurement were performed under AM 1.5G solar spectrum at 1 sun intensity. As a result, we could achieve the power conversion efficiency of 3.33% at Schottky junction solar cell. This result indicates that high performance solar cell is successfully fabricated by optimizing the all steps as mentioned above in this work.
-
In thin film silicon solar cells, p-i-n structure is adopted instead of p/n junction structure as in wafer-based Si solar cells. PECVD is the most widely used thin film deposition process for a-Si:H or
${\mu}c$ -Si:H solar cells. Single-chamber PECVD system for a-Si:H solar cell manufacturing has the advantage of lower initial investment and maintenance cost for the equipment. However, in single-chamber PECVD system, doped and intrinsic layers are deposited in one plasma chamber, which inevitably impedes sharp dopant profiles at the interfaces due to the contamination from previous deposition process. The cross-contamination between layers is a serious drawback of single-chamber PECVD system. In this study, a new plasma process to solve the cross-contamination problem in a single-chamber PECVD system was suggested. In order to remove the deposited B inside of the plasma chamber during p-layer deposition, a high RF power was applied right after p-layer deposition with SiH4 gas off, which is then followed by i-layer, n-layer, and Ag top-electrode deposition without vacuum break. In addition to the p-i interface control, various interface control techniques such as FTO-glass pre-annealing in O2 environment to further reduce sheet resistance of FTO-glass, thin layer of TiO2 deposition to prevent H2 plasma reduction of FTO layer, and hydrogen plasma treatment prior to n-layer deposition, etc. were developed. The best initial solar cell efficiency using single-chamber PECVD system of 10.5% for test cell area of 0.2$cm^2$ could be achieved by adopting various interface control methods. -
박막 실리콘 태양전지에 입사한 빛 중 흡수층인 진성 비정질 실리콘층(i-a-Si)에 흡수된 빛은 출력으로 변환되나, 기타의 층에서 흡수된 빛은 손실 성분이 된다. 이 중 흡수 손실이 큰 층은 도핑 층(p-a-SiC 및 n-a-Si)들인데, 이 들의 흡수 손실을 측정된 광학함수를 이용해 계산해 보면 Fig. 1과 같이 나타난다. p-a-SiC은 광 입사부에 위치하여 단파장 영역의 흡수 손실을 일으키고, n-a-Si 은 태양전지의 후면에 위치하여 장파장 영역의 흡수손실을 일으킨다. 이러한 도핑층에서의 흡수 손실을 제거 또는 개선하기 위해 도핑층의 재료를 기존 재료보다 광학적 밴드갭이 큰 재료로 대체하여 개선하는 방안에 대해 논하고자 한다. 금속 산화물의 밴드갭은 실리콘 화합물에 비하여 대체로 큰 값을 가지기 때문에 이를 기존의 실리콘 화합물 대신으로 사용한다면 광학적 흡수 손실을 효과적으로 줄일 수 있다. 단, 이때 태양전지의 광 전압을 결정하는 인자가 p층과 n층 사이의 일함수 차이에 해당하므로, p층의 대체층으로 사용 가능한 금속 산화물은 일함수가 큰(>5 eV) 재료 중에서 선택하는 것이 적합하며, n층의 대체층으로 사용 가능한 금속 산화물은 일함수가 작은(< 4.2 eV) 재료 중에서 선택하는 것이 적합하다. Table 1에서 p층과 n층 대체용 금속산화물의 후보들을 정리하였다. 먼저 도핑층에서의 광 흡수가 광손실이 될 수 밖에 없는 물리적 근거에 대해서 논하고, 그 실험적인 증명을 제시한다. 이러한 개념을 바탕으로 도핑층의 내부 전기장의 방향을 제어하여 전자-정공쌍을 분리 수집하는 방법을 실험적으로 구현하였다. 이어서 금속 산화물을 부분적으로 대체하여 흡수 손실을 개선하는 방안을 제시한다. WOx, NiOx, N doped ZnO 등을 적용하여 그 효과를 비교 검토하였다. 끝으로 금속산화믈 대체 또는 쇼트키 접합을 적용하여 도핑층의 광 흡수를 줄이고 효율을 향상하는 방안을 제시한다. 그 사례로서 WOx, MoOx, LiF/Al의 적용결과를 살펴보고 추가 개선방안에 대해 토의할 것이다. 결론적으로 광학적 밴드갭이 큰 재료를 도핑층 대신 사용하여 흡수 손실을 줄이는 것이 가능하다는 것을 알 수 있고, 이 때 일함수 조건이 만족이 되면 광 전압의 손실도 최소화할 수 있다는 점을 확인할 수 있었다. 현재까지 연구의 한계와 문제점을 정리하고, 추가 연구에 의한 개선 가능성 및 실용화 개발과의 연관관계 등을 제시할 것이다.
-
염료감응 태양전지는 실리콘 태양전지에 비해 단가가 낮고 반투명하며 친환경적 특성으로 차세대 태양전지로 주목을 받았으나 염료의 안정성의 문제와 특정 파장대의 빛만 흡수하는 단점을 가지고 있다. 이러한 문제점을 해결하기 위하여 양자구속 효과에 의해 크기에 따라 밴드갭 조절이 용이하여 다양한 파장대의 빛을 흡수 할 수 있는 양자점 감응태양전지가 많은 관심을 받고 있다. 하지만 양자점 감응 태양 전지의 활성층으로 사용되는 반도체 산화물인 이산화티타늄의 두께는
$13{\sim}18{\mu}m$ 로 짧은 확산거리로 인해 전하수집의 한계를 가지고 있다. 이를 극복하기 위해 인듐 주석 산화물 나노선을 합성하여 전자가 광전극에 직접유입이 가능하도록 해 빠른 전하이동 및 전하수집을 가능하게 한다. 인듐 주석 산화물 나노선은 증기수송 방법(VTM)을 이용하여 인듐 주석 산화물 유리 기판 위에$5{\sim}30{\mu}m$ 길이로 합성하였다. 전해질과 전자가 손실되는 것을 방지하기 위해 원자층 증착법(ALD)을 이용하여 이산화 티타늄 차단층을 20 nm 두께로 코팅한 후 화학증착방법(CBD)을 이용하여 인듐 주석 산화물 나노선-이산화 티타늄 코어-쉘 구조를 만든다. 마지막으로 황화카드뮴, 카드늄셀레나이드, 황화아연을 증착시킨 후 다황화물 전해질을 이용하여 양자점 감응 태양전지를 제작하였다. 특성 평가를 위해 전계방사 주사전자현미경, X-선 회절, 고분해능 투과 전자 현미경을 이용하며 intensity modulated photocurrent spectroscopy (IMPS), intensity modulated voltage spectroscopy (IMVS)를 이용하여 전하수집 특성평가를 하였다. -
염료감응형 태양전지 (DSSC)는 다양한 태양전지 중, 가장 환경친화적이고, 생산단가도 낮을 뿐만 아니라 다양한 색상과 투광성을 확보할 수 있어 많은 연구가 진행되어왔다. 하지만 액체 전해질을 사용하는 기존 염료감응형 태양전지는 높은 휘발성과 열 팽창 수축에 따른 전해질 누액의 문제점으로 인하여 최근에는 고체전해질을 이용한 염료감응형 태양전지의 개발이 활발히 이루어지고 있다. 본 연구에서는 스크린 프린팅법을 이용하여 TiO2 광전극을 코팅하고 Mg(OH)2를 솔-젤법을 이용하여 스핀 코팅 하였다. 이후에
$500^{\circ}C$ 에서 1시간동안 열처리를 통해 MgO 나노 코팅막을 형성하여 고체 박막 태양전지(solid state dye sensitized solar cells)을 제작하였다. MgO 나노 코팅막의 특성은 솔라시뮬레이터를 이용하여 I-V 곡선, transient Voc, dark current를 측정하였고, UV0vis spectroscopy를 이용하여 염료흡착량을 분석하여 코팅막과 효율간의 상관관계를 평가하였다. -
다양한 응용목적을 위한 진공시스템 설계와 운영은 진공에 대한 기초지식과 이해가 요구되며, 설계제작 및 운영은 많은 시간과 비용이 요구된다. 따라서 응용 진공시스템 제작 이전에 구성에 따른 시스템 진공특성을 예측하는 것이 중요하며 목적에 부합한 장비들을 선택하여 최소비용으로 시스템 활용효율성을 극대화할 수 있도록 해야 한다. 진공시스템의 최적화 설계를 위해서는 펌프의 조합 이외에도 진공 챔버의 부피, 도관의 구조(직경, 길이), 진공재료의 기체방출 등 여러 요소들을 고려해야 한다. 하지만, 본 연구에서는 진공시스템의 최적화 설계를 위한 기초연구로 도관의 구조 즉 conductance 변화에 따라서 수식을 통한 이론적 접근과 실험에 근거한 실험적 접근에서의 배기속도 및 진공펌프의 특성을 비교 분석 하였다. 배기속도가
$600m^3$ /h 용량의 펌프를 사용하여 한국표준과학연구원 측정 절차서에 따라 수행하였다. 진공용기 내 압력은 측정 불확도가 적은 CDG (최대 압력범위가 1000, 100, 10, 1, 0.1 mbar)를 사용하여 압력측정 정확도를 높였다. 또한, 펌프의 소비전력, 소음, 진동, 배기구 압력 등의 변화 등을 측정하여 진공펌프의 상태 변화를 실시간 관찰 하였다. -
염료감응태양전지(DSSC)의 광변환 효율을 향상시키기 위하여 진공챔버에서 450도 고온에서 O2, Ar, and N2 혼합가스를 주입하여 다양한 plasma로 TiO2 박막을 처리하면서 소성시켰다. TiO2 표면을 cleaning하고 활성화함으로서 염료의 결합력을 향상시키는 것 외에 TiO2 내부의 oxygen vacancy를 변화를 관찰하였다. 실험에 사용한 박막은 glass 위에 FTO 박막을 입히고, 다공성 TiO2 나노입자 박막을 코팅하여 제조하였다(porous TiO2 나노입자(
${\sim}12{\mu}m$ )/FTO(Fluorine doped Tin oxide;$1{\mu}m$ )/glass). 완성된 광전극에 대해서 XRD, XPS, EIS, FE-SEM 등을 이용하여 분석하였다. 또한 이렇게 전처리된 광전극을 사용한 DSSC를 제작하였다. 그리고 Solar-simulator를 통해 그 효율을 측정하여 '플라즈마환경에서 소성된 광전극에 대한 DSSC의 광변환효율에 미치는 효과'을 고찰하였다. -
국제핵융합실험로(ITER)는 2020년경에 제작 설치가 완료될 예정이다. 이 장치에 한국도 시험블랑켓 모듈(Test Blanket Module: TBM)을 장착할 예정이다. 한국은 ITER 참여국 중 유일하게 지름 1 mm의 흑연 페블에 SiC를 코팅한 중성자 반사 재료를 채택한 것이 특징이다. 중성자 반사재료를 이용하게 되면 독성이 강한 중성자 증배재인 Be의 양을 줄일 수 있다. SiC 코팅은 여러 가지 방법이 알려져 있지만, 지름 1 mm 내외의 흑연 페블에 SiC를 골고루 코팅하기 위해서는 여러 가지 기술이 가미되어야 한다. 본 연구에서는 CVD 및 CVR법을 이용해 SiC를 코팅했으며, CVD의 경우 전구체 물질로
$CH_3SiCl_3$ 가 사용되었으며, 캐리어 가스로는$H_2$ 를 사용했다. 그리고 CVR에서는 평균입도 10${\mu}m$ 의$SiO_2$ 를 사용했으며,$1,750^{\circ}C$ 에서 2시간 노출시켰다. 이렇게 얻어진 SiC 코팅은 XRD, EDS, FE-SEM 등을 활용한 여러 가지 분석으로 확인할 수 있었다. -
Gang, Sang-Baek;No, Yeong-Ho;Yu, Jae-Gyeong;Go, Deuk-Yong;Park, Seong-Je;Go, Jun-Seok;In, Sang-Ryeol 204
고용량/대면적/초정밀 제품을 지향하는 개발추세에 따라 고진공 펌프중 하나인 크라이오펌프의 활용도가 크게 증가하며 첨단 공정장비인 LCD 분야 등과 같이 수소 분자와 물 분자 등의 배기가 중요한 응용분야에 크라이오펌프의 수요가 대부분을 차지하고 있다. 그러나 크라이오펌프의 운전성능에 대한 표준절차에 따른 실질적인 측정은 빈약한 편이다. 이에 크라이오펌프의 종합 성능평가 기술 개발을 통해 실질적인 크라이오펌프의 운전성능을 제시하고자 한다. 본 연구에서는 고진공 펌프중의 하나인 크라이오펌프의 종합 성능평가 표준절차 및 표준시스템에 대해 소개하며, 상용화 제품에 대한 각 회사에서 제시하고 있는 성능지표에 대해 실질적인 측정에 대한 비교분석을 하고자 한다. 또한 가장 중용한 성능지표 중의 하나인 배기속도 측정에 대해 물리적 특징과 구조적 오차에 대한 보정 식들을 제공하고자 한다. -
Korea Superconducting Tokamak Advanced Research (KSTAR) 장치는 국내 유일의 초전도 자석을 이용한 핵융합 연구 장치로서 초고온의 플라즈마를 생성하여 차세대 에너지원인 핵융합 에너지를 획득하는 것을 목표로 두고 있다. 플라즈마를 생성부터 유지하기 위해서는 수소 동위원소를 토카막 내부로 공급해 주어야 하는데 이러한 수소동위원소를 "연료"라 부르며, 이 연료를 토카막 내부로 공급해 주는 시스템을 연료주입 시스템(Fueling System)이라고 한다. KSTAR에서는 토카막 내부로 고속의 연료 주입이 필요하고 정밀한 양의 연료를 공급하는 밸브를 사용하여야 하며, 이러한 밸브를 제어 할 수 있는 제어기를 필요로 한다. 위의 사항에 적합한 피에조 밸브(Piezoelectric Valve)는 2 msec 이내의 개폐시간과 500 Torr
${\ell}$ /s 이상의 유량을 흘려줄 수 있는 피에조 밸브로 압전소자에 가해지는 전압(0~250 V)에 따라 변위의 양에 비례하여 연료가 진공용기 내로 유입된다. 압전소자의 변위는 최대 140${\mu}m$ 로 최적화되어 있어야 하며, 정전용량(Capacitance)는 30~40 nF이어야 한다. 또한 소자에 힘(Force)를 가해 최대 7 N으로 136${\mu}m$ 의 변위를 가진 소자를 사용해야 한다. 피에조 밸브의 특성으로는 아날로그 신호로 작동이 되어야 하며, 유량신호를 피드백하여 밸브의 구동 전압을 정밀하게 제어 되어야 한다. 피드백 제어를 위해 압력센서는 XCS-190 Series를 사용하여 낮은 유량에서도 민감하게 반응하도록 제작하였으며, 고전압이 유기 되었을 때 제어기를 보호하기 위한 정션박스를 설치하였다. 밸브 제어기는 피에조 밸브의 개방 속도를 높이기 위해 밸브 구동 전압을 순간적으로 높이는 POP 전압을 생성하는 기능과 유량 신호를 피드백해서 밸브 구동 전압을 정밀 제어 하는 기능을 가지고 있다. 제어장치는 아날로그 및 디지털 제어회로의 전원용 +15 V DC와 밸브 구동용 +250 V DC 출력용의 전원 공급 장치(Power supply unit), 펄스 및 트리거 신호를 생성하는 Master Programmer unit), Pop 전압과 피드백의 중요한 기능을 수행하는 Valve controller unit로 제작 되었다. 피에조 밸브와 제어기는 상호 작용하여 동작을 원활히 할 수 있도록 특성 실험을 진행하여야 하며, 진공상태에서 Lack의 유무를 확인하여야 한다. 현재 개발 제작된 밸브의 진공누설시험 및 특성실험을 진행하고 있으며, KSTAR 5차 캠페인에 적용할 계획이다. -
Kim, Yong-Deok;Park, Seok-Jun;Jo, Jeong;Yang, So-Hui;Park, Jong-Do;Ha, Tae-Gyun;Jeong, Seok-Min 206
초고진공 시스템에 사용되는 조합펌프(게터펌프+이온펌프)의 배기속도를 측정하여 보고한다. 이 펌프는 좁은 공간 내에 설치가능 하고 비교적 큰 배기속도를 가지도록 설계되었다. 이온펌프는 소형(30 l/s) 이극형이며 게터펌프는 ZrVFe 합금의 모듈형태로 1개에서 3개까지 장착되도록 하였다. 이 펌프의 설계 성능을 검증하기 위하여 초고진공상태의 잔류기체 구성비에 가까운 기체조성비(수소 90%, 일산화탄소 10%)로 그 배기속도를 측정하였다. 배기속도는 미국진공학회 표준측정순서를 따랐으며 도달압력도 측정하여 보고한다. -
진공단열재는 폴리우레탄 폼 대비 10배 이상의 단열성능을 갖는 고효율 단열재로서 고차단성 필름 봉투 내부에 무기 소재를 진공감압시켜 대류에 의한 열전달을 최소화시킨 차세대 단열재이다. 특히 진공단열재에 있어 열전달의 경로는 전도에 의한 효과가 가장 크므로, 진공단열재 내부의 Glass Fiber 심재의 최적화 설계에 따라 단열 성능을 극대화 시킬 수 있다. 이에, 본 연구에서 GLass Fiber의 배열에 따른 성능 비교 평가를 통해, 전도의 특성을 최소화 시킬 수 있는 Glass Fiber의 배열 및 다층 적층 구조를 통해 성능 개선 효과를 고찰 하였다.
-
최근 환경문제가 많이 제기되면서 친환경적 운송수단인 자전거 개발과 관련하여 다양한 기술개발이 이루어지고 있다. 그 중 고부가가치의 서스펜션 포크의 프레임에 고기능성 표면처리로 Arc ion plating deposition system (AIPDS)을 이용하여 부식, 내마모 특성이 뛰어난 TiN 박막을 증착시켰다. AIPDS는 기존의 arc system과 달리 다원계 소재 코팅 공정조건 확립을 위하여 chamber wall에 2개의 rectangular type sputter source를 장착하고 소재의 pre-treatment 용 linear type ion source를 설치하였다. 장비의 Chamber 중앙에는 pipe형 arc cathode를 설치하였으며, 그 주위를 anode 역할을 하는 copper 코일로 감아 이는 발생한 arc를 target인 cathode 축을 중심으로 방향성을 가지고 회전하여 진행 할 수 있도록 유도 하였다. 이 시스템에서 증착된 TiN 박막은 bias 전압 변화에 따른 박막의 구조 및 물성을 평가하였다. XRD 장비를 통하여 TiN 박막의 상분석을 진행하였고, 마모테스터, 원자현미경, 마이크로 비커스 경도기 등을 이용하여 기계적 특성을 평가하였다.
-
전자의 에너지를 측정하기 위한 분석장치는 원자핵물리뿐만 아니라 화학과 생물학 등 다양한 분야에 걸쳐 매우 유용하게 사용될 수가 있다. 특히 최근에 극고속 과학과 관련해서 매우 짧은 펄스인 아토초 펄스의 발생에 대한 특성평가를 위해 매우 중요한 장치로 사용되고 있다. 그 중 VMI (Velocity Map Imaging) 방법은 TOF (Time of Flight) 방법과 유사하지만, CCD 카메라를 추가로 사용하여 이차원 이미지를 얻음으로써 전자의 운동에너지 및 각도 분포에 대한 정보를 얻을 수 있고 또한 전극에 인가되는 전압은 TOF와 달리 매우 높다. 이번 개발에서는 기존의 전극 렌즈의 geometry와 비교해서 VMI Spectrometer 전극 렌즈의 수를 늘려 multi-electrode concept을 도입함으로써 높은 운동에너지(~1000 eV)를 가진 전자들을 MCP detector상에서 imaging 하는데 있어 높은 공간 분해능(resolution)을 갖도록 설계하고, 또한 높은 사양의 MCP detector 및 CCD 카메라를 이용하여 시간 분해능을 높임으로써 아토초 펄스를 이용한 극고속 실험에 이용 가능하도록 제작하였다.
-
Kim, Seong-Jin;Song, Eun-Gyeong;Jo, Gyeong-Sik;Yun, Tae-Gyeong;Mun, Myeong-Un;Lee, Gwang-Ryeol 210
Gas barrier coating from dense thin film deposition has been one of the important applications such as food-packaging and organic display. Especially for food-packaging, plastic container has been widely used due to its low price and high through-put in mass production. However, the plastic container with low surface energy like polypropylene (PP) has been limited to apply gas barrier coating. That is because a gas barrier coating could not adhere to PP due to its too low surface energy and high porosity of PP. In this research, we applied carbon coating consisting of Si and O as an interlayer between silicon oxide (SiOx) and PP. A carbon layer was found to provide better adhesion, which was experimentally proved by oxygen transmission rate (OTR) and SEM images. However, we also found that there is a limitation in the maximum thickness of a carbon layer and SiOx film due to their high stress level. For this conflict, we obtain the optimal thickness of a carbon layer and SiOx film showing optimal gas barrier property. -
Using spin-polarized density-functional theory calculations, we find that the existence of either Peierls instability or antiferromagnetic spin ordering is sensitive to hydrogen passivation near the step. As hydrogens are covered on the terrace, the dangling bond electrons are localized at the step, leading to step-induced states. We investigate the competition between charge and spin orderings in dangling-bond (DB) wires of increasing lengths fabricated on an H-terminated vicinal Si(001) surface. We find antiferromagnetic (AF) ordering to be energetically much more favorable than charge ordering. The energy preference of AF ordering shrinks in an oscillatory way as the wire length increases. This oscillatory behavior can be interpreted in terms of quantum size effects as the DB electrons fill discrete quantum levels.
-
The Coverage dependent attachment of multifunctional groups included in threonine molecules adsorbed to Ge (100)
$-2{\times}1$ surface was investigated using core-level photoemission spectroscopy (CLPES) and density functional theory (DFT) calculations. The core-level spectra at a low coverage indicated that the both carboxyl and amine groups participated in the bonding with the Ge (100) surface by "O-H dissociated and N-dative bonded structure". However, at high coverage level, additional adsorption geometry of "O-H dissociation bonded structure" appeared possibly to minimize the steric hindrance between adsorbed molecules. Moreover, the C 1s, N 1s, and O 1s core level spectra confirmed that the carboxyl oxygen is more competitive against the hydroxymethyl oxygen in the adsorption reaction. The adsorption energies calculated using DFT methods suggested that four of six adsorption structures were plausible. These structures were the "O-H dissociated-N dative bonded structure", the "O-H dissociation bonded structure", the "Om-H dissociated-N dative bonded structure", and the "Om-H dissociation bonded structure" (where Om indicates the hydroxymethyl oxygen). These structures are equally likely, according to the adsorption energies alone. Conclusively, we investigate in threonine on Ge (100) surface system that the "O-H dissociated-N dative bonded structure" and the "O-H dissociation bonded structure" are preferred at low coverage and high coverage. -
We first confirm the tautomerization of 2-thiazoline-2-thiol on Ge (100) surface using CLPES and DFT calculation. We clearly confirmed that there exist two different molecular structures (we well show in our poster) in C 1s, N 1s, and S 2p CLPES spectra. Moreover, we obtained two plausible adsorption structures using DFT calculation which are that one is s-dative bonded structure and the other is SH dissociated-N-dative bonded structure although their stabilities are different on Ge (100) surface. We will investigate this interesting result for the confirmation of tautomerization of 2-thiazoline-2-thiol molecule adsorbed on Ge (100) surface.
-
It was reported that oxidative etching of graphene occurs at about
$450-550^{\circ}C$ under oxygen atmosphere. We found catalytic breakdown of graphene by Au on the SiOx surface. This catalytic process was investigated using x-ray photoelectron spectroscopy and absorption spectroscopy at 4D PES beamline in PAL. Spectrosopic results suggest that the destruction of graphene is initiated by catalytic interaction between the oxidized gold and carbons in vacancy defects of graphene. -
Sillicon Wafer는 순도 99.9999999%의 단결정 규소를 사용하여 만들어진다. 웨이퍼의 표면은 결함이나 오염이 없어야 하고 회로의 정밀도에 영향을 미치기 때문에 고도의 평탄도와 정밀도를 요구한다. 특히 실리콘의 순도는 효율성에 영향을 주는 주요 원인으로 금속의 오염은 실리콘 웨이퍼의 수명을 단축시켜 효율성을 떨어뜨린다. 표면에 흡착된 구리와 니켈은 Silicon 오염의 주요인 중 하나로 알려져 있다. 이 연구는 Silicon Wafer 표면에 흡착된 구리가 내부로 확산되는 메커니즘을 규명하는 것을 목표로 한다. 표면에 구리가 흡착된 상태는 AES 및 LEED로 관찰하였다. 표면에 흡착된 구리의 표면(수평)및 내부(수직)확산은 SIMS를 이용하여 연구하였다.
-
The interaction of hydrogen with ZnO single crystal surfaces, ZnO (0001), ZnO (000-1), and ZnO (10-10) has been investigated using temperature programmed desorption (TPD) and X-ray photoelectron Spectroscopy (XPS) techniques. When the ZnO single crystal surfaces are exposed to atomic hydrogen at 200 K, all three surfaces show hydrogen desorption at 450 K. ZnO (0001) surface shows hydrogen desorption feature at ~260 K as the hydrogen exposure is increased. The ZnO (10-10) surface shows low-temperature desorption feature first and the high-temperature desorption feature appears as the hydrogen exposure increases. The ZnO (000-1) surface does not show any lower temperature hydrogen desorption. We will report the adsorption configuration of hydrogen atoms on ZnO single crystal surfaces with different surfaces structures.
-
Kim, Seong-Cheol;Yun, Sang-Hui;Seong, Gi-Hun;Gang, Du-Hong;Min, Gwan-Sik;Cha, Deok-Jun;Kim, Jin-Tae;Yun, Ju-Yeong 217
플라즈마 전해산화(Plasma Electrolytic Oxidation)는 수용액 중에서 Al, Mg, Ti 등의 금속표면에 산화막을 형성시키는 기술로서, 기존의 양극산화법과 유사한 장치에서 고전압을 가해 미세플라즈마 방전을 유도하여 치밀한 산화막을 형성하는 표면처리 기술이다. 본 연구에서는 6061 알루미늄 합금의 대면적 시편을 이용하여 PEO공정으로 산화막을 형성시켰다. 산화막의 조성 및 미세구조는 XRD와 SEM, EDS를 이용하여 분석하였다. 형성된 산화막은 회색에서 밝은 회색으로 시편 전면에 고르게 나타났다. 피막 성장인자를 정교하게 조절함으로써 강한 피막 접착력과 낮은 표면조도를 가지는 매끈한 표면을 얻을 수 있었고, 그에 따른 물성 변화를 분석하였다. 또한 시편의 크기에 관계없이 동일한 조건에서 동일한 물성이 나오는 것으로 분석되었다. 이를 통해 균질한 대면적 피막의 높은 신뢰성을 요구하는 다양한 산업분야에 적합한 표면처리 방법으로서 PEO공정이 활용될 수 있음을 확인하였다. -
Recently, hexagonal manganites have attracted much attention because of the coexistence of ferroelectricity and antiferromagnetic (AFM) order. The crystal structure of hexagonal manganites consists of
$MnO_5$ polyhedra in which$Mn^{3+}$ ion is surrounded by three oxygen atoms in plane and two apical oxygen ions. The Mn ions within Mn-O plane form a triangular lattice and couple the spins through the AFM superexchange interaction. Due to incomplete AFM coupling between neighboring Mn ions in the triangular lattice, the system forms a geometrically-frustrated magnetic state. Among hexagonal manganites,$YMnO_3$ , in particular, is the best known experimentally since the f states are empty. In addition, for applications,$YMnO_3$ thin films have been known as promising candidates for non-volatile ferroelectric random access memories. However,$YMnO_3$ has low magnetic order temperature (~70 K) and A-type AFM structure, which hinders its applications. We have synthesized$YMn1_{-x}Cr_xO_3$ (x = 0, 0.05 and 0.1) samples by the conventional solid-state reaction. The powders of stoichiometric proportions were mixed, and calcined at$900^{\circ}C$ for$YMn1_{-x}Cr_xO_3$ for 24 h. The obtained powders were ground, and pressed into 5-mm-thick disks of 1/2-inch diameter. The disks were directly put into the oven, and heated up to$1,300^{\circ}C$ and sintered in air for 24 h. The phase of samples was checked at room temperature by powder x-ray diffraction using a Rigaku Miniflex diffractometer with Cu$K{\alpha}$ radiation. All the magnetization measurements were carried out with a superconducting quantum-interference-device magnetometer. Our experiments point out that the Cr-doped samples show the characteristics of a spin-glass state at low temperatures. -
The formation and thermal desorption behaviors of octanethiol (OT) SAMs on single crystalline Au (111) and polycrystalline Au, Ag, and Cu substrates were examined by X-ray photoelectron microscopy (XPS), thermal desorption spectroscopy (TDS), and contact angle (CA) measurements. XPS and CA measurements revealed that the adsorption of octanethiol (OT) molecules on these metals led to the formation of chemisorbed self-assembled monolayers (SAMs). Three main desorption fragments for dioctyl disulfide (C8SSC8+, dimer), octanethiolate (C8S+), and octanethiol (C8SH+) were monitored using TDS to understand the effects of surface morphology and the nature of metal substrates on the thermal desorption behavior of alkanethiols. TDS measurements showed that a sharp dimer peak with a very strong intensity on single crystalline Au (111) surface was dominantly observed at 370 K, whereas a broad peak on the polycrystalline Au surface was observed at 405 K. On the other hand, desorption behaviors of octanethiolates and octanethiols were quite similar. We concluded that substrate morphology strongly affects the dimerization process of alkanethiolates on Au surfaces. We also found that desorption intensity of the dimer is in the order of Au>>Ag>Cu, suggesting that the dimerization process occurs efficiently when the sulfur-metal bond has a more covalent character (Au) rather than an ionic character (Ag and Cu).
-
The supercapacitors with extraordinarily high capability for energy storage are attracting growing attention for their potential applications in portable electronic equipments, hybrid vehicles, cellular devices, and so on. The nanostructuring of the electrode surface can provide large surface area and consequently easy diffusion of ions in the capacitors. In addition, compared to two-dimensional nanostructures, the three-dimensional (3D) nano-architecture is expected to lead to significant enhancement of mechanical and electrical properties such as capacitance per unit area of the electrode. Polyaniline (PANi) is known as promising electrode material for supercapacitors due to its desirable properties such as high electro activity, high doping level and environmental stability. In this context, we fabricated well-ordered 3D PANi nanostructures on 3D polystyrene (PS) nanospheres which was arrayed by layer-by-layer stacking method. The height of the PANi nanostructures could be controlled by the number of PS layers stacked. 3D PANi hollow nanospheres were also fabricated by dissolving inner PS nanospheres, which resulted in further enhancement of the surface area and capacitance of the electrode.
-
Small-molecule organic photovoltaic cells have recently attracted growing attention due to their potential for the low-cost fabrication of flexible and lightweight solar modules. The PVP/Ag nanoparticles were synthesized by the reaction of poly vinylpyrrolidone (PVP) and silver nitrate at
$150^{\circ}C$ . In the reaction, the size of the nanoparticles was controlled by relative mole fractions between PVP and Ag. The PVP/Ag nanoparticles with various sizes were then spin coated on the patterned ITO glass prior to the deposition of the PEDOT:PSS hole transport layer. The scattering of the incident light caused by these incorporated nanoparticles resulted in an increase in the path length of the light through the active layer and hence the enhancement of the light absorption. This scattering effect increased as the size of the nanoparticles increased, but it was offset by the decrease in total transmittance caused by the non-transparent nanoparticles. As a result, the maximum power conversion efficiency, 0.96% which was the value enhanced by 14% compared to the cell without incorporation of nanoparticles, was obtained when the mole fraction of PVP:Ag was 24:1 and the size of the nanoparticles was 20~40 nm. -
Metal oxide gas sensors based on semiconductor type have attracted a great deal of attention due to their low cost, flexible production and simple usability. However, most works have been focused on n-type oxides, while the characteristics of p-type oxide gas sensors have been barely studied. An investigation on p-type oxides is very important in that the use of them makes possible the novel sensors such as p-n diode and tandem devices. Monoclinic cupric oxide (CuO) is p-type semiconductor with narrow band gap (~1.2 eV). This is composed of abundant, nontoxic elements on earth, and thus low-cost, environment-friendly devices can be realized. However, gas sensing properties of neat CuO were rarely explored and the mechanism still remains unclear. In this work, the neat CuO layers with highly ordered mesoporous structures were prepared by a template-free, one-pot solution-based method using novel ink solutions, formulated with copper formate tetrahydrate, hexylamine and ethyl cellulose. The shear viscosity of the formulated solutions was 5.79 Pa s at a shear rate of 1 s-1. The solutions were coated on SiO2/Si substrates by spin-coating (ink) and calcined for 1 h at the temperature of
$200{\sim}600^{\circ}C$ in air. The surface and cross-sectional morphologies of the formed CuO layers were observed by a focused ion beam scanning electron microscopy (FIB-SEM) and porosity was determined by image analysis using simple computer-programming. XRD analysis showed phase evolutions of the layers, depending on the calcination temperature, and thermal decompositions of the neat precursor and the formulated ink were investigated by TGA and DSC. As a result, the formation of the porous structures was attributed to the vaporization of ethyl cellulose contained in the solutions. Mesoporous CuO, formed with the ink solution, consisted of grains and pores with nano-meter size. All of them were strongly dependent on calcination temperature. Sensing properties toward H2 and C2H5OH gases were examined as a function of operating temperature. High and fast responses toward H2 and C2H5OH gases were discussed in terms of crystallinity, nonstoichiometry and morphological factors such as porosity, grain size and surface-to-volume ratio. To our knowledge, the responses toward H2 and C2H5OH gases of these CuO gas sensors are comparable to previously reported values. -
Nagashima, So;Hasebe, Terumitsu;Hotta, Atsushi;Suzuki, Tetsuya;Lee, Kwang-Ryeol;Moon, Myoung-Woon 223
Surface engineering plays a significant role in fabricating highly functionalized materials applicable to industrial and biomedical fields. Surface wrinkles and folds formed by ion beam or plasma treatment are buckling-induced patterns and controlled formation of those patterns has recently gained considerable attention as a way of creating well-defined surface topographies for a wide range of applications. Surface wrinkles and folds can be observed when a stiff thin layer attached to a compliant substrate undergoes compression and plasma treatment is one of the techniques that can form stiff thin layers on compliant polymeric substrates, such as poly (dimethylsiloxane) (PDMS). Here, we report two effective methods using plasma modification techniques for controlling the formation of surface wrinkles and folds on flat or patterned PDMS substrates. First, we show a method of creating wrinkled diamond-like carbon (DLC) film on grooved PDMS substrates. Grooved PDMS substrates fabricated by a molding method using a grooved master prepared by photolithography and a dry etching process were treated with argon plasma and subsequently coated with DLC film, which resulted in the formation of wrinkled DLC film aligning perpendicular to the steps of the pre-patterned ridges. The wavelength and the amplitude of the wrinkled DLC film exhibited variation in the submicron- to micron-scale range according to the duration of argon plasma pre-treatment. Second, we present a method for controlled formation of folds on flat PDMS substrates treated with oxygen plasma under large compressive strains. Flat PDMS substrates were strained uniaxially and then treated with oxygen plasma, resulting in the formation of surface wrinkles at smaller strain levels, which evolved into surface folds at larger strain levels. Our results demonstrate that we can control the formation and evolution of surface folds simply by controlling the pre-strain applied to the substrates and/or the duration of oxygen plasma treatment. -
Ko, Tae-Jun;Her, Eun-Kyu;Shin, Bong-Su;Kim, Ho-Young;Lee, Kwang-Ryeol;Hong, Bo-Ki;Kim, Sae-Hoon;Oh, Kyu-Hwan;Moon, Myoung-Woon 224
For decades, carbon fiber has expanded their application fields from reinforced composites to energy storage and transfer technologies such as electrodes for super-capacitors and lithium ion batteries and gas diffusion layers for proton exchange membrane fuel cell. Especially in fuel cell, water repellency of gas diffusion layer has become very important property for preventing flooding which is induced by condensed water could damage the fuel cell performance. In this work, we fabricated superhydrophobic network of carbon fiber with high aspect ratio hair-like nanostructure by preferential oxygen plasma etching. Superhydrophobic carbon fiber surfaces were achieved by hydrophobic material coating with a siloxane-based hydrocarbon film, which increased the water contact angle from$147^{\circ}$ to$163^{\circ}$ and decreased the contact angle hysteresis from$71^{\circ}$ to below$5^{\circ}$ , sufficient to cause droplet roll-off from the surface in millimeter scale water droplet deposition test. Also, we have explored that the condensation behavior (nucleation and growth) of water droplet on the superhydrophobic carbon fiber were significantly retarded due to the high-aspect-ratio nanostructures under super-saturated vapor conditions. It is implied that superhydrophobic carbon fiber can provide a passage for vapor or gas flow in wet environments such as a gas diffusion layer requiring the effective water removal in the operation of proton exchange membrane fuel cell. Moreover, such nanostructuring of carbon-based materials can be extended to carbon fiber, carbon black or carbon films for applications as a cathode in lithium batteries or carbon fiber composites. -
DLC (Diamind-Like Carbon) 코팅은 1970년대 이온주입기술을 통하여 개발된 것이 처음으로 알려져 있으며, 다이아몬드 구조인 SP3 구조와 그라파이트 구조인 SP2 구조가 혼재되어 있으면서 제조 방법에 따라 수소와 Si 및 다양한 금속을 내재시킬 수 있는 코팅 물질이다. DLC는 높은 경도, 내마모성, 윤활성, 표면조도 등 뛰어난 기계적 특성과 전기절연성, 화학적 안정성 그리고 높은 광학적 투과성을 가져 산업적 활용 잠재력이 높은 재료로 평가되고 있으며, 이외에도 낮은 공정 온도에서 증착할 수 있고, 고경도와 낮은 마찰계수를 가지고 있는 장점이 있다. 그러나, DLC가 열적으로 불안정하기 때문에 사용되는 환경이
$500^{\circ}C$ 이상이 되면 DLC는 자체의 성질을 잃고 거의 흑연에 가까운 물질이 되어버리는 문제가 있고, 또한 높은 압축응력과 기재와의 낮은 밀착력이 단점으로 나타나고 있다. 이에 본 연구는 그런 단점을 보완하고자 PECVD (Plasmas Enhanced Chemical Vapor Deposition) 방법으로 DLC박막에 여러 가지 첨가원소(F,Si,0)를 사용하여 증착시킨 후 400, 500,$600^{\circ}C$ 에서 1시간동안 열처리를 진행하였으며, 그에 따른 내열 특성을 평가하였다. 또한 염수분무 테스트를 통한 박막의 내식 특성을 평가하였다. DLC박막의 구조는 Raman Spectra을 통해, Sp3 (like diamond) peak와 Sp2 (like graphite) peak 의 혼재 여부를 분석하였고, FE-SEM을 이용하여 막의 표면 및 단면을 관찰하였다. 스크래치 테스트를 통해 DLC박막의 밀착력을 측정하였으며, 볼 온 디스크 타입의 Tribo-meter을 이용하여 마찰계수 변화를 관찰하였다. 또한 나노인덴터를 이용하여 미소경도를 측정하였다. 그 결과 일반 DLC 막에 비해 첨가원소가 함유된 DLC 박막에서 내식성 및 내열특성이 향상되었다. -
The electronic and electrical properties of nickel oxide (NiO) thin films were investigated by reflection electron energy loss spectroscopy (REELS), x-ray photoelectron spectroscopy (XPS), and Hall Effect measurements. REELS spectra revealed that the band gap of the NiO thin film was increased from 3.50 eV to 4.02 eV after annealing the sample at
$800^{\circ}C$ . Our XPS spectra showed that the amount of Ni2O3 decreased after annealing. The Hall Effect results showed that the doping type of the sample changed from n type to p type after annealing. The resistivity decreased drastically from$4.6{\times}10^3$ to$3.5{\times}10^{-2}$ ${\Omega}{\cdot}cm$ . The mobility of NiO thin films was changed form$3.29{\times}10^3$ to$3.09{\times}10^5cm^2/V{\cdot}s$ . Our results showed that the annealing temperature plays a crucial role in increasing the carrier concentration and the mobility which leads to lowering resistivity of NiO thin films. -
Lee, Seon-Yeong;Denny, Yus Rama;Park, Su-Jeong;Gang, Hui-Jae;Heo, Seong;Jeong, Jae-Gwan;Lee, Jae-Cheol 227
본 연구에서는 RF스퍼터링법에 의하여 glass substrate에 In-Zn-Sn-O (IZTO)를 Zn 성분에 변화를 주면서$350{\AA}$ 만큼 증착시키고, 1시간 동안$350^{\circ}C$ 로 열처리 하였다. In:Zn:Sn의 성분 비율은 20:48:32 (IZTO1), 13:60:27 (IZTO2)이다. 박막의 전자적, 광학적 특성은 XPS (X-ray Photoelectron Spectroscopy), REELS(Reflection Electron Energy Loss Spectroscopy), UV-Spectrometer를 이용하여 연구하였고, 박막의 전기적 특성은 van der Pauw 법을 이용하여 측정하였다. XPS측정결과, IZTO박막은 In-O, Sn-O and Zn-O의 결합을 가진다. REELS를 이용해 Ep=1,500 eV에서의 밴드갭을 얻어보면,$350^{\circ}C$ 로 열처리 한 박막은 열처리를 하지 않은 것에 비해 밴드갭이 IZTO1는 3.36 eV에서 3.54 eV로, IZTO2는 3.15 eV에서 3.31 eV로 증가하였다. 반면에 Zn 함량이 증가할수록 밴드갭이 감소하는 것을 확인할 수 있었다. 이 값은 UV-Spectrometer를 이용한 광학적 밴드갭과 일치하였다. 또한 van der Pauw method를 이용한 전기적 특성 분석 결과, 열처리를 하기 전에 비하여 carrier concentration이 IZTO1는$-4.4822{\times}10^{18}cm^{-3}$ 에서$-2.714{\times}10^{19}cm^{-3}$ 로, IZTO2는$-3.6931{\times}10^{17}cm^{-3}$ 에서$-1.7679{\times}10^{19}cm^{-3}$ 로 증가하였다. 반면에 Resistivity는 IZTO1의 경우$1.7122{\times}10^{-1}{\Omega}{\cdot}cm$ 에서$5.5496{\times}10^{-3}{\Omega}{\cdot}cm$ 로, IZTO2는$1.3290 {\Omega}{\cdot}cm$ 에서$1.3395{\times}10^{-2}{\Omega}{\cdot}cm$ 로 감소하였다. 그리고 UV-Spectrometer를 이용한 광학적 특성을 측정해본 결과, 가시광선영역인 380~780 nm에서의 투과율이 83%이상으로 투명전자소자로의 응용이 가능하다는 것을 보여주었다. -
인공관절은 노인성 질환이나 자가 면역질환, 신체적인 외상 등으로 인하여 발생하는 관절의 손상 부위를 대체하기 위하여 고안된 관절의 인공 대용물이다. 인공 관절 중 인공 고관절의 경우 관절 운동을 하는 라이너(Liner)와 헤드(Head) 부분이 마모에 관여하여 인공관절의 수명에 영향을 미치게 되는데, 헤드 소재로서는 Co-Cr-Mo 합금이, 라이너 소재로서는 고분자 소재인 UHMWPE (Ultra High Molecular Weight Polyethylene)가 주로 사용되고 있다. 이러한 MOP (Metal-On-Polymer) 구조의 인공관절의 경우, 충격흡수의 장점이 있는 반면, 관절 운동시 발생하는 UHMWPE의 wear debris에 의한 골용해로 인하여 관절이 느슨해지는 문제점이 발생하여 재시술을 필요로 하게 된다. 또한 메탈 헤드의 마모로 인한 금속이온의 용출은 세포 독성의 문제를 야기하여 인공관절의 수명을 낮추는 또 하나의 요인이 되고 있다. 이러한 문제점들을 해결 하기 위하여, 본 연구에서는 PIII&D (Plasma Immersion Ion Implantation & Deposition)공정을 이용하여 Co-Cr-Mo 합금 소재 위에 niobium nitride (NbN) 박막을 증착하여 상대재인 UHMWPE의 마모를 줄이고자 하는 연구를 진행하였다. 마모량의 감소를 위하여, 박막을 증착하기 전에 Co-Cr-Mo 합금 위에 질소를 이온주입 하는 pre-ion implantation 공정을 도입하였으며, Co-Cr-Mo 합금과 NbN박막 사이의 접착력을 증가시키기 위하여 박막의 증착 초기에 이온주입과 증착을 동시에 수행하는 dynamic ion mixing공정을 수행하였다. 실험 결과 pre-ion implantation 공정을 도입한 경우 현재 상용화 되어있는 Co-Cr-Mo 합금에 비하여 마모량을 2배 이상 감소시키는 것을 확인 할 수 있었으며, dynamic ion mixing 공정을 도입한 경우 장시간의 마모 시험에서도 UHMWPE의 마모량을 2배 가까이 줄일 수 있었다.
-
Adhesion of Copper film on the aluminum oxide layer formed by anodizing an aluminum plate was enhanced by applying ion beam mixing method. Forming an conductive metal layer on the insulating oxide surface without using adhesive epoxy bonds provide metal-PCB(Printed Circuit Board) better thermal conductivities, which are crucial for high power electric device working condition. IBM (Ion beam mixing) process consists of 3 steps; a preliminary deposition of an film, ion beam bombardment, and additional deposition of film with a proper thickness for the application. For the deposition of the films, e-beam evaporation method was used and 70 KeV N-ions were applied for the ion beam bombardment in this work. Adhesions of the interfaces measured by the adhesive tape test and the pull-off test showed an enhancement with the aid of IBM and the adhesion of the ion-beam-mixed films were commercially acceptable. The mixing feature of the atoms near the interface was studied by scanning electron microscopy, Auger electron spectroscopy, and X-ray photoelectron spectroscopy.
-
Graphene have showed promising performance as electrodes of organic devices such as organic transistors, light-emitting diodes, and photovoltaic solar cells. In particular, among various organic materials of graphene-based organic devices, pentacene has been regarded as one of the promising organic material because of its high mobility, chemical stability. In the bottom-contact device configuration generally used as graphene based pentacene devices, the morphology of the organic semiconductors at the interface between a channel and electrode is crucial to efficient charge transport from the electrode to the channel. For the high quality morphology, understanding of initial stages of pentacene growth is essential. In this study, we investigate self-assembly of pentacene molecules on graphene formed on a 6H-SiC (0001) substrate by scanning tunneling microscopy. At sub-monolayer coverage, adsorption of pentacene molecules on epitaxial graphene is affected by
$6{\times}6$ pattern originates from the underlying buffer layer. And the orientation of pentacene in the ordered structure is aligned with the zigzag direction of the edge structure of single layer graphene. As coverage increased, intermolecular interactions become stronger than molecule-substrate interaction. As a result, herringbone structures the consequence of higher intermolecular interaction are observed. -
Correct determination of the interface locations is critical for the calibration of the depth scale and measurement of layer thickness in SIMS depth profiling analysis of multilayer films. However, the interface locations are difficult to determine due to the unwanted distortion from the real ones by the several effects due to sputtering with energetic ions. In this study, the layer thicknesses of Si/Ge and Si/Ti multilayer films were measured by SIMS depth profiling analysis using the oxygen and cesium primary ion beam. The interface locations in the multilayer films could be determined by two methods. The interfaces can be determined by the 50 at% definition where the atomic fractions of the constituent layer elements drop or rise to 50 at% at the interfaces. In this method, the raw depth profiles were converted to compositional depth profiles through the two-step conversion process using the alloy reference relative sensitivity factors (AR-RSF) determined by the alloy reference films with well-known compositions determined by Rutherford backscattering spectroscopy (RBS). The interface locations of the Si/Ge and Si/Ti multilayer films were also determined from the intensities of the interfacial composited ions (SiGe+, SiTi+). The determination of the interface locations from the composited ions was found to be difficult to apply due to the small intensity and the unclear variation at the interfaces.
-
The doping of semiconducting elements is essential for the development of silicon quantum dot (QD) solar cells. Especially the doping elements should be activated by substitution at the crystalline sites in the crystalline silicon QDs. However, no analysis technique has been developed for the analysis of the activated dopants in silicon QDs in
$SiO_2$ matrix. Secondary ion mass spectrometry (SIMS) is a powerful technique for the in-depth analysis of solid materials and the impurities analysis of boron and phosphorus in semiconductor materials. For the study of diffusion behaviour of B and P by SIMS, Si/$SiO_2$ multilayer films doped by B or P were fabricated and annealed at high temperatures for the activated doping of B and P. The distributions of doping elements were analyzed by SIMS. Boron found to be preferentially distributed in Si layer rather than the$SiO_2$ layer. Especially the B in the Si layers was separated to two components of an interfacial component and a central one. The central component was understood as the activated elements. On the other hand, phosphorus did not show any preferred diffusion. -
위상절연체(Bi2Te3)와의 격자상수 불일치 비율이 서로 다른 Si (111)와 Ge (111) 기판을 선택하여 Bi3Te3 박막의 성장 조건을 찾고 이에 따른 특성 분석을 수행하였다. 시료 제작은 초고진공 분위기에서 MBE를 이용하였고, AFM, XRD와 XPS로 각각 구조적 변화, 결정 상태 및 화학적 상태를 분석하였다. 우선 Si 위에 형성된 Bi2Te3의 경우, 초기 박막이 형성된 후, 증착 시간이 증가함에 따라 섬(island)모양의 구조물들이 step edge 부분에 분포되는 모습을 AFM 이미지에서 확인하였다. 형성된 박막의 스텝 단차는 약 1 nm 또는 이 값의 정수 배였고, 이것은 Bi2Te3 unit cell의 quintuple layer (QL) 값과 일치하였다. 또한 측정된 XRD pattern으로 Bi2Te3가 hexagonal 구조의 c-축에 따라 결정성이 이루어졌음을 확인할 수 있었다. XPS 스펙트럼에서는 Bi 4f가 높은 에너지 방향으로 2.3 eV, Te 3d는 낮은 에너지 방향으로 약 0.7 eV 만큼 구속 에너지의 화학적 이동이 나타남을 알 수 있었다. 이러한 결과는 Si 위에 Bi2Te3 박막이 높은 결정성을 가지고 형성되었다는 것을 의미한다. 또한 Si (111) 기판보다 Bi2Te3 결정과 격자상수 불일치의 비율이 상대적으로 작은 Ge (111)을 기판으로 하여 Bi2Te3 박막을 성장시켜 두 표면에서의 박막 성장의 특성을 비교, 논의할 것이다.
-
고효율 저전력 고휘도를 장점으로 가지고 있는 OLED의 개선을 위하여 수많은 재료와 기술이 연구되어 왔다. 전기적 손실의 방지를 위하여 다양한 재료가 연구되고 있지만 그 중에서도 가장 각광받는 것은 그래핀이다. 그래핀(graphene)은 탄소원자가 육각형 벌집 모양 배열의 격자구조를 가지는 원자 단층 두께의 물질이다. 그래핀은 에너지와 역격자의 k 벡터가 선형적으로 비례하며 전도띠(conduction band)와 가전자띠(valence band)가 한 점에서 만나는 구조를 가지는 특징으로 인해 매우 빠른 전하 이동도(Mobility)를 가지고 있다. 이와 같은 그래핀의 특성을 이용하여 전극 층으로 이용함으로써 소자 특성의 개선이 가능할 것으로 예상되었다.
$1{\times}1$ inch Glass에 ITO 대신에 그래핀을 증착한 후 Spin coater를 사용하여 PEDOT을 각각 1,000 rpm, 2,000 rpm으로 도포 하였다. 그 후 HTL (Hole transport latey), ETL (Electron-transport layer), EML (Emissive layer), EIL (Electron injection layer)를 순차적으로 증착 하여 소자를 제작하였다. 발광층에는 유기물질 Alq3를 사용하여 녹색광을 방출하도록 하였다. Spin coater의 rpm에 따라 전도성 고분자의 두께가 결정이 되는데, rpm이 높을수록 두께가 얇으며, 얇을수록 소비전력 효율이 낮다. 하지만 전류밀도 특성이 균일하지 못한 것을 확인하였다. 휘도 효율 특성은 PEDOT의 두께가 얇을수록 동일한 전압에서 휘도가 낮은것을 확인 하였다. 또한 ITO를 이용한 동일 공정의 OLED와 비교하였을 때 상대적으로 낮은 휘도와 전류 효율특성을 보였지만, 전류밀도는 상대적으로 그래핀이 높은 것으로 확인되었다. 본 연구를 바탕으로 그래핀 소자의 개선이 이루어진다면 더욱 높은 효율과 휘도를 낼 수 있을 것으로 판단된다. -
We investigated the energy levels of valence region at the planar junction of poly (3-hexylthiophene) (P3HT) and C61-butyric acid methylester (PCBM) using ultraviolet photoemission spectroscopy (UPS) with ultra high vacuum. These are the most widely used materials for bulk heterojunction (BHJ) organic solar cells due to their high efficiency. In order to make the planar junction, we carried out the electrospray vacuum deposition (EVD) of PCBM onto spin-coated P3HT in high vacuum conditions (
${\sim}10^{-5}-10^{-6}$ ). The planar junction interface exhibited 0.71 eV for the offset between P3HT HOMO and PCBM LUMO, which is different from the gap (0.85 eV) of individual values and is closer to the open circuit voltage of solar cells fabricated with the same material combination. -
In this study, we present the salt repellent behavior of superhydrophobic filtration membrane. Bio-fouling or mineral-fouling is the main factor of decreasing the performance of filtration membrane. The superhydrophobic modification of filtration membrane using PECVD (Plasma enhanced chemical vapor deposition) is introduced. The oxygen plasma was introduced for developing nano hairy structures and subsequent HMDSO (Hexamethyldisiloxane) coating was used for enhancing low surface energy. Saline water evaporation test was carried out to evaluate the difference of contamination of salt on superhydrophobic and moderately hydrophobic filtration membrane. EDS and EPMA were used for visualizing the residue of salt crystal.
-
토파즈는 Al2SiO4 ((F,OH)2) 화학성분을 이루고 있는 광물로써 주로 Fe, Cr, V 등과 같은 불순물을 포함하고 있다. 토파즈는 colorless, blue, pink, yellow 등 다양한 컬러로 산출된다. 결정 내 Si4+ 가 있어야 할 자리에 Al3+ 가 치환되면 Al3+ 를 둘러싸고 있는 4개의 산소 중 하나의 최외각 전자가 전자가를 맞추기 위해 주변에 있던 수소와 결합하여 hole center를 형성한다. 이때 토파즈는 옅은 황색의 컬러를 발색하게 된다. 그 외 청색과 핑크색 등의 컬러는 Al3+ 자리에 치환된 Fe, Cr 등과 같은 불순물에 의해 발색을 일으킨다. 또한 토파즈는 인위적으로 감마선, 전자 빔, 양성자 빔, 중성자 빔을 통해서도 hole center를 형성시켜 컬러를 발색시킬 수 있다고 잘 알려져 있다. 본 연구에서는 총 8개의 무색 토파즈를 이용하여 다양한 조건(energy 및 dose)의 전자 빔 조사를 통해 각 조건 별 컬러변화 및 분광학적 특성변화를 관찰하였다. 모든 시료는 WD-XRF를 통해 정성분석을 하였고, 전자스핀공명(ESR)기기를 통해 전자 빔 조사 전과 후 전자의 스핀 특성 변화를 관찰하였다. 자외선-가시광선 분광분석결과 모든 시료는 전자빔 조사 후 황색과 관련이 있는 450 nm 부근의 파장 영역에서 흡수 peak가 증가하는 것을 확인할 수 있었다. 또한 전자 빔 조사 후 전자스핀공명 분석 결과에서도 Fe3+ 와 관련이 있는 g=3.5~4 영역은 감소하는 반면에 hole center와 관련이 있는 g=2.012 영역이 증가하는 향상을 보였다. 본 연구결과를 통해 우리는 전자 빔 조사 조건에 따라 토파즈의 결정 내부에 미치는 영향 및 컬러 변화와의 상관관계에 대해 확인할 수 있었다.
-
Lee, Gyu-Yeong;Kim, Su-In;Kim, Ju-Yeong;Gwon, Gu-Eun;Gang, Yong-Uk;Son, Ji-Won;Jeon, Jin-Ung;Kim, Min-Cheol;Lee, Chang-U 238
Ag (silver)의 일함수는 T-OLED (Top Emission Organic Light Emitting Diode)의 전극소자로 사용하기에는 다소 낮다는 단점이 있다(~4.3 eV). 이러한 단점을 해결하기 위한 대안으로 Ag 박막의 표면을 플라즈마 처리, UV 처리 및 열처리를 통하여 일함수를 높이는 연구가 진행 되어왔다(>5.0 eV). 하지만 현재의 대부분 연구는 후 처리된 박막의 일함수에 초점을 맞춰 연구가 진행 되어 박막의 nano-mechanical property에 대한 연구는 매우 부족하다. 따라서 본 논문에서는 AgOx 박막의 nano-mechanical property에 초점을 맞춰 분석을 실시하였다. 연구에 사용된 샘플은 Ag 박막을 유리기판 위에 rf-magnetron sputter 장치를 이용하여 100 W의 power로 150 nm 두께로 증착하였다. 증착된 Ag 박막은$O_3$ 발생 UV 램프를 이용하여, 다양한 시간동안 UV 처리하였다(0~9분). 증착된 샘플은 Four-point probe, nanoindenter 장비를 이용하여 nano-mechanical property를 분석하였다. 실험 결과 UV 처리 시간이 0, 1분에서 면저항이 0.16, 0.50${\Omega}$ /sq로 급격한 변화가 나타났으나, 반면 3분 이후 9분의 샘플의 경우, 0.55${\Omega}$ /sq에서 0.24, 0.20, 0.15${\Omega}$ /sq로 감소하여 전기적 특성변화를 볼 수 있었다. 또한 nanoindenting 결과 UV 처리한 박막의 극 표면 경도 값의 변화는 0~5분 처리한 샘플의 경우, 물리적인 경도가 증가하는 형태를 보이며 UV 처리를 5분간 했을때 7.89 GPa로 최고의 경도를 가진다. 그 이후부터는 6.97, 3.46 GPa의 결과로 박막의 경도가 감소되는 결과를 얻었다. 이러한 결과로부터 Ag 박막의 후처리에 따른 Ag 물질의 산화 및 결정상태에 따라 박막 내에 존재하는 residual stress를 분석할 수 있다. -
Linear ion source (LIS)를 사용하여 Si wafer 위에 Si 이온이 첨가된 DLC 박막을 증착하였다. 참가된 Si 이온의 양에 따라 DLC 박막에 미치는 영향을 분석하기 위하여 마찰 계수 및 경도를 비교하였고, Micro raman spectroscopy, Field Emission-Scanning Electron Microscope(FM-SEM) and X-ray Photoelectron Spectroscopy (XPS)를 통하여 표면 상태를 분석하였다. 천체 주입된 가스량의 약 2%까지 Si 이온 주입이 늘어날수록 DLC 박막의 마찰계수는 낮아졌고, 경도는 Si 이온이 주입되지 않았을 경우와 비슷한 값(약 20~23GPa)을 가졌다. 2% 이상의 주입량에서는 마찰계수는 주입량이 늘어날수록 높아졌으며 경도는 떨어지는 경향을 보였다. 이는 Si 이온이 2% 이하로 첨가되었을 경우, DLC 박막의 생성시 탄소 이온들의 결합 Stress를 줄여 마찰계수가 줄어든다고 볼 수 있으며, 그 양이 2% 이상이 되면 오히려 불순물로 작용하여 DLC 박막의 Stress는 급격히 증가하고 마찰계수도 높아짐을 알 수 있다.
-
O, Seon-Geun;Park, Gwang-Su;Lee, Yeong-Jun;Jeon, Jae-Hong;Seo, Jong-Hyeon;Lee, Ga-Ung;Choe, Hui-Hwan 241
$SF_6$ 와$NF_3$ 는 디스플레이 장치의 제조공정 중$SiN_x$ 박막을 건식식각공정에서 사용되고 있다. 이 논문에서는 이 두 가스에 대한 건식식각의 특성을 관찰하기 위해서 CCP-RIE를 이용하여 가스와 산소의 유량비($SF_6$ /$O_2$ >,$NF_3$ /$O_2$ ), 압력, 전력 비(13.56 MHz/2 MHz)를 변화시키는 다양한 공정조건하에서 실험을 진행하였다. 이 실험에서$NF_3$ 를 이용한$SiN_x$ 박막 건식식각률이$SF_6$ 를 이용한 건식식각률보다 모든 공정 조건하에서 높게 나타났다. 불소원자의 OES 강도와 V/I probe 를 이용하여 건식식각률과 비례하는 상관관계 변수를 발견하였고 이를 플라즈마 변수와 관련하여 해석하였다. -
Transparent oxide semiconductors are increasingly becoming one of good candidates for high efficient channel materials of thin film transistors (TFTs) in large-area display industries. Compare to the conventional hydrogenated amorphous silicon channel layers, solution processed ZnO-TFTs can be simply fabricated at low temperature by just using a spin coating method without vacuum deposition, thus providing low manufacturing cost. Furthermore, solution based oxide TFT exhibits excellent transparency and enables to apply flexible devices. For this reason, this process has been attracting much attention as one fabrication method for oxide channel layer in thin-film transistors (TFTs). But, poor electrical characteristic of these solution based oxide materials still remains one of issuable problems due to oxygen vacancy formed by breaking weak chemical bonds during fabrication. These electrical properties are expected due to the generation of a large number of conducting carriers, resulting in huge electron scattering effect. Therefore, we study a novel technique to effectively improve the electron mobility by applying environmental annealing treatments with various gases to the solution based Li-doped ZnO TFTs. This technique was systematically designed to vary a different lithium ratio in order to confirm the electrical tendency of Li-doped ZnO TFTs. The observations of Scanning Electron Microscopy, Atomic Force Microscopy, and X-ray Photoelectron Spectroscopy were performed to investigate structural properties and elemental composition of our samples. In addition, I-V characteristics were carried out by using Keithley 4,200-Semiconductor Characterization System (4,200-SCS) with 4-probe system.
-
화석연료를 대체할 새로운 청정 에너지원의 요구가 높아지고 있는 현 시점에서 고효율, 무공해, 무소음 등의 장점으로 인해 친환경적 에너지원으로 연료전지의 수요가 증가하고 있다. 연료전지 분리판으로 고밀도 흑연을 종래에 가공하여 제작하였는데, 가공이 어렵고, 비용이 크게 들며, 대량생산이 어렵다는 등의 문제로 스테인리스강을 위주로 한 금속 분리판 개발이 이루어지고 있다. 본 연구에서는, 낮은 가격, 고속 증착, 우수한 가공성, 높은 기계적 강도 및 전기전도도, 화학적 안정성 및 내식성을 충족시키기 위하여 스테인리스 강박(0.1 mm이하)에 보호막으로 CrN을 선택하였다. 저가격화를 위하여 새로운 증착원인 스퍼터-승화형 소스의 가능성을 유도 결합 플라즈마에 Cr 봉을 직류 바이어스 함으로써 시도하였다. 10 mTorr의 Ar 유도 결합 플라즈마를 2.4 MHz, 400 W로 유지하면서 직류 바이어스 전력을 120 W (615 V, 0.19 A) 인가하였을 때 10분 동안의 증발양이 0.35 gr으로 측정이 되어 그 가능성을 확인할 수 있었다. 또한 OES(Optical emission spectrometer)를 이용하여 RPS로 방전시킨 고밀도 ICP를 측정한 결과 842.4 nm, 811.4 nm, 772.3 nm 등의 파장에서 높은 intensity를 갖는 peak을 찾을 수 있었고, 이 peak 들은 Ar 중성의 peak임을 확인할 수 있었다. ICP+DC bias로 Cr rod를 가열하는 공정에서의 plasma를 OES로 측정한 결과 Ar 중성의 peak은 감소하고, 520.5 nm, 425.5 nm, 357.7 nm 등의 파장에서 높은 intensity를 갖는 peak을 찾을 수 있었으며, 이 peak들은 Cr 중성의 peak임을 확인할 수 있었다. OES 측정 data를 토대로 공정 중의 rod type Cr target의 교체 주기를 예측할 수 있고 공정 중 실시간 감시가 가능할 것으로 기대된다.
-
유기발광소자는 빠른 응답속도, 높은 색재현성, 높은 명암비의 장점을 가지고 있어 차세대 디스플레이로 각광 받고 있으며, 이미 소형 디스플레이로 상용화되고 있다. 고효율과 색안정성을 가진 유기발광소자를 개발하기 위해 소자의 구조에 관한 연구가 활발히 이루어지고 있다. 하지만 청색 유기발광소자는 적색과 녹색 유기발광소자에 비해 낮은 신뢰성, 발광효율 및 색 순도의 문제점을 가지고 있어, 이를 개선하기 위한 다양한 연구가 필요하다. 청색 유기발광소자의 경우 발광층 내부로 주입되는 정공과 전자의 균형을 조절하기 위해 p-i-n 구조를 사용하거나 이리듐-유기물 합성물과 같은 인광물질의 적용하여 발광효율을 높이는 청색 유기발광소자에 대한 연구가 진행되고 있다. 그러나 정공 보조층과 청색 형광층의 도핑구조의 청색 유기발광소자에 대한 발광효율 증진 효과에 관한 연구는 고효율 유기발광소자의 성능향상을 위하여 필요하다. 본 논문에서는 진공 열 박막 증착 방법을 이용하여 정공 보조층과 청색 형광층으로 구성된 적층 발광구조를 사용한 청색 유기발광소자의 발광효율 증진 효과를 관찰하였다. 10%의 2,9-dimethyl-4,7-diphenyl-1,10-phenanthorlene (BCP)로 도핑된 2-methyl-9,10-bis (naphthalene-2-yl) anthracene (MADN)층을 발광층으로 사용한 유기발광소자, 5% MADN으로 도핑된 1, 3-bis (carbazol-9-yl) benzene (mCP) 층을 발광층으로 사용한 소자 및 10% BCP로 도핑 된 MADN 층과 5% MADN로 도핑된 mCP층을 혼합하여 발광층으로 사용한 유기발광 소자를 제작하였다. 유기 발광 소자의 전기적 광학적 특성을 비교하여 청색 유기발광소자의 발광효율 증가 효과를 규명하였다. 적층 발광층을 가지는 소자는 두 단일 발광층 중심부의 경계면에서 축적된 정공에 의해 발생한 공간 전하에 의한 내부 전위차이의 형성으로 발생된 인력으로 더 많은 전자들을 끌어 들이게 되어 발광효율을 증대시킨다. 이 실험의 결과는 MADN 형광물질을 가진 청색 유기발광소자의 발광효율을 증진 시키는 소자 구조를 제안하고 증진 효과에 대한 이해를 높이는데 도움을 줄 수 있다.
-
차세대 디스플레이로 각광받고 있는 AMOLED에 대한 관심이 높아짐에 따라 구동 소자의 연구가 활발히 이루어지고 있다. 산화물 반도체 박막 트랜지스터는 비정질 실리콘 박막 트랜지스터에 비해 100
$cm^2$ /Vs 이하의 높은 이동도와 우수한 전기적 특성으로 AMOLED 구동 소자로서 학계에서 입증되어왔고, 현재 여러 기업에서 산화물 반도체를 이용한 박막 트랜지스터 제작 연구가 활발히 이루어지고 있다. 본 연구는 열처리 조건을 가변하여 제작한 산화물 반도체 박막 트랜지스터의 전기적 특성 분석을 목적으로 한다. 실리콘 기판에 oxidation 공정을 이용하여 SiO2 100 nm, DC스퍼터링을 이용하여 ITZO (Indium-Tin-Zinc Oxide) 산화물 반도체 박막 50 nm, 증착된 산화물 반도체 박막의 열처리 후, evaporation을 이용하여 source/drain 전극 Ag 150 nm 증착하여 박막 트랜지스터를 제작하였다. 12 sccm의 산소유량, 1시간의 열처리 시간에서 열처리 온도$400^{\circ}C$ ,$200^{\circ}C$ 의 샘플은 각각 이동도$29.52cm^2/V{\cdot}s$ ,$16.15cm^2/V{\cdot}s$ , 문턱전압 2.61 V, 6.14 V,$S{\cdot}S$ 0.37 V/decade, 0.85 V/decade, on-off ratio 5.21 E+07, 1.10 E+07이었다. 30 sccm의 산소유량, 열처리 온도$200^{\circ}C$ 에서 열처리 시간 1시간, 1시간 30분 샘플은 각각 이동도$12.27cm^2/V{\cdot}s$ ,$10.15cm^2/V{\cdot}s$ , 문턱전압 8.07 V, 4.21 V,$S{\cdot}S$ 0.89 V/decade, 0.71 V/decade, on-off ratio 4.31 E+06, 1.05 E+07이었다. 산화물 반도체의 열처리 효과 분석을 통하여 높은 열처리 온도, 적은 산소의 유량, 열처리 시간이 길수록 이동도, 문턱전압,$S{\cdot}S$ 의 산화물 박막 트랜지스터 소자의 전기적 특성이 개선되었다. -
최근의 디스플레이 시장에서는 고효율 저전력, 자발광 소자인 OLED가 차세대 디스플레이 시장의 블루칩으로써 연구되고 개선되어 왔다. 고효율, 고휘도 구현이 가능한 OLED 소자는 초기 발광 시 수명감소, 저전류 구동 효율 개선 및 소자의 유기 재료 개선의 문제점에 직면해 있기 때문에 많은 가능성을 아직 현실화 하지 못하고 있다. 본 연구에서는 전기적 스트레스를 가한 OLED 소자의 전기적, 광학적 성질을 측정함으로써 열화에 따른 소자의 특성 변화를 확인하여 문제점을 개선하는데 기여하고자 한다.
$2{\times}2$ inch Glass에$2{\times}2$ mm 크기의 발광면적을 갖는 Red OLED 소자를 제작한 후 Source Measure Unit을 이용, 8 V의 과전압을 72시간 동안 인가하여 소자의 열화현상을 가속시켰다. 이후 I-V-L 장비를 이용하여 전기적 특성 및 휘도 특성을 측정하였다. 측정된 결과는 휘도가 8 V에서 10,620 cd/$m^2$ > 9,849 cd/$m^2$ (약 7.2% 감소)로 변화한 것을 확인 하였으며, 휘도 효율과 전력 효율을 측정해본 결과 8 V 에서의 소비전력 효율 역시 16%에서 > 15%로(약 1%감소) 변화하였으나 안정적으로 발광이 유지되는 3 V~6 V 구간에서는 효율이 약 13%가 감소하였다. 또한 휘도 효율은 8 V 기준으로 1% , 3 V~6 V 구간에서는 약 8% 감소하였다. 본 연구 결과를 통하여 OLED 소자의 열화 현상은 소자의 휘도 감소뿐만 아니라 소비전력증가, 열화현상의 촉진으로 이어지는 것으로 확인 되었다. -
Plasmon subwavelength nanostructures enable the structurally modulated color due to the resonance conditions for the specific wavelength range of light with the nanoscale hole arrays on a metal layer. While the unique properties offered from a single layer of metal may open up the potential applications of integrated devices to displays and sensors, fabrication requirements in nanoscale, typically on the order of or smaller than the wavelength of light in a corresponding medium can limit the cost-effective implementation of the plasmonic nanostructures. Simpler nanoscale replication technologies based on the soft lithography or roll-to-roll nanoimprinting can introduce economically feasible manufacturing process for these devices. Such replication requires an optimal design of a master template to produce a stamp that can be applied for a roll-to-roll nanoimprinting. In this paper, a master mold with subwavelength nanostructures is fabricated and optimized using focused ion beam for the applications to nanoimprinting process. Au thin film layer is deposited by sputtering on a glass that serves as a dielectric substrate. Focused ion beam milling (FIB, JEOL JIB-4601F) is used to fabricate surface plasmon subwavelength nanostructures made of periodic hole arrays. The light spectrum of the fabricated nanostructures is characterized by using UV-Vis-NIR spectrophotometer (Agilent, Cary 5000) and the surface morphology is measured by using atomic force microscope (AFM, Park System XE-100) and scanning electron microscope (SEM, JEOL JSM-7100F). Relationship between the parameters of the hole arrays and the corresponding spectral characteristics and their potential applications are also discussed.
-
Synthesis graphene on Cu substrate by plasma-enhanced chemical vapor deposition (PE-CVD) is investigated and its quality's affection factors are discussed in this work. Compared with the graphene synthesized at high temperature in chemical vapor deposition (CVD), the low-temperature graphene film by PE-CVD has relatively low quality with many defects. However, the advantage of low-temperature is also obvious that low melting point materials will be available to synthesize graphene as substrate. In this study, the temperature will be kept constant in
$400^{\circ}C$ and the graphene was grown in plasma environment with changing the plasma power, the flow rate of precursors, and the distance between plasma generator coil and substrates. Then, we investigate the effect of temperature and the influence of process variables to graphene film's quality and characterize the film properties with Raman spectroscopy and sheet resistance and optical emission spectroscopy. -
최근 주목받고 있는 Flexible Organic Light Emitting Diode (OLED) display에서는 Flexible 특성이 요구된다. 이는 현재 쓰이는 유리기판 대신 플라스틱기판으로 만들어야 가능하다. 하지만 플라스틱기판은 구성물질로 유기물을 사용하므로 수분과 산소의 투과에 매우 취약하다. 이는 장시간 사용 시 기판 위에 제작된 소자성능저하를 야기하는 등의 소자 신뢰도에 치명적 결함을 갖게 하는 원인이 된다. 따라서 기판 위의 소자를 보호할 수 있는 봉지기술 개발이 필요한데 가장 잘 알려진 플라스틱 기판에 적합한 Barrier기술로 유기물과 무기물을 교대로 적층하는 기술[1] 등이 있다. 본 연구에서는 PE-CVD 공정기술을 이용한 Flowable Oxide 박막과 ALD 공정기술을 이용한 Al2O3 무기물 박막을 적층하여 봉지박막을 구성하려 한다. Flowable Oxide는 저온공정이 가능하며 높은 증착속도와 뛰어난 Gap fill 특성을 가지고 있는데 이는 플라스틱기판의 엉성한 분자구조를 치밀하게 만들 것으로 예상되며 표면의 Pin-hole 또한 쉽게 채우는 특성이 있다. 실험은 Polyethylene Naphthalate (PEN) film 위에 PE-CVD 공정을 이용하여 Flowable Oxide를 증착하고, 그 후에 ALD 공정을 이용하여 Al2O3을 적층한 것을 하나의 샘플로 하였다. 샘플의 분석은 Ca test를 이용한 Water Vapor Transmission rate(WVTR)과 FT-IR, FE-SEM을 이용하여 분석하였다. FT-IR로 박막의 구성요소를 확인 하고 FE-SEM으로 박막의 Cross section image를 얻을 수 있었으며 또한
$4.85{\times}10^{-5}g/m^2$ day의 초기 WVTR 값을 얻을 수 있었다. -
Organic light-emitting diodes (OLED) and polymer light emitting diodes (PLED) have been regarded as the candidate for the next generation light source and flat panel display. Currently, the most common OLED industrial fabrication technology used in producing real products utilizes a fine shadow mask during the thermal evaporation of small molecule materials. However, due to high potential including low cost, easy process and scalability, various researches about solution process are progressed. Since polymer has some disadvantages such as short lifetime and difficulty of purifying, small molecule OLED (SMOLED) can be a good alternative. In this work, we have demonstrated high efficient solution-processed OLED with small molecule. We use CBP (4,4'-N,N'-dicarbazolebiphenyl) as a host doped with green dye (Ir(ppy)3 (fac-tris(2-phenyl pyridine) iridium)). PBD (2-(4-biphenylyl)-5-(4-tert-butylphenyl)-1,3,4-oxadiazole) and TPD (N,N'diphenyl-N,N'-Bis (3-methylphenyl)-[1,1-biphenyl]-4,4'-diamine) are employed as an electron transport material and a hole transport material. And TPBi (2,2',2''-(1,3,5-phenylene) tris (1-phenyl-1H-benzimidazole)) is used as an hole blocking layer for proper hole and electron balance. With adding evaporated TPBi layer, the current efficiency was very improved. Among various parameters, we observed the property of OLED device by changing the thickness of hole transporting layer and solvent which can dissolve organic material. We could make small molecule OLED device with finding proper conditions.
-
Recently, the growing interest in organic microelectronic devices including OLEDs has led to an increasing amount of research into their many potential applications in the area of flexible electronic devices based on plastic substrates. However, these organic devices require a gas barrier coating to prevent the permeation of water and oxygen because organic materials are highly susceptible to water and oxygen. In particular, high efficiency OLEDs require an extremely low Water Vapor Transition Rate (WVTR) of
$1{\times}10^{-6}g/m^2$ /day. The Key factor in high quality inorganic gas barrier formation for achieving the very low WVTR required ($1{\times}10^{-6}g/m^2$ /day) is the suppression of defect sites and gas diffusion pathways between grain boundaries. In this study, we developed an$Al_2O_3$ nano-crystal structure single gas barrier layer using a Neutral Beam Assisted Sputtering (NBAS) process. The NBAS system is based on the conventional RF magnetron sputtering and neutral beam source. The neutral beam source consists of an electron cyclotron Resonance (ECR) plasma source and metal reflector. The Ar+ ions in the ECR plasma are accelerated in the plasma sheath between the plasma and reflector, which are then neutralized by Auger neutralization. The neutral beam energies were possible to estimate indirectly through previous experiments and binary collision model. The accelerating potential is the sum of the plasma potential and reflector bias. In previous experiments, while adjusting the reflector bias, changes in the plasma density and the plasma potential were not observed. The neutral beam energy is controlled by the metal reflector bias. The NBAS process can continuously change crystalline structures from an amorphous phase to nano-crystal phase of various grain sizes within a single inorganic thin film. These NBAS process effects can lead to the formation of a nano-crystal structure barrier layer which effectively limits gas diffusion through the pathways between grain boundaries. Our results verify the nano-crystal structure of the NBAS processed$Al_2O_3$ single gas barrier layer through dielectric constant measurement, break down field measurement, and TEM analysis. Finally, the WVTR of$Al_2O_3$ nano-crystal structure single gas barrier layer was measured to be under$5{\times}10^{-6}g/m^2$ /day therefore we can confirm that NBAS processed$Al_2O_3$ nano-crystal structure single gas barrier layer is suitable for OLED application. -
Low temperature SiOx film process has being required for both silicon and oxide (IGZO) based low temperature thin film transistor (TFT) for application of flexible display. In recent decades, from low density and high pressure such as capacitively coupled plasma (CCP) type plasma enhanced chemical vapor deposition (PECVD) to the high density plasma and low pressure such as inductively coupled plasma (ICP) and electron cyclotron resonance (ECR) have been used to researching to obtain high quality silicon oxide (SiOx) thin film at low temperature. However, these plasma deposition devices have limitation of controllability of process condition because process parameters of plasma deposition such as RF power, working pressure and gas ratio influence each other on plasma conditions which non-leanly influence depositing thin film. In compared to these plasma deposition devices, neutral beam assisted chemical vapor deposition (NBaCVD) has advantage of independence of control parameters. The energy of neutral beam (NB) can be controlled independently of other process conditions. In this manner, we obtained NB dependent high crystallized intrinsic and doped silicon thin film at low temperature in our another papers. We examine the properties of the low temperature processed silicon oxide thin films which are fabricated by the NBaCVD. NBaCVD deposition system consists of the internal inductively coupled plasma (ICP) antenna and the reflector. Internal ICP antenna generates high density plasma and reflector generates NB by auger recombination of ions at the surface of metal reflector. During deposition of silicon oxide thin film by using the NBaCVD process with a tungsten reflector, the energetic Neutral Beam (NB) that controlled by the reflector bias believed to help surface reaction. Electrical and structural properties of the silicon oxide are changed by the reflector bias, effectively. We measured the breakdown field and structure property of the Si oxide thin film by analysis of I-V, C-V and FTIR measurement.
-
Interest in nano-crystalline silicon (nc-Si) thin films has been growing because of their favorable processing conditions for certain electronic devices. In particular, there has been an increase in the use of nc-Si thin films in photovoltaics for large solar cell panels and in thin film transistors for large flat panel displays. One of the most important material properties for these device applications is the macroscopic charge-carrier mobility. Hydrogenated amorphous silicon (a-Si:H) or nc-Si is a basic material in thin film transistors (TFTs). However, a-Si:H based devices have low carrier mobility and bias instability due to their metastable properties. The large number of trap sites and incomplete hydrogen passivation of a-Si:H film produce limited carrier transport. The basic electrical properties, including the carrier mobility and stability, of nc-Si TFTs might be superior to those of a-Si:H thin film. However, typical nc-Si thin films tend to have mobilities similar to a-Si films, although changes in the processing conditions can enhance the mobility. In polycrystalline silicon (poly-Si) thin films, the performance of the devices is strongly influenced by the boundaries between neighboring crystalline grains. These grain boundaries limit the conductance of macroscopic regions comprised of multiple grains. In much of the work on poly-Si thin films, it was shown that the performance of TFTs was largely determined by the number and location of the grain boundaries within the channel. Hence, efforts were made to reduce the total number of grain boundaries by increasing the average grain size. However, even a small number of grain boundaries can significantly reduce the macroscopic charge carrier mobility. The nano-crystalline or polymorphous-Si development for TFT and solar cells have been employed to compensate for disadvantage inherent to a-Si and micro-crystalline silicon (
${\mu}$ -Si). Recently, a novel process for deposition of nano-crystralline silicon (nc-Si) thin films at room temperature was developed using neutral beam assisted chemical vapor deposition (NBaCVD) with a neutral particle beam (NPB) source, which controls the energy of incident neutral particles in the range of 1~300 eV in order to enhance the atomic activation and crystalline of thin films at room temperature. In previous our experiments, we verified favorable properties of nc-Si thin films for certain electronic devices. During the formation of the nc-Si thin films by the NBaCVD with various process conditions, NPB energy directly controlled by the reflector bias and effectively increased crystal fraction (~80%) by uniformly distributed nc grains with 3~10 nm size. The more resent work on nc-Si thin film transistors (TFT) was done. We identified the performance of nc-Si TFT active channeal layers. The dependence of the performance of nc-Si TFT on the primary process parameters is explored. Raman, FT-IR and transmission electron microscope (TEM) were used to study the microstructures and the crystalline volume fraction of nc-Si films. The electric properties were investigated on Cr/SiO2/nc-Si metal-oxide-semiconductor (MOS) capacitors. -
Oxide semiconductors such as zinc tin oxide (ZTO) or indium gallium zinc oxide (IGZO) have attracted a lot of research interest owing to their high potential for application as thin film transistors (TFTs) [1,2]. However, the instability of oxide TFTs remains as an obstacle to overcome for practical applications to electronic devices. Several studies have reported that the electrical characteristics of ZnO-based transistors are very sensitive to oxygen, hydrogen, and water [3,4,5]. To improve the reliability issue for the amorphous InGaZnO (a-IGZO) thin-film transistor, back channel passivation layer is essential for the long term bias stability. In this study, we investigated the instability of amorphous indium-gallium-zinc-oxide (IGZO) thin film transistors (TFTs) by the back channel contaminations. The effect of back channel contaminations (humidity or oxygen) on oxide transistor is of importance because it might affect the transistor performance. To remove this environmental condition, we performed vacuum seasoning before the deposition of hybrid passivation layer and acquired improved stability. It was found that vacuum seasoning can remove the back channel contamination if a-IGZO film. Therefore, to achieve highly stable oxide TFTs we suggest that adsorbed chemical gas molecules have to be eliminated from the back-channel prior to forming the passivation layers.
-
Fault detection was carried out in a etcher of capacitive coupled plasma with OES (Optical Emission Spectroscopy) and impedance by VI probe that are widely used for process control and monitoring at semiconductor industry. The experiment was operated at conventional Ar and Fluorocarbon plasma with variable change such as pressure and addition of N2 and O2 to assume atmospheric leak, RF power and pressure that are highly possible to impact wafer yield during wafer process, in order to observe OES and VI Probe signals. The sensitivity change on OES and Impedance by VI probe was analyzed by statistical method including PCA to determine healthy of process. The main goal of this study is to find feasibility and limitation of OES and Impedances for fault detection by shift of plasma characteristics and to enhance capability of fault detection using PCA.
-
플렉시블 디스플레이를 위해 저온 공정은 필수적이며, 이를 위해 플라스틱 기판을 이용한 연구가 한창 진행 중이다. 이번 연구에서는 도핑처리 하지않고 알루미늄을 이용한 self-aligned 소오스-드레인 구조의 비휘발성 메모리를 ELA 폴리실리콘 기판 상에 제작하였다. 소오스-드레인 부분은 lift-off 공정을 이용하여 pattern 작업을 진행하였다.
$250^{\circ}C$ 에서 1시간의 후속 열처리 공정을 진행한 self-aligned 소오스-드레인 구조의 비휘발성 메모리는 후속 열처리 공정을 진행하지 않았을 때와 비교하여 다음과 같은 메모리의 특성향상을 나타내었다. 메모리 윈도우 특성의 경우 1.15 V에서 3.47 V의 커다란 증가를 보였으며 retention 특성의 경우 12%에서 46%로 증가하였다. 이를 통해 비록 도핑 되지 않은 비휘발성 메모리 소자일지라도 self-aligned 구조와 저온 열처리를 이용할 시 향후 플렉시블 전자소자에의 적용이 가능함을 확인하였다. -
산업화가 발달됨에 따라 대기 오염 물질은 점차 증가하고 있는 추세에 있고 특히 기름 및 석탄 연소 보일러, 자동차, 제철, 시멘트 플렌트, 소각로 등은 미세 분진을 발생시키는 주원인이 되어 왔다. 최근 대기환경법은 오염 분진의 중량 규제로부터
$10{\mu}m$ 미만의 PM10에서$2.5{\mu}m$ 미만의 PM2.5의 미세 분진에 대한 규제로 점차 심화되고 있으나, 이러한 미세분진은 고전적인 제거 방법으로는 매우 어려우며 고가의 HEPA 필터를 사용하여야 한다. 한편 코로나 방전을 이용하는 전기 집진은 미세 먼지 제거에 매우 효율적이어서$1{\mu}m$ 미만의 미세 분지도 99%까지 제거가 가능하다는 장점이 있지만 입자크기가 클 경우에는 효율이 떨어지는 단점이 있다. 한편 사이클론 집진기는 매우 오래전부터 개발되어 사용되어 왔는데 가격이 저렴하고 운영비가 적게 들며$10{\mu}m$ 이상의 먼지는 99% 이상 제거가 가능하여 산업현장에서 오랜 기간 사용되어 왔지만 입자크기가$10{\mu}m$ 미만으로 가면 집진율이 급격히 떨어지는 단점을 가지고 있다. 본 연구에서는 기존의 사이클론 집진기의 구조를 기본으로하여 사이클론 집진기 내부에 플라즈마 방전을 설치하여 원심력에 의한 집진과 코로나 방전에 의한 전기 집진을 동시에 수행할 수 있도록 하이브리드 사이클론 집진기를 제작하였다. 제작된 사이클론 집진기는 직경 30 cm 높이 120 cm의 사이클론 구조를 가지고 있으며 1 hp의 터보송풍기를 장착하여$20m^3$ /min 이상의 유량을 처리할 수 있도록 설계 제작되었다. 제작된 하이브리드 사이클론 집진기의 성능을 평가하기 위하여$10m^3$ 의 체적을 가지는 테스트 챔버 내부에 사이클론 집진기를 설치하고 향을 태워 미세 먼지를 발생시킨 후 다양한 조건에서 집진 성능을 측정하여 보았다. 미세 먼지의 경우 사이클론을 작동시키지 않아도 테스트 챔버 벽면에 흡착되어 초기에는 급격히 감소하는 경향을 보여주나 일정 시간이 경과한 후에는 매우 느리게 감소하는 현상이 관찰 되었다. 코로나 방전을 하지 않고 오존 파괴기에 활성탄만 충진한 상태에서 사이크론을 작동시킬 경우 지속적으로 천천히 감소하는 경향을 보여주었으며, 코로나 플라즈마를 방전시킨 경우 미세 먼지는 HEPA filter를 장착한 것보다도 조금 빠르게 미세먼지를 제거하였다. 챔버 내부의 미세먼지가 초기 값의 1/10에 도달하는 시간은 코로나 방전 전류가 증가할수록 짧아지는 경향을 보여주었으며 최적 조건에서 100초 이내에 90% 이상 제거가 가능하였다. 하이브리드 사이클론 집진기는 집진 뿐 만 아리라 VOC 성분도 분해가 가능하여 유해물질을 제거하는 능력이 있다. 유해 가스 제거 능력을 실험하기 위하여 분진제거 실험에 사용된 챔버 안에 아세톤을 증발시켜 50 ppm이 되도록 한 후 다양한 조건에서 유해물질 제거 실험을 수행하였다. 미세먼지와는 달리 장비를 작동하지 않을 경우 매우 느리게 아세톤 농도가 감소하였다. 이는 미세 먼지와는 달리 흡착이 발생하지 않고, 측정 챔버 자체가 완전한 밀폐가 이루어지지 않아 자연적으로 조금씩 외부로 누출되기 때문으로 판단된다. 코로나 플라즈마만 방전시켰을 경우 초기 농도의 80%가 제거되는데 걸리는 시간은 약 28분 정도로 코로나 플라즈마가 VOC 제거에 효과가 있음은 확인하였으나 제거율이 그리 높지 않음을 알 수 있었다. 한편 오존 파괴를 위해 활성탄으로 충진 된 오존파괴기를 통과시킨 경우는 약 12분 경과 후 80%가 제거됨을 확인할 수 있었으나 그 이후에는 VOC의 감소가 매우 느리게 진행됨을 알 수 있었다. 한편 활성탄 대신$MnO_2$ 복합촉매로 충진 된 오존파괴기를 통과한 경우 약 3분 정도 경과 후 80%의 아세톤이 제거됨을 관찰할 수 있었으며 코로나 플라즈마를 작동시키면서$MnO_2$ 복합촉매로 충진 된 오존파괴기를 통과시킨 경우 약 2분 정도 경과 80% 이상의 아세톤이 제거되어 코로나 플라즈마와 복합촉매를 사용할 경우 VOC 성분이 효과적으로 제거됨을 알 수 있었다. -
This paper presents the understandings carried out for the installation of the hydrogen reservoir of the multi-gap pseudospark switch under developing for the accelerator applications. As a cold cold cathode switch, the pseudospark switch could replace the thyratron switch which has hot cathode and being used well currently in the high power field such as laser and accelerator applications. Especially in the klystron modulator, the key component is a switch which mostly defines the jitter and the instability of the modulator system. To get the less jitter and the instability, we need to find proper range of the pressure for the gas discharge inside gas switch. This could be achieved by the understanding of the characteristic of the nonevaporable getter (NEG) which is used as a hydrogen reservoir for the switch. Therefore we verified the characteristics of the NEG (St 172, Saes) and its installation in the switch. Finally we controlled the getter to find best pressure point for the pseudospark switch.
-
Kim, Jae-Hong;Cho, Sung-Jin;Choi, Jun-Yong;Hong, Seung-Pyo;Yu, In-Gong;Park, Hyun;Lee, Ji-Sup 262
A multi-purpose cyclotron, MC50 has been operated to provide multi-ions of proton, deuteron and alpha at Korea Institute of Radiological and Medical Sciences (KIRAMS). Neutron is also produced through the (p,n) nuclear process with a Be target. However, a wide spectrum of current of ions is requested by beam users for carrying their various application fields. Therefore a simulation study is requested on the design of an axial injection system for high current proton and alpha beam extraction for radio-isotope productions and scientific researches. The purpose of this study is seeking a relatively simple method for the MC50 having higher alpha beam capability and also improving proton and deuteron beams currently used. We are considering two possibilities to improve the internal ion source and to install a new external axial injection system. The external injection system will be consisted of an Einzel lens, a steering magnet, a buncher, and a glazer lens placed in front of an inflector, which is located at the center of the main magnet. -
핵융합 플라즈마에서의 고속이온은 NBI 및 ICRF에 의한 이온 가열과 핵융합 반응에 의하여 발생하며, 핵융합 반응률을 크게 하고 일차적으로 그 에너지를 전자에게 전달하는 특성을 갖는다. 따라서 핵융합을 지향하는 플라즈마에서는 그 성능을 나타내는 지표이기도 하면서, 맥스웰 분포를 갖는 열화 플라즈마의 수송특성을 크게 변화 시킨다. 또한 알펜파 등의 파동 또는 불안정성을 유발시키며 이로 인한 플라즈마 손실은 국지적인 일차벽의 가열을 유발할 수 있는 것으로 여겨진다. 본 연구에서는 일반적인 기하학적 구조를 갖는 토카막에서의 NBI 가열에 의한 고속이온 발생과 위상공간에서의 수송 및 손실을 시간 의존적인 Fokker-Planck 방정식을 수치적으로 풀어서, 위치에 따른 고속이온 분포의 변화를 계산한다. NBI 입사의 기하학적 모델에서는 각 계산 위치에서의 피치각 변화와 stagnation point의 변화에 의한 영향을 고려하며, 일반적인 고속이온의 각종 모멘트 뿐 아니라 즉발 이온 손실률을 계산에 포함한다. 해석된 고속이온 분포는 중성입자 검출기에서 측정한 KSTAR 플라즈마의 고속이온 에너지 분포와 비교한다. 차후에는 본 연구에서 사용한 Fokker-Planck 출동연산자에 고주파 가열에 의한 고속이온발생 항을 추가하여 ICRF 가열에 의한 효과를 예측할 수 있도록 할 것이다.
-
자화된 플라즈마 매질 내부에 진행하는 전자기파중에서
${\omega}_{ci}$ ${\ll}({\omega}_{ci}{\omega}_{ce})_{1/2}{\ll}{\omega}{\ll}{\omega}_{ce}{\ll}{\omega}_{pe}$ 의 주파수 대역을 사용하여 헬리콘 방전을 발생시킨다. 수 kW의 RF 출력을 사용하는 헬리콘 플라즈마원은 자기장, 중성가스 압력 및 RF 주파수 등을 포함한 방전 조건에 따라 급격하게 플라즈마 밀도가 증가하고, 유사한 플라즈마 발생원에 비하여 높은 효율을 가진다. 이러한 헬리콘 플라즈마가 높은 이온화 효율을 갖는 원인을 알아보기 위해 반사계로 플라즈마 밀도를 측정하였다. 본 연구에서는 helical 타입의 안텐를 이용하여 직경 15 cm pyrex관 내부에 플라즈마를 발생시켰고, 플라즈마 진단을 위해 33~40 GHz의 주파수로 FMCW방식을 이용한 반사계로 플라즈마 밀도를 측정하였다. -
의료용 X-ray의 발전에 따라, 영상의 Digital화가 필요하게 되었다. Digital 영상 구현을 위해 다양한 형태의 영상 검출기가 개발되었다. 진단 영상의 조건으로는 구현 시간이 빠르고 해상도가 높아야 한다. 조건에 부합하는 Flat panel 형태의 직접방식과 간접방식 검출기의 개발이 주로 이루어졌으며, X-ray 검출 효율이 높고 공간 분해능이 높은 직접 방식의 검출기에 대한 연구가 활발히 진행되고 있다. 기존 직접방식의 X-ray 검출물질로는 A-Se이 이용되었다. 하지만 A-Se의 경우 낮은 원자번호로 인해 X-ray에 대한효율이 낮으며, 제조 공정과 수율의 문제로 인해 대체 물질의 개발과 공정의 개선이 필요하다. 선행 연구를 통해 X-ray 검출물질의 전기적 특성을 파악을 통해 대체 물질로서 가능성을 알아보았다. 본 연구에서는 기존에 제작된 X-ray 검출물질의 상부전극 증착 물질과 증착법 선정에 대한 연구이다. 선행 연구를 통해 선정된 X-ray 검출물질은 HgI2이다. 상, 하부 전극 선택에 있어 HgI2의 일함수 값(4.15eV)을 고려하여 그와 비슷한 일함수 값을 가진 물질로 전기적 장벽을 제거하여야 한다. 따라서, ITO (일함수 4.45eV)와 Au (일함수 5.1eV)을 선택하였다. ITO의 증착으로 이용된 방법으로는 on-axis 형태의 magnetron plasma sputtering을 이용하였으며, Au의 증착으로 이용된 방법은 Thermal evaporation deposition을 이용하였다. plasma sputtering에 이용된 타겟은 In2O3;SnO2 (조성비:90:10wt%)를 사용하였으며, Chamber의 크기는 넓이 456
${\phi}cm^2$ 높이 25 cm이며, 로 target과 기판과의 거리는 15cm이다. plasma발생에 필요한 가스로는 Ar과 O2를 이용하였다. 고 진공 환경 조성에 이용된 장비로는 Rotary pump와 Turbo molecular pump이다. plasma 발생 전 진공도는$3.2{\times}10^{-5}$ Torr, 발생 후 진공도는$5.1{\times}10^{-5}$ Torr이다. plasma 환경이 조성된 후 증착 시간은 1분 30초이다. Au는 순도 99.999%를 이용하였으며, 이용된 금은 1회 증착에 0.3 g을 이용하였다. Chamber의 넓이 1,444${\phi}cm^2$ 이며, 높이 40 cm, boat와 기판과의 거리는 25 cm이다. 고 진공 환경 조성에 이용된 장비로는 Rotary pump와 diffusion pump를 이용하였다. Au의 승화 전 진공도는$2.4{\times}10^{-5}$ Torr 증착 시 진공도는$4.2{\times}10^{-5}$ Torr이며, Boat에 가해준 전압, 전류는 0.97 V, 47 A이며, 증착 시간은 1분 30초이다. 광도전체 층에 각각 증착된 전극의 저항을 통해 증착상태를 판단하였다. DMM (Digital Multimeter)로 1 cm 간격으로 측정된 표면의 저항은 ITO 약$8{\Omega}$ , Au 약$3{\Omega}$ 으로 전극으로서 이용이 가능한 상태이다. Au와 ITO가 증착된 HgI2 시편의 전기적 특성은 기존에 이용된 X-ray 변환물질의 성능보다 우수하였다. 하지만 Au와 ITO가 각각 증착된 시편의 전기적 특성은 큰 차이를 보이지 않았다. ITO의 경우 진공 상태에서 이용되는 Gas가 이용되며, Plasma 환경 조성 유지가 어려운 점이 있다. Au전극은 증착 환경 조성이 쉽지만, 전극 물질 이용효율이 떨어지는 단점이 있다. 본 연구를 통해 X-ray 변환물질인 HgI2의 전극물질로 Au와 ITO의 이용가능성을 알아보았다. 두 전극으로 제작된 검출기의 성능은 큰 차이 없이 우수하였고, 전기적 장벽 상태가 낮아 높은 검출 효율을 보였다. 상대적으로 Au 전극의 공정이 간단하고 수율이 높다. 하지만 Au Source의 이용 효율이 떨어지는 단점이 있다. 본 연구의 결과를 통해 공정상의 유리함과 Source의 이용효율을 고려한 분석에 대한 연구가 필요할 것으로 사료된다. -
최근 국내 반도체 장비 업체들에 의해서 차세대 반도체용 450 mm 웨이퍼 공정용 장비 개발이 진행 중에 있다. 반도체 산업은 계속해서 반도체 칩의 크기를 작게 하고, 웨이퍼 크기를 늘리면서 웨이퍼 당 칩수를 증가시켜 생산성을 향상해오고 있다. 현재 300 mm 웨이퍼에서 450 mm 웨이퍼를 도입하게 되면, 생산성 뿐만 아니라 30%의 비용절감과 50%의 cycle-time 단축이 기대되고 있다. 장비에 대한 이해와 공정에 대한 해석 능력을 위해 비용과 시간이 많이 들기 때문에 최근 컴퓨터를 활용한 수치 모델링이 진행되고 있다. 또한, 수치 모델링은 실험 결과와의 비교가 필수적이다. 본 연구에서는 450 mm 웨이퍼 공정용 장비의 전자밀도를 cut off probe를 통해 100 mTorr에 서 Ar 플라즈마를 파워에 따라 측정했다. 13.56 MHz 200 W, 500 W, 1,000 W로 입력 파워가 증가하면서 웨이퍼 중심에서
$6.0{\times}10^9#/cm^3$ ,$1.35{\times}10^{10}#/cm^3$ ,$2.4{\times}10^{10}#/cm^3$ 로 증가했다. 450 mm 웨이퍼 영역에서 전자 밀도의 불균일도는 각각 10.31%, 3.24%, 4.81% 였다. 또한, 이 450 mm 웨이퍼용 CCP 장비를 축대칭 2차원으로 형상화하고, 전극에 13.56 MHz를 직렬로 연결된 blocking capacitor ($1{\times}10^{-6}$ F/$m^2$ )를 통해 인가할 수 있도록 상용 유체 모델 소프트웨어(CFD-ACE+, EXI corp)를 이용하여 계산하였다. 주요 전자-중성 충돌 반응으로 momentum transfer, ionization, excitation, two-step ionization을 고려했고,$Ar^+$ 와$Ar^*$ 의 표면 재결합 반응은 sticking coefficient를 1로 가정했다. CFD-ACE+의 CCP 모델을 통해 Poisson 방정식을 풀어서 sheath와 wave effect를 고려하였다. Stochastic heating을 고려하지 않았을 때, 플라즈마 흡수 파워가 80 W, 160 W, 240 W에서 실험 투입 전력 200 W, 500 W, 1,000 W일 때와 유사한 반경 방향의 플라즈마 밀도 분포를 보였다. 200 W, 500 W, 1,000 W일 때의 전자밀도 분포는 수치 모델링과 전 범위에서 각각 10%, 3%, 2%의 오차를 보였다. 450 mm의 전극에 13.56 MHz의 전력을 인가할 때, 파워가 증가할수록 전자밀도의 최대값의 위치가 웨이퍼 edge에서 중심으로 이동하고 있음을 실험과 모델링을 통해 확인할 수 있었다. -
Atmospheric pressure microwave induced plasmas are used to excite and ionize chemical species for elemental analysis, for plasma reforming, and for plasma surface treatment. Microwave plasma differs significantly from other plasmas and has several interesting properties. For example, the electron density is higher in microwave plasma than in radio-frequency (RF) or direct current (DC) plasma. Several types of radical species with high density are generated under high electron density, so the reactivity of microwave plasma is expected to be very high [1]. Therefore, useful applications of atmospheric pressure microwave plasmas are expected. The surface characteristics of SUS304 stainless steel are investigated before and after surface modification by microwave plasma under atmospheric pressure conditions. The plasma device was operated by power sources with microwave frequency. We used a device based on a coaxial transmission line resonator (CTLR). The atmospheric pressure plasma jet (APPJ) in the case of microwave frequency (880 MHz) used Ar as plasma gas [2]. Typical microwave Pw was 3-10 W. To determine the optimal processing conditions, the surface treatment experiments were performed using various values of Pw (3-10 W), treatment time (5-120 s), and ratios of mixture gas (hydrogen peroxide). Torch-to-sample distance was fixed at the plasma edge point. Plasma treatment of a stainless steel plate significantly affected the wettability, contact angle (CA), and free energy (mJ/
$m^2$ ) of the SUS304 surface. CA and${\gamma}$ were analyzed. The optimal surface modification parameters to modify were a power of 10 W, a treatment time of 45 s, and a hydrogen peroxide content of 0.6 wt% [3]. Under these processing conditions, a CA of just$9.8^{\circ}$ was obtained. As CA decreased, wettability increased; i.e. the surface changed from hydrophobic to hydrophilic. From these results, 10 W power and 45 s treatment time are the best values to minimize CA and maximize${\gamma}$ . -
A new ion source has been designed, fabricated, and installed at the NBTS (Neutral Beam Test Stand) at the KAERI (Korea Atomic Energy Research Institute) site. The goalis to provide a 100 keV, 2MW deuterium neutral beam injection as an auxiliary heating of KSTAR (Korea Super Tokamak Advanced Research). To cope with power demand, an ion current of 50 A is required considering the beam power loss and neutralization efficiency. The new ion source consists of a magnetic cusp bucket plasma generator and a set of tetrode accelerators with circular copper apertures. The plasma generator for the new ion source has the same design concept as the modified JAEA multi-cusp plasma generator for the KSTAR prototype ion source. The dimensions of the plasma generator are a cross section of
$59{\times}25cm^2$ with a 32.5 cm depth. The anode has azimuthal arrays of Nd-Fe permanent magnets (3.4 kG at surface) in the bucket and an electron dump, which makes 9 cusp lines including the electron dump. The discharge properties were investigated preliminarily to enhance the efficiency of the beam extraction. The discharge of the new ion source was mainly controlled by a constant power mode of operation. The discharge of the plasma generator was initiated by the support of primary electrons emitted from the cathode, consisting of 12 tungsten filaments with a hair-pin type (diameter = 2.0 mm). The arc discharge of the new ion source was achieved easily up to an arc power of 80 kW (80 V/1000 A) with hydrogen gas. The 80 kW capacity seems sufficient for the arc power supply to attain the goal of arc efficiency (beam extracted current/discharge input power = 0.8 A/kW). The accelerator of the new ion source consists of four grids: plasma grid (G1), gradient grid (G2), suppressor grid (G3), and ground grid (G4). Each grid has 280 EA circular apertures. The performance tests of the new ion source accelerator were also finished including accelerator conditioning. A hydrogen ion beam was successfully extracted up to 100 keV /60 A. The optimum perveance is defined where the beam divergence is at a minimum was also investigated experimentally. The optimum hydrogen beam perveance is over$2.3{\mu}P$ at 60 keV, and the beam divergence angle is below$1.0^{\circ}$ . Thus, the new ion source is expected to be capable of extracting more than a 5 MW deuterium ion beam power at 100 keV. This ion source can deliver ~2 MW of neutral beam power to KSTAR tokamak plasma for the 2012 campaign. -
Low temperature atmospheric pressure plasmas (APPs) have been known to be effective for living cell inactivation in the water [1]. Many earlier research found that pH level of the solution was changed from neutral to acidic after plasma treatment. The importance of the effect of acidity of the solution for cell treatments has already been reported by many experiments. In addition, several studies have demonstrated that the addition of a small amount of oxygen to pure helium results in higher sterilization efficiency of APPs [2]. However, it is not clear yet which species are key factors for the cell treatment. To find key factors, we used GMoo simulation. We elucidate the processes through which pH level in the solution is changed from neutral to acidic after plasma exposure and key components with pH and air variation with using GMoo simulation. First, pH level in a liquid solution is changed by He+ and He(21S) radicals. Second, O3 density decreases as pH level in the solution decreases and air concentration decreases. It can be a method of removing O3 that cause chest pain and damage lung tissue when the density is very high. H2O2, HO2 and NO radicals are found to be key factors for cell inactivation in the solution with pH and air variation.
-
Many studies have been investigated on high density plasma source (Electron Cyclotron Resonance, Inductively Coupled Plasma, Helicon plasma) for large area source after It is announced that productivity of plasma process depends on plasma density. In this presentation, we will propose the new concept of the multiple source, which consists of a parallel connection of ICP sources and helicon plasma sources. For plasma uniformity, equivalent power (especially, equivalent current in ICP & Helicon) should distribute on each source. We design power feeding line as coaxial transmission line with same length of ground line in each source for equivalent power distribution. And we confirm the equivalent power distribution with simulation and experimental result. Based on basic study, we develop the plasma source for oxidation in semiconductor process. we will discuss the relationship between the processing parameters (With or WithOut magnet, operating pressure, input power ). In ICP, plasma density uniformity is uniform. In ICP with magnet (or Helicon) plasma density is not uniform. As a result, new design (magnet arrangement and gas distributor and etc..) are needed for uniform plasma density in ICP with magnet and Helicon.
-
Additional neutral particle analyzer has been installed to investigate the variation of fast ion tails with regard to the line of sight during 2012 KSTAR campaign. The system has the same photo-diode particle detector as previous system [1]. Both newly installed system and previous system modifield for 2012 campaign have two channels. One has a particle stopper transparent to hard X-ray, the other has no one. It will be used to separate the hard X-ray component from total hard X-ray and fast neutral counts. It is expected to measure fast ion tails more clearly through this extended system. A detailed system and calibration result will be presented in the conference.
-
Na, Byeong-Geun;Yu, Gwang-Ho;Kim, Dae-Ung;Yu, Dae-Ho;Yu, Sin-Jae;Kim, Jeong-Hyeong;Jang, Hong-Yeong 273
컷오프 진단법은 두 개의 탐침 형태로 제작된 마이크로 웨이브 진단법으로, 간단한 수식을 통해 전자밀도, 전자온도 등을 측정할 수 있다. 컷오프 탐침은 방사 안테나, 측정 안테나와 네트워크 분석기로 구성되어 있다. 네트워크 분석기는 두 안테나 사이의 플라즈마 투과 스펙트럼을 만드는데 쓰이며, 스펙트럼 분석을 통해 플라즈마 변수들을 측정할 수 있다. 이 진단법은 장치나 분석방법이 매우 간단한 장점을 지니며, 약 1 mW 정도의 적은 파워를 사용하여 플라즈마 상태를 거의 변화시키지 않는 측정이 가능하다. 또한 CF4와 같은 공정 가스를 이용한 플라즈마에서도 사용이 가능하다. 그러나 컷오프 진단법을 사용한 측정은 다른 종류의 진단법과 마찬가지로, 약 1초 정도의 긴 시간을 필요로 하는 단점이 있어, 펄스 플라즈마나 토카막과 같이 빠르게 변하는 플라즈마를 측정하기에는 무리가 있다. 최근에 개발된 푸리에 컷오프 탐침(Fourier Cutoff Probe, FCP)는 기존의 컷오프 탐침의 느린 시간분해능을 개선하기 위해 개발되었다. [1] 펄스 형태의 단일신호를 플라즈마를 투과하기 전후로 비교하면 투과 스펙트럼 및 플라즈마 변수들을 얻을 수 있으며, 기존 연구에서 구한 시간 분해능은 약 15 나노초였다. 이 값은 펄스 발생장치의 스펙에 따라 변하게 된다. 펄스폭이 짧을수록 시간분해능이 좋아지지만, 무한정 좋아질 수는 없다. 이 논문에서는 FCP 측정의 시간 분해능을 이론적으로 구하고, 시간 분해능의 이론적 한계를 구했다. -
We have researched on controlling an electron temperature and a plasma collision frequency to study the effect of collisions on helicon plasmas. So, we have designed and constructed an electron cyclotron resonance (ECR) heating system in the helicon device as an auxiliary heating source. Since then, we have tried to optimize experimental designs such as a magnetic field configuration for ECR heating and 2.45GHz microwave launching system for its power transfer to the plasma effectively, and have characterized plasma parameters using a Langmuir probe. For improving an efficiency of the ECR heating with R-wave in the helicon plasma, we would understand an effect of R-wave propagation with ECR heating in the helicon plasma, because the efficiency of ECR heating with R-wave depends on some factors such as electron temperature, electron density, and magnetic field gradient. Firstly, we calculate the effect of R-wave propagation into the ECR zone in the plasma with those factors. We modify the magnetic field configuration and this system for the effective ECR heating in the plasma. Finally, after optimizing this system, the plasma parameters such as electron temperature and electron density are characterized by a RF compensated Langmuir probe.
-
Jo, Tae-Hun;Yun, Myeong-Su;Jo, Lee-Hyeon;Kim, Dong-Hae;Jeon, Bu-Il;Choe, Eun-Ha;Jo, Gwang-Seop;Gwon, Gi-Cheong 275
바이오메디칼 연구에 있어서 최근 플라즈마의 사용이 급격하게 늘어나고 있다. 세포나 세균에 플라즈마를 조사하여 이에 대한 반응성 연구와 의료용 살균기 등 여러 방면에서 필요로 하고 있다. 현재 주로 단일 플라즈마 소스를 이용한 실험이 진행되어가고 있다. 그러나 이러한 방식은 다양한 실험을 하기에는 시간이 다소 많이 걸리는 단점이 있다. 이에 다양하고 좀 더 정확한 연구를 위한 균일하게 방사되는 대기압 멀티 플라즈마 소스가 필요하다. 대기압 멀티플라즈마 소스는 각각 발생하는 플라즈마가 동일한 밀도 및 전자온도를 유지할 수 있도록 하는 것이 쉽지 않다. 이와 같이 상황에 맞는 소스를 제작하는 것도 중요하다. 본 연구에서는 24-well tissue culture testplate에 맞는 4개의 대기압 플라즈마 제트가 발생하는 소스를 목표로 하였다. 균일한 플라즈마가 발생할 수 있도록 시뮬레이션을 통하여 멀티 플라즈마 소스를 개발 및 제작하였다. 이에 대한 플라즈마 분석과 기초실험을 진행하여 재현성 테스트를 하였다. -
플라즈마 아킹은 PECVD, 플라즈마 식각 그리고 토카막과 같은 플라즈마를 이용하는 여러 공정과 연구 분야에서 문제가 되어왔다. 하지만, 문제의 중요성과 다르게 아킹에 대한 본질적인 연구는 아직 미비한 상태이다. 플라즈마 아킹은 집단전자방출(collective electron emission)에 의한 스파크 방전(spark discharge) 현상이다. 집단전자방출은 전계방출(field emission)이나 플라즈마와 쉬스를 두고 인접한 표면위에서의 유전분극(dielec emission)에 의해 발생한다. 우리는 CCP 플라즈마를 이용해 micro-arcing(MA)을 일으키고 랑뮈르 프로브를 이용해 MA 동안의 플로팅 포텐셜의 변화를 측정한다. MA시 PM-tube를 이용해 광량의 변화를 측정하고 플로팅 포텐셜을 fast-imaging과 동기화 시켜 MA 발생 메커니즘을 유추한다. 우리는
$30{\times}20$ cm 크기의 사각 전극을 위 아래로 가진 챔버에서 Ar 가스를 RF (13.56 MHz) 파워를 이용해 방전시켰다. 방전 전압과 전류는 파워 전극 앞단에서 High voltage probe (Tektronix P6015A)와 Current probe (TCPA300 + TCP312)를 이용해 측정했다. 플라즈마 아킹시 변하는 플라즈마 플로팅 포텐셜은 챔버 중앙에 위치한 랑뮈프 프로브에 의해 측정되고 챔버 옆의 뷰포트 앞에 위치한 PM-tube를 이용해 아킹시 변하는 광량을 측정하고 Intensified CCD를 이용해 fast-imaging을 한다. 또한 CCD 앞에 band pass filter를 부착하여 MA의 발생 메커니즘을 유추한다. RF 방전에서의 플라즈마 아킹은 아킹시 플로팅 포텐셜의 변화에 의해 크게 세부분으로 나눌 수 있다. 아킹 발생과 동시에 급격히 감소하는 감소부분(약 2 us) 그리고 감소한 포텐셜이 유지되는 유지부분(약 0~10 ms) 그리고 감소했던 포텐셜이 서서히 원래 상태로 회복되는 회복부분(약 100 us)이다. 아킹 초기시 방출된 집단 전자들은 쉬스를 단락시키게 되고 이로 인해 플로팅 포텐셜은 급격히 감소하게 된다. 이렇게 감소한 플로팅 포텐셜은 아킹 스트리머가 유지되는 한 계속 감소한 상태를 유지하게 된다. 그리고 플라즈마를 섭동했던 집단전자방출이 중단되면 플라즈마는 섭동전의 원래 상태로 회복된다. 플라즈마 아킹 발생시 생성되는 순간적으로 많은 전자들을 국소적으로 생성하게 되고 이 전자들에 의해 광량이 순간적으로 증가하게 된다. PM-tube (750.4 nm)에 의해 측정된 아킹시 광량은 정상방전 상태의 두배 가량이 된다. 그리고 이 순간적으로 증가된 광량은 시간이 지남에 따라 감소하게 되고 정상방전 일때의 광량이 된다. 광량이 증가한 후 정상방전상태의 광량에 이르는 부분은 플로팅 포텐셜이 감소한 상태에서 유지되는 부분과 일치하고 이는 플로팅 포텐셜의 유지부분동안 집단전자방출이 있다는 간접적인 증거가 된다. 그리고 정상 방전 상태 일때의 광량이 되면 집단전자방출이 중단되었다는 것이므로 그 시점부터 플로팅 포텐셜은 정산 방전상태 일때의 포텐셜로 복구되기 시작한다. 이처럼 PM-tube를 이용한 아킹 광량 측정은 아킹 스트리머를 간접적으로 측정하게 하고 집단전자방출을 이용해 아킹 시의 플로팅 포텐셜의 변화를 설명하게 해 준다. -
최근 들어 세계적인 고유가 행진과 화석연료 고갈에 대응하기 위하여 대체 에너지원 발굴에 대한 필요성이 높아지고 있다. 그 중 CIGS 박막 태양전지는 미래 신재생 에너지 자원의 가장 유망한 후보군 중 하나이다. 기존의 Si 기반의 태양전지의 경우 시간경과에 따른 효율 저하, 높은 재료비, 복잡한 공정으로 인하여 대량생산이 힘든 단점을 가지고 있다. 반면 박막 태양전지의 경우 생산 원가를 낮출 수 있는 태양전지 제조기술로서는 2세대 태양전지로 불리우며, 에너지 변환 효율과 생산 원가에서 우월성을 가진다. 그리고 이러한 CIGS 박막 태양전지를 단일 CIGS 타겟을 이용하여 스퍼터링 공정으로 제작하면 기존에 사용되었던 동시 증발법에 비해서 간단하고 대면적 코팅 및 대량 생산이 가능하다. 본 연구에서 사용된 기판으로는
$25{\times}25mm$ 크기의 Soda Lime Glass (SLG) 위에 DC 마그네트론 스퍼터링 공정으로 Mo가$1{\mu}m$ 증착된 시편을 이용하여, 2 inch 단일 CIGS 타겟 (MATERION, CIGS Target 25-17.5-7.5-50 at%)을 기판 가열하여 증착하였다. RF 파워는 80 W, 기판 온도는 RT, 100, 200, 300,$400^{\circ}C$ 로 가열 후 증착하였고, CIGS 박막의 두께는 약$1{\mu}m$ 로 일정하게 하였다. CIGS/Mo 박막의 파워별 미세구조 분석을 위해 X-ray Diffraction (XRD, BRUKER GADDS)로 측정하였으며, 박막의 결정립 크기를 확인하기 위해 Field Emission Scanning Electron Microscopy (FE-SEM, HITACHI)을 사용하여 측정하였다. 조건별 박막의 조성 분석 및 표면조도는 Energy Dispersive X-ray Spectroscopy (EDS, HORIBA 7395-H)와 Atomic Force Microscopy (AFM)을 이용하여 각각 평가하였다. 마지막으로 광학적 특성을 평가하고 박막의 밴드갭 에너지를 계산하기 위해서 190 nm에서 1,100 nm의 영역 대에서 자외선 광학 측정기(UV-Vis, HP-8453, AGLIENT)로 투과도를 측정하여 밴드갭 에너지를 계산하였다. 증착된 CIGS 박막은 기판 온도가 증가함에 따라 결정립 크기가 커지는 경향을 보였다. 이는 기판 상에 도달한 스퍼터 원자의 확산 에너지 증가로 인한 것으로 생각되어진다. 또한, 기판온도에 따른 결정립 성장 변화는 4성분계의 박막의 조성 및 핵생성 밀도와 관련되어 설명되어질 것이다. -
차세대 디스플레이에서 3차원 감성 터치 또는 플렉시블 기판 등에 사용되고 있는 ITO(Tin-doped Indium Oxide) 박막은 고 해상도 및 소자 효율 향상을 위해 전 가시광 영역에서 높은 투과율이 요구되고 있다. 일반적으로 ITO 박막은 두께 감소에 따라 빛의 두께 산란 없이 전 가시광 영역에서 높은 투과율을 가지는 반면, 두께가 감소할수록 박막 성장 시 비정질 기판의 영향을 크게 받아 박막 결정성 감소와 더불어 전기전도성이 감소되는 경향을 보인다. 특히, 매우 얇은 두께에서의 ITO 박막 물성은 초기 박막 핵 생성 및 성장과 증착 공정 중에 발생하는 고 에너지 입자(산소 음이온, 반사 중성 아르곤 등)의 박막 손상에 대한 영향을 크게 받을 뿐만 아니라 ITO 박막 내의 SnO2 도핑함량에도 매우 의존한다. 따라서, 매우 얇은 두께에서 높은 투과율과 뛰어난 전기전도성을 동시에 가지는 고품질 ITO 초박막 제조를 위해서는 박막 초기 핵 성장 제어기술 및 SnO2 함량에 따른 ITO 초박막의 전기적, 광학적 거동에 관한 연구가 필요하다. 본 연구에서는 다양한 SnO2 함량에서 고품질의 ITO 초박막을 DC/RF 중첩형 마그네트론 스퍼터링법을 이용하여 박막 증착 중에 발생하는 고에너지 입자의 기판충격으로 인한 박막손상을 최소화하여 증착된 박막의 전기적, 광학적 특성 및 미세구조를 관찰하였다. 그리고 전체파워에서 RF/(RF+DC) 비율을 제어하여 증착한 ITO 초박막의 물성을 최적화 하였으며, 상온 및 결정화 온도 이상에서 다양한 SnO2 함량을 가진 ITO 박막을 두께(150 nm, 25 nm)에서 각각 증착하여 전기적, 광학적 거동 및 XRD를 통한 박막의 미세구조 변화를 비교 분석하였다. 그리고 증착된 모든 ITO 초박막에서 가시광 투과율은 빛의 두께 산란 없는 높은 투과율(>85 %) 을 보이는 것을 확인 할 수 있었다. 증착된 ITO 박막의 전기적 특성 및 미세구조는 RF/(DC+RF)비율 50%에서 최적임을 확인하였다. 이는 RF/(DC+RF) 비율 증가에 따른 캐소드 전압 최적화로 박막의 초기 핵 성장 과정에서 기판상의 고에너지 입자로 인한 박막 손상의 감소 및 리스퍼터 되는 산소량을 최적화 시키고, 이는 박막의 결정성 향상으로 이어져, 박막내의 결함 밀도 감소 및 SnO2 고용 효율을 증가시켜 전기전도성 향상에 기인하였다고 판단된다. 또한, 증착된 ITO 초박막은 SnO2 함량 변화에 따라 박막의 결정성 및 전기적 특성에서 미세한 변화를 보였다. 이러한 ITO 박막의 물성변화는 박막 두께 감소에 따른 결정성 감소와 함께 SnO2의 고용 한계 변화로 인한 것으로 판단된다. 또한, RF/(DC+RF) 비율의 증가에 따른 ITO 초박막의 전기적, 광학적 및 미세구조는 Vp-Vf의 변화와 관련하여 설명되어 진다.
-
본 연구에서 SiOC 박막을 제작하기 위해서 RF 마그네트론 스퍼터링법을 이용하여 유량별 RF 파워의 변화에 따라서 AZO 박막을 성장시켰으며 박막의 광학적 특성을 조사하였고 투명 전도성 박막으로써 AZO 박막을 SiOC 박막 위에 성장시켜서 광학적인 특성을 조사하였다. Si 웨이퍼의 종류에 따라서 광학적인 특성에 조금의 변화가 있는 것을 확인하였으며, n-type Si의 경우 electron transition에 의한 emission 특성이 달라지는 것에 비하여 상대적으로 p-type Si의 경우 변화가 거의 없는 것으로 나타났다. 일반적으로 사용되는 SiO2 산화막 위에 증착한 AZO 박막에 비하여 SiOC 박막 위에 증착할 경우 빛의 흡수가 많이 일어나는 것을 확인할 수 있었으며, AZO/SiOC 박막의 반사도 역시 많이 감소하였으며, 이러한 전기적인 특성은 태양전지에서 전면전극으로 사용할 경우 반사방지막으로서의 특징도 나타낸다는 것을 의미한다. 스퍼터 방법에 의한 증착법은 낮은 온도에서도 공정이 가능하다는 장점이 있으며, 절연특성이 우수한 SiOC 박막을 AZO 박막의 보호막으로 사용할 경우 용도에 따라서 우수한 특성을 나타낼 수 있음을 확인하였다.
-
Sn/In은 금속 특징상 semimetal로 구분되어 지며 증착 두께가 적을수록 Island 구조를 가지며 높은 저항을 가져 비전도 특성을 가지는 금속으로 알려져 있다. 이런 특성이 산업적으로 IT기기의 Decoration에 적용되어 Sn/In을 증착하여 비전도(NCVM: Non Conductive Vacuum Metallization) 증착 Inmold Film으로 활용되고 있다. 비전도 특성은 IT기기의 안테나 성능에 영향을 주기 때문에 Sn/In 박막의 두께에 따른 전기적 특성을 관찰하였다. 또한 Sn/In의 증착 두께에 따라 Inmold Film의 증착감 및 색상 차이가 발생하는 것을 색차계를 통하여 확인할 수 있었다. Sn/In 증착은 Source를 이용하여 Electron beam 방법으로 PET/증착프라이머 Film 위에 Sn/In 박막을 증착 하였으며 증착 조건에 따라 Sn/In 박막특성에 미치는 영향을 연구하였다. 그리고 SEM측정을 통하여 증착조건에 따른 박막의 Morphology도 확인하였다.
-
본 연구에서는 다이오드 소자의 온도 증가에 따른 C-V 특성을 분석하였다. 180 kHz 주파수 조건에서 온도는 300 K에서 450 K까지 50 K 간격으로 가변하였다. 측정 결과 reverse bias 영역에서는 커패시턴스의 온도 의존성이 없었으나, forward bias 영역에서는 온도가 증가함에 따라 동일 전압에서의 커패시턴스가 증가하였다. 이로부터 온도가 증가 할수록 소자가 반전(inversion) 상태에서 축적(accumulation) 상태로 빨리 전환함을 확인하였으며, 1/C2-V 그래프로부터 온도 증가에 따른 전위장벽(Built-in potential, Vbi) 감소를 확인하였다. 전위장벽은 0.63 V에서 0.31 V로 온도 상승에 따라 약 0.1 V씩 감소하였다. 이는 energy band diagram에서 p-type 영역과 n-type 영역의 energy band 차가 감소해 공핍층 영역의 폭이 좁아짐을 의미한다. 공핍층의 두께 감소로 다이오드 전류의 급격한 증가뿐 아니라 위에서 언급한 바와 같은 C-V 특성을 보였다. 이번 연구에서는 기존의 보편화 된 I-V 측정을 통한 다이오드 소자 분석과는 달리 온도 변화에 따른 C-V 분석을 통해 소자 내부의 전위 장벽 및 공핍층 폭 감소에 따른 소자 특성 변화를 분석하였다.
-
육각형 구조를 지닌 2차원의 물질인 그래핀은 우수한 전도도와 투과율로 투명전극의 신소재로 각광 받고 있다. 특히, 그래핀은 현재 투명전극으로 가장 많이 사용되고 있는 Indium Tin Oxide(ITO)로는 구현하기 힘든 Flexible display의 어플리케이션으로 사용하기 위한 목적으로 많은 기술 개발이 이루어지고 있다. 이러한 그래핀의 응용은 가장 먼저 그래핀의 생산이 안정적이고 원활히 이루어질 때 실질적으로 가능할 것이다. 하지만, 탄소로 이루어진 그래핀의 성장은 제한된 기판 위에서만 가능하기 때문에 성장이 이루어진 그래핀을 다른 기판에 전사시켜야하는 문제점이 있다. 그래핀 전사방법에는 직접전사, PMMA 전사, TRT 전사, 금속전사, 망전사, PDMS 전사 등 다양한 방법이 있다. 이 중에서 현재 가장 많이 사용되고 있는 전사방법으로는 직접전사, PMMA 전사, TRT 전사 방법이 있다. 직접전사의 경우 니켈위에 성장된 다층의 그래핀을 전사시킬 때 많이 사용되는 방법으로 니켈 에천트에 전사 시킬 그래핀을 띄워 니켈을 녹인 후 원하는 기판을 이용하여 전사하는 간단한 방법이다. 직접전사는 전사가 이루어진 후 그래핀에 남는 결함이 거의 존재 하지 않는 장점이 있지만 문제점은 단일층의 그래핀의 경우 니켈 에천트위에서 잘 보이지 않을 뿐 아니라 에천트에서 기판으로 전사할 때 너무 얇은 막으로 인해 다 찢어져버린다는 것이다. 이를 해결하기 위해 사용되는 전사 방법으로 TRT를 이용하여 구리위에 성장된 그래핀을 상온 시에는 점성을 가진 테이프를 이용해 부치고 구리에 천트에 구리를 녹인 후 원하는 기판위에 놓고 열을 가해 그래핀을 전사하는 방법이 있다. TRT 전사방법은 얇은 막의 그래핀을 찢어지지 않도록 지지해주어 대면적 기판위에도 전사 할 수 있는 장점이 있지만 전사 후 그래핀에 남아 있는 잔여물들이 많고(그림 1. (b)), 테이프를 이용한다는 점에서 그래핀의 얇은 막이 손상될 수 있는 단점이 있다. 그렇기 때문에 본 연구에서는 직접전사와 TRT전사의 문제점들인 전사 후 잔여물와 그래핀 단일층의 손상을 최소화할 수 있는 방법으로 PMMA전사를 가장 적합한 전사방법이라는 것을 라만 분석, 면 저항측정, 그래핀을 이용한 LED제작을 통해서 살펴 보았다. 먼저 라만 분석을 이용해 TRT전사 후 상당히 많은 빈 공간이 생김을 확인할 수 있었으며, 결과적으로 면 저항이 약
$1.5k{\Omega}$ ~$3M{\Omega}$ 까지 PMMA의 약 0.9~1.2$k{\Omega}$ 와 비교했을 때 큰 차이가 있음을 확인할 수 있었다. 또한, 이후 각각의 전사방법으로 얻은 그래핀을 LED의 스프레딩 층으로 제작한 결과에서도 TRT전사방법보다 PMMA전사방법의 결과가 좋음을 알 수 있었다(그림 2). -
최근 투명 산화물 반도체(TOS: Transparent Oxide Semiconductor)중에 비정질 산화물 반도체(amorphous oxide semiconductor)를 이용한 트랜지스터 연구가 활발히 진행되고 있다. 비정질 산화물 반도체는 박막 트렌지스터 소자의 Active Layer으로 사용할 수 있다. 본 연구는 RF magnetron sputtering법으로 유리기판 위에 IGZO박막을 증착하였다. 박막 증착 조건은 초기 압력
$3.0{\times}10^{-6}$ Torr, 증착 압력 20 mTorr, 반응가스 Ar 50 sccm, RF power 30w, 증착 온도는 실온으로 고정하였으며, 공정변수로 증착 시간을 변화시키며 IGZO박막을 증착하였다. IGZO 타겟은$In_2O_3$ ,$Ga_2O_3$ , ZnO 분말을 각각 1:1:1 mol% 조성비로 혼합하여 소결한 타겟을 사용하였다. XRD 분석결과에 따라서 Bragg's 법칙을 만족하는 피크가 나타나지 않는 비정질 구조임을 확인할 수 있었다. 가시광 영역에서(450~700 nm) 모든 박막은 90% 이상 투과도를 나타내었다. 증착시간이 증가할수록 밴드갭이 감소하는 것을 확인하였다. 증착시간이 5분인 경우 캐리어 농도는$2.2{\times}10^{19}$ $cm^{-3}$ , 이동도는 7.5$cm^2/V-s$ , 비저항은$3.8{\times}10^{-2}{\Omega}$ -cm의 반도체 특성을 나타냈고, 박막 트렌지스터 소자의 Active Layer으로 사용할 수 있다. -
The oxidation characteristics of tungsten line pattern during the carbon-based mask layer removal process using oxygen plasmas and the reduction characteristics of the WOx layer formed on the tungsten line surface using hydrogen plasmas have been investigated for sub-50 nm patterning processes. The surface oxidation of tungsten line during the mask layer removal process could be minimized by using a low temperature (
$300^{\circ}K$ ) plasma processing instead of a high temperature plasma processing for the removal of the carbon-based material. Using this technique, the thickness of WOx on the tungsten line could be decreased to 25% of WOx formed by the high temperature processing. The WOx layer could be also completely removed at the low temperature of$300^{\circ}K$ using a hydrogen plasma by supplying bias power to the tungsten substrate to provide an activation energy for the reduction. When this oxidation and reduction technique was applied to actual 40 nm-CD device processing, the complete removal of WOx formed on the sidewall of tungsten line could be observed. -
Molecular beam epitaxy 방법으로 성장시킨 AlGaAs/GaAs 다중 양자 우물 구조에 대한 라만 산란 연구를 보고한다. InAs 양자점이 성장된 Si 기판 위에 각기 다른 온도에서 두께 약 1
${\mu}m$ 의 GaAs 층을 두 단계로 성장시킨 후 그 위에 AlGaAs/GaAs 다중 양자 우물 구조를 성장시켰다. AlGaAs/GaAs 다중 양자 우물 구조의 광학적 특성에 영향을 주는 GaAs 층의 변형력(stress)의 변화를 알기 위해서 시료의 측면으로부터 공간 분해된 라만 산란 실험을 수행하였다. 라만 산란 실험으로부터 AlGaAs/GaAs 다중 양자 우물 구조가 지니는 모든 종류의 광학 포논을 관측하였으며, 두 단계로 성장시킨 GaAs 층에서의 변형력이 Si 기판으로부터 멀어질수록 성장조건의 변화에 따라서 다르게 전개된다는 것을 파악하였다. -
본 실험에서는 RF magnetron sputtering법과 evaporator법을 이용하여 다층박막 OMO구조를
$30{\times}30mm$ 유리기판 위에 제작하였다. Oxide층은 Sputter장비를 이용 IGZO막을 제작하였으며, Metal 층은 evaporator장비를 이용 Ag 막을 제작하였다. 변수로는 Oxide층의 시간에 따른 특성 변화를 연구하였다. 소결된 타겟으로는 In:Ga:ZnO를 각각 1:1:1 mol%의 조성비로 혼합하여 이용하였으며, Ag는 99.999%의 순도를 가진다. Oxide층의 RF sputter 공정 조건으로는 초기압력$3.0{\times}10^{-6}$ Torr 이하로 하였으며, 증착 압력$2.0{\times}10^{-2}$ Torr, Rf power 30 W, Ar gas 50 sccm으로 고정 시켰으며, 변수로는 5, 7, 9, 11분은 시간 차이를 두어 증착을 하였다. Metal층의 Evaporator 공정조건으로는$5.0{\times}10^{-6}$ Torr이하, 전압은 0.3 V, Thickness moniter로 두께를 확인해가며 증착하였으며,$100{\AA}$ 으로 고정시켰다. 분석결과로는 XRD 측정 결과 35도 부근에서 Ag 피크가 관찰되었다. IGZO막 하나일때 90% 이상의 평균 투과율을 보였으며, 3층의 구조가 모두 증착됐을때의 투과도는 가시광영역에서 평균 80% 이상의 투과율을 보였으며, 500 nm부터 투과율이 떨어지기 시작해 800 nm부근에서는 평균 투과율이 30%까지 떨어져 Metal층인 Ag가 하나의 layer로 잘 증착이 된것을 보여주며, 플라즈몬효과를 보여줌을 알수있다. AFM측정 결과 평균 거칠기는 1.2 nm 정도의 거칠기를 확인했다. 홀 측정결과 전기적 특성은 발견되지 않았다. -
Kim, Ju-Yeong;Kim, Su-In;Lee, Gyu-Yeong;Gwon, Gu-Eun;Kim, Min-Seok;Eom, Seung-Hyeon;Jeong, Hyeon-Jin;Jo, Yong-Seok;Park, Seung-Ho;Lee, Chang-U 291
MOSFET 구조에서 metal oxide에 기반을 둔 게이트 유전체의 연구는 실리콘(Si)을 기반으로 한 반도체 발명이래로 가장 인상적인 발전을 이뤄 왔다. 이는 metal oxide의 높은 유전상수 특성이$SiO_2$ 보다 우수하고, 유전체 박막의 두께 감소로 인한 전기적 특성 저하를 보완하기 때문이다. 특히 지난 10년 동안, Hafnium에 기반을 둔$HfO_2$ 는 차세대 반도체용 유전 물질로 전기적 구조적 특성에 대한 연구가 활발히 진행되어왔다. 그러나 현재까지$HfO_2$ 에 대한 nano-mechanical 특성 연구는 미미하여 이에 대한 연구가 필요하다. 이에 본 연구에서는 Hf 및$HfO_2$ 박막의 증착 및 열처리 조건을 다르게 하여 실험을 진행하였다. 시료는 rf magnetron sputter를 이용하여 Si 기판위에 Hafnium target으로 산소유량(4, 6 sccm)을 달리하여 증착하였고, 이후 furnace에서 400에서$800^{\circ}C$ 까지 질소분위기에서 20분간 열처리를 실시하였다. 실험결과 산소 유량을 6 sccm으로 증착한 시료의 current density 성능이 모든 열처리 과정에서 증가하였다. Nano-indenter로 측정하고 Weibull distribution으로 정량적 계산을 한 경도 (Hardness)는 as-deposited 시료를 기준으로$400^{\circ}C$ 에서는 감소했으나 온도가 높아질수록 증가하였다. 특히,$400^{\circ}C$ 열처리한 시료에서 산소농도에(4 sccm : 5.35 GPa, 6 sccm : 6.15 GPa)따른 두 시료간의 변화가 가장 두드러졌다. 반면에, 탄성계수 (Elastic modulus)는 산소농도 6 sccm을 넣고 증착된 시료들이 4 sccm을 넣고 증착한 시료보다 모두 높은 값을 나타냈다. 또한,$800^{\circ}C$ 열처리한 시료에서 산소농도에(4 sccm : 128.88 GPa, 6 sccm : 149.39 GPa)따라 표면의 탄성에 큰 차이가 있음을 확인하였다. 이는 증착된$HfO_2$ 시료들이 비정질 상태에서$HfO_2$ 로 결정화되는 과정에서 산소가 증가할수록 박막의 defect이 감소되기 때문으로 사료된다. -
백색 OLED 조명 분야에서 색 변환은 큰 이슈가 되고 있다. 하지만 청색 유기물의 발광 특성이 좋지 못하여 아직까지 정착이 되지 못하고 있는 것이 현실이다. 본 연구에서는 발광 효율이 낮은 청색 OLED 대신 청색 LED와 황색 OLED를 사용하여 색 변환을 통한 백색 발광 panel을 제조하고 전기 및 광학적 특성을 평가하였다. 먼저 OLED소자는 진공증착방법을 사용하여 ITO (150 nm)/KHI-001 (5 nm)/LG-101 (10 nm)/KHT-001 (25 nm)/ PGH-02 (25 nm): Ir (mpp) 3 (8%): PRD-003 (0.3%)/TMM-004 (10 nm)/LG-201 (20 nm): LiQ (50%)/Al (150 nm) 구조를 갖는 발광면적
$70{\times}70mm^2$ 의 황색 OLED panel을 제작하였다. CIE 1931색좌표는(0.49, 0.49)이고, 효율은$41.61{\ell}m/W$ 이다. 그리고 LED는 청색 칩을 한 줄로 나열하여 LED bar를 만들었고 여기에 도광판, 리버스 프리즘시트, 확산시트 그리고 반사시트를 더하여 점광원을 면광원화 하였다. CIE 1931색좌표가 (0.15, 0.04)이며 효율은$3.56{\ell}m/W$ 이다. 황색 OLED를 청색 LED 면광원 뒤에 붙여서 두 빛이 도광판 위쪽으로 나오게 하였다. 이렇게 hybrid된 빛은 인가 전류를 변화 시킴으로써 색온도 3,200 K의 warm white에서 7,800 K의 cool white까지 변환이 가능하였다. 그리고 순백의 hybrid 빛을 얻을 수 있었는데 이때의 색온도는 4200K이고 CIE 1931색좌표는(0.34, 0.33)이며 연색지수는 89였다. -
염소와 불소 혼합가스와 펄스 직류 전원 플라즈마를 이용하여 GaAs/
$Al_{0.2}Ga_{0.8}As$ 의 선택적 식각을 연구하였다. 식각 주요 공정 변수는 혼합 플라즈마에서 불소 가스의 유량(0~50%) 이었다. 다른 공정 조건은 공정 압력, 펄스 파워, 펄스 주파수, 리버스 시간이 이 있다. 저진공(~100 mTorr) 플라즈마에 대한 연구로 한정하여 기계적 펌프만을 사용하여 공정을 진행하였다. 오실로스코프(Oscilloscope) 데이터에 의하면 가스의 조성 변화에도 척에 걸리는 입력 전압과 전류가 거의 변화가 없었다.$BCl_3/SF_6$ 플라즈마에서$SF_6$ 가스가 10%의 조성에서 GaAs와$Al_{0.2}Ga_{0.8}As$ 의 식각 선택비가 약 50:1로 우수한 결과를 나타내었다. 혼합 플라즈마에서$SF_6$ 가스의 증가는 GaAs의 식각율과 선택도를 감소시켰다. 그리고 불소 성분 가스의 조성비가 일정량 이상일 경우에는 GaAs와$Al_{0.2}Ga_{0.8}As$ 가 거의 식각되지 않았다. 위의 결과들을 종합적으로 보면 펄스 직류 전원$BCl_3/SF_6$ 플라즈마에서 불소의 조성비는 GaAs의 선택적 식각에서 중요한 역할을 함을 알 수 있었다. -
펄스 직류 플라즈마 전원 공급시 임피던스 트랜스포머의 유무에 따른 전기적 특성 변화를 연구하였다. 실험 변수는 펄스 직류 전압(350~550 V), 펄스 직류 주파수(100~250 kHz), 리버스 시간(0.4~1.2
${\mu}sec$ .)이었다. 전기적 특성은 주로 오실로스코프를 이용하여 분석하였다. 펄스 직류 전원의 경우 임피던스 트랜스포머의 여부에 상관없이 주파수가 커지거나 리버스 시간이 커지면 peak-to-peak 전압이 증가한다는 사실을 이해하였다. 본 실험은 저진공에서 실시하였다. 임피던스 트랜스포머를 부착하지 않은 경우, GaAs의 식각 속도는 10 sccm BCl3를 사용한 경우 최대$0.4{\mu}m$ 까지 얻을 수 있었다. 감광제에 대한 최대 식각 선택비는 약 2.5:1이었다. 또한 식각된 GaAs의 표면은 깨끗하였으며, 염소와 관련된 잔류 물질은 거의 발견되지 않았다. 임피던스 트랜스포머를 설치하면 GaAs의 식각 속도는 증가하였으나 샘플 척에 열 부하가 많았다. 펄스 직류 플라즈마를 이용한 처리장치 개발시 전기적 특성 변화 및 공정 속도 조절에 있어 임피던스 트랜스포머의 역할 및 그 특성에 대한 많은 연구가 필요하다는 본 기초 연구를 통해 얻을 수 있었다. -
Parylene 코팅은 다결정인 고분자 유기물에 열을 가하여 기화시켜 진공상태에서 피사체를 코팅하는 것으로 마이크로 두께 단위의 유전체 증착하는 것이다. Parylene 코팅은 주로 Display를 비롯한 태양전지, 반도체 등에서 다양한 산업분야에서 이용되며, 이 때 외부로부터 침투하는 수분을 방지하고, 전기적 절연 및 불순물로부터 피사체를 보호하여 기계적인 안정성을 목적으로 사용된다. Display와 태양전지는 빛을 이용하는 분야로써 Parylene을 투과하여 들어오는 빛의 전달효율에 따른 영향이 크게 고려되어진다. 빛의 전달효율을 높이기 위해서는 Parylene의 높은 투명도가 중요한 요소로 작용한다. 본 연구에서는 Parylene 코팅 박막의 투명도 상승을 위해 증착 시 다양한 진공조건으로 실험을 진행하였다. Parylene 코팅 시, 진공도에 따른 투명도를 평가하기 위해 Substrate로는(100%)투과율을 가지는 재질의 glass를 이용하였다. Parylene 종류로는 반도체분야에 주로 이용되는 C-type의 Parylene Polymer 사용하였다. 증착 조건으로는
$7{\sim}8{\times}10^{-2}$ Torr,$4{\sim}6{\times}10^{-2}$ Torr,$2{\sim}3{\times}10^{-2}$ Torr의 각각 다른 진공 조건에서 120분간 증착시켜 Parylene 코팅막을 형성하였다. 또한 높은 투습방지력을 가짐과 동시에 고투명도 유지에 대해 신뢰성평가를 하기위해 각 조건별로 1회, 3회, 5회 반복 증착하였다. 제작된 각 시편의 투명도 측정을 위해 광도계(DX-100, TAKEMURA)를 이용하여 빛의 투과율을 관찰하였다. 그 결과 진공도$2{\sim}3{\times}10^{-2}$ Torr,$4{\sim}6{\times}10^{-2}$ Torr,$7{\sim}8{\times}10^{-2}$ Torr순의 시편이 높은 투과율을 나타내었으며, 그 중$2{\sim}3{\times}10^{-2}$ Torr의 1회 증착 한 시편이(97%)로 가장 높은 투과율을 나타내었다. 반대로$7{\sim}8{\times}10^{-2}$ Torr의 5회 증착 한 시편이(78%)로 가장 낮은 투과율을 보였다. 따라서 진공도가 높을수록 투명도가 상승하며, 증착횟수가 늘어날수록 투명도가 감소하는 것을 알 수 있었다. 본 연구의 결과로 Parylene 코팅의 진공도에 따른 투명도를 평가함으로써 Parylene 코팅 증착조건 최적화를 위한 기초자료로 이용될 것이라 사료된다. 또한 후속 연구로써 substrate의 온도조절과 시료량의 조절이 이루어진다면 좀 더 효율적으로 최적화된 박막형성이 가능할 것이라 판단된다. -
본 연구에서는 Bias Temperature Stress (BTS) 측정을 통한 다층세라믹커패시터(Multi-Layer Ceramic Capacitor, MLCC) 소자 분석에 대한 연구를 진행하였다. BTS 분석은 소자 내부에 존재하는 Na+, K+ 등의 mobile charge 검출을 위한 방법으로 positive bias와 negative bias stress에 따른 C-V 특성 곡선으로부터 mobile charge의 정량적 해석이 가능하다. 실험 결과 positive bias stress 후의 C-V 특성 곡선이 stress 전 C-V 특성 곡선과 비교해 negative bias 영역으로 0.0376 V 만큼 shift 하였다. 또한 수식(QM =
$Cox{\cdot}{\triangle}V$ )으로부터$1.7{\times}1,011$ 개의 mobile charge가 존재함을 확인하였다. 본 연구는 MLCC 소자 내의 금속 오염물 존재 여부에 따른 소자의 전기적 특성 변화 분석을 위해 진행되었으며, BTS 분석은 반도체 소자 뿐 아니라 본 연구에서와 같이 커패시터 소자의 결함 여부 판단에도 이용 가능함을 확인하였다. -
Jeong, Yeong-Ui;Lee, Seung-Hwan;Hwang, Seon-Min;Jo, Chang-U;Bae, Jong-Seong;Park, Seong-Gyun 299
투명전도산화물 박막은 디스플레이, 태양전지, 압전소자 등 다양한 응용분야에 많이 이용되고 있는 소재이다. 그 중에서 현재 산업에서 활용 빈도가 높은 투명전도막의 재료는 ITO를 기반으로 하는 물질이다. 하지만 인듐의 높은 생산단가와 플라즈마 노출시 열화로 인한 문제점 때문에 기존의 ITO를 대체하기 위한 새로운 재료에 관심이 증대되고 있다. 본 연구에서는 대표적인 ITO 대체 물질 중의 하나인 ZnO 박막에 대해서 증착환경변화에 따른 물성변화를 조사하였다. 먼저 대기중에서 안정화된 ZnO 박막을 얻기 위해서 인(P) 2% 첨가된 ZnO 세라믹을 고상반응법으로 제작하고, 펄스레이저 증착법을 이용하여 Al2O3(0001)기판에 산소분압을 30~150 mTorr로 변화를 주어 P-ZnO 박막을 제작하였다. 이 때 증착온도는$400^{\circ}C$ 로 고정하였다. X선 회절 결과로부터 산소분압에 상관없이 ZnO (002)방향으로 증착되었다. 하지만 결정립의 크기는 산소분압이 증가하면서 줄어들고, ZnO (002)피크로부터 얻어진 격자상수(c-축)는 벌크 값에 가까워짐을 알 수 있었다. 하지만 P첨가로 인해서 박막의 격자상수는 순수한 ZnO 벌크 값 보다 큰 것으로 알 수 있다. 산소분압 변화에 따른 P-ZnO 박막의 산화 상태는 X-선 광전자 분광기를 이용하여 측정하였다. 그 결과 산소 core-level의 스펙트럼은 자연산화, 산소 vacancy, Zn-O 결합으로 구성되어짐을 알 수 있었다. 산소분압이 증가하면 Zn-O 결합은 증가하지만 산소 vacancy는 감소함을 알 수 있었다. 전기적 특성 결과 P-ZnO 박막은 30 mTorr에서는 n형 반도체 특성, 100 mtorr에서 p형 반도체의 특성이 나타내었고, 산소분압이 증가하면 다시 n형 반도체 특성을 나타냄을 알 수 있었다. 광학적 특성 결과 P-ZnO 박막은 산소분압에 상관없이 가시광선 영역에서 80%이상의 투과율을 나타내었으며, 산소분압이 증가할수록 에너지 갭이 증가하였다. -
본 연구에서는 음극 아크 방전을 이용하여 빗각 증착으로 단층 또는 다층 구조를 갖는 TiN 코팅층을 제작하여 미세구조 변화가 코팅층 물성에 미치는 영향을 확인하였다. TiN 박막은 아크 소스에 장착된 99.5%의 Ti 타겟을 사용하여 아르곤과 질소 가스의 혼합가스 분위기에서 기판으로 사용된 스테인레스 강판 위에 코팅하였다. 기판과 타겟 간의 거리는 30 cm이며, 기판은 알코올과 아세톤으로 초음파 세척을 실시한 후 진공챔버에 장착하고
${\sim}10^{-6}$ Torr가지 진공배기를 실시하였다. 진공챔버가 기본 압력까지 배기되면 아르곤 가스를 주입하고 아크 소스에 약 70A의 전류를 인가하여 아크를 발생시키고 기판 홀더에 약 -400 V의 직류전압을 인가하여 약 5분간 청정을 실시 하였다. 기판의 청정이 끝나면 기판에 인가된 전압을 차단하고 질소 가스를 진공챔버에 주입하여 TiN을 코팅하였다. 빗각 증착을 위한 기판의 회전각은$45^{\circ}$ 와$-45^{\circ}$ 이며, TiN 박막의 총 두께는 약$3{\mu}m$ 로 동일하게 유지하였다. 빗각 증착으로 TiN을 코팅하면 기울어진 주상정 구조를 갖는 박막이 제조되는 것을 확인할 수 있었다. 빗각 증착을 실시하는 중에 기판 홀더에 약 -100 V의 전압을 인가하면 빗각 효과가 사라지며 기판에 수직한 주상정이 성장한다는 사실을 확인하였다.$45^{\circ}$ 의 빗각으로 코팅한 단층 TiN 박막은$0^{\circ}$ 로 코팅한 박막보다 경도가 감소하는 경향을 보였으나$45^{\circ}$ 와$-45^{\circ}$ 로 번갈아 코팅한 다층 TiN 박막은 zigzag 구조가 관찰되었으며$0^{\circ}$ 로 코팅한 단층 TiN 박막보다 경도가 증가하는 경향을 보였다. 빗각으로 zigzag 구조의 다층 TiN 박막을 코팅하는 공정에서 최상층이 코팅될 때 기판 홀더에 전압을 인가해서 기판에 수직한 코팅층을 형성하면 가장 높은 경도 증가를 보였다. 본 연구에서 얻어진 결과를 이용하여 다양한 형태의 박막 구조 제어가 가능하고 이러한 미세구조 제어를 통해서 박막의 물성도 제어가 가능할 것으로 판단된다. -
Amorphous In-Ga-Zn-O (a-IGZO)는 광학적으로 투명하고 높은 전자이동도를 가지고 있어서 차세대 thin-film-transistor의 channel layer 물질로 각광받고 있다. 이러한 a-IGZO를 TFT channel layer로 사용하기 위해서는 소스 드레인 전극물질과 IGZO박막의 계면에서 ohmic contact을 만드는 것도 중요하다. 하지만 산화물 반도체의 특성상 금속물질을 증착시킬 때 산화금속계면을 형성하기 때문에 ohmic contact이 형성되기 어려운 것으로 알려져 있다. Au는 보통 전극물질로 많이 사용되는데, 이는 전기전도도가 매우 높고, 독특한 산화환원반응 특성을 보이지만, 화학반응을 잘 일으키지 않는 안정성을 가지는 성질에 기인한다. 본 연구진은 Au가 a-IGZO에 증착 시에 일어나는 표면의 화학적 상태변화를 이해하기 위해 방사광을 이용한 고분해능 광전자 분광법을 이용하여 표면변화를 분석하였다. Au는 (Au 4f) 증착 초기엔 약간의 gold oxide가 함께 형성되지만, 주로 metal gold의 형태로 존재하였다. In 3d, Ga 3d, O 1s, Zn 3d 각각의 스펙트럼에서는 Au 증착으로 인해 낮은 결합에너지에 새로운 state가 나타났다. 한편, In은 상대적으로 다른 원소들에 비해 Au와 좀 더 결합을 잘 하는 것으로 나타났는데 이는, In 5s 전자궤도가 전도메커니즘에서 중요한 역할을 하기 때문에, In-Au의 상대적인 강한 결합은 a-IGZO의 전기적 특성 변화에 기여할 수 있음을 의미한다.
-
본 연구에서는 아크 소스를 이용하여 TiAlN을 코팅하였으며 공정 변수 중 질소 유량에 다른 TiAlN 박막의 물성 변화를 관찰하였다. TiAlN은 고경도 난삭재의 고능률 절삭 분야에 사용되어 공구의 수명을 향상하기 위한 표면처리 소재로 각광을 받고 있다. TiAlN 박막은 아크 소스에 장착된 TiAlN 타겟(Ti-50 at %Al)을 사용하여 스테인리스 강판 위에 코팅 하였으며 이 때 기판과 타겟 간의 거리는 약 30 cm이었다. 기판을 진공용기에 장착하고
${\sim}10^{-6}$ torr까지 진공배기를 실시한 후 아르곤 가스를 진공용기 내로 공급하여 공정 압력인$7{\times}10^{-4}$ torr로 제어한다. 공정 압력에서 아크 소스에 약 70 A의 전류를 인가하여 아크를 발생시키고 기판 홀더에 약 -400 V의 직류전압을 인가하여 약 5분간 청정을 실시하였다. 기판의 청정이 끝나면 기판에 인가된 전압을 차단하고 질소 가스를 진공용기에 공급하여 TiAlN을 코팅하였다. 질소 유량이 30 sccm일 경우 TiAlN 박막의 경도가 약 2510 Hv로 가장 높았으며, 질소의 유량이 40 sccm 이상으로 증가할 경우 TiAlN 박막의 경도는 1500 Hv로 주목할 만한 변화는 없었다. 질소 유량이 증가하면 TiAlN 박막의 색상은 회색에서 어두운 보라색으로 변화하였고 주사전자현미경 분석을 통해서 거대 입자(macro particle)가 감소하는 경향을 확인할 수 있었으며 이는 질소 유량이 증가할수록 TiAlN 박막의 표면조도 또한 증가하는 분석결과와 일치하였다. X-선 회절 분석을 통해 질소 유량이 30 sccm 이상에서 박막의 질화가 일어나고 2500 Hv 이상의 경도를 가지는 최적 조건임을 확인하였으며, 이는 절삭 공구 등과 같이 고경도 유지를 위한 코팅 분야에 적용이 가능할 것으로 판단된다. 본 연구에서 얻어진 결과를 바탕으로 질소 유량 외에 다른 공정 조건을 변화시켜 TiAlN 코팅을 실시한다면 다양한 색상 구현, 고경도, 내마모성 등 TiAlN 박막의 기능성을 향상할 수 있을 것으로 예상된다. -
X-선 반사율 측정법(XRR)은 비파괴적인 측정방법으로 수 nm의 두께를 정밀하게 측정할 수 있는 장점으로 반도체 산업현장에서 많은 관심과 연구가 이루어지고 있다. 이러한 XRR의 두께 측정 정밀도를 향상시키고 부정확한 결과를 방지하기 위하여 측정기기를 검증하고 보정할 수 있는 두께 표준물질을 필요로 하고 있다. 본 연구에서는 IBSD (ion beam sputtering deposition)와 ALD (atomic layer deposition)를 이용하여 5 nm, 10 nm의
$HfO_2$ 박막을 제작하고, XRR용 두께 표준물질로 응용할 수 있는지를 살펴보았다. 먼저 두께표준물질로 제작하기 위해서는 박막과 기판이 안정한 상태를 유지해야 한다. 이에 박막은 공기 중 노출에 의한 산화로 박막의 두께가 변할 수 있는 금속박막 대신에 공기 중에서도 안정한 산화물 박막인$HfO_2$ 박막을 사용하고 기판은 Si wafer를 thermal공기 중에서도 안정한 산화물 박막인$HfO_2$ 박막을 사용하고 기판은 Si wafer를 therma oxidation법을 이용하여$1{\mu}m$ 두께로 제작한 비정질$SiO_2$ 기판을 사용했다. 제작된 시료의 특성평가를 위해 XRR (X-ray reflectometer) 측정을 통해 두께, 거칠기 및 밀도를 확인하였고, TEM (transmission electron microscope)으로 두께 측정을 하여 XRR로 얻은 두께결과와 비교하였다. 측정결과를 확인하였을 때 두 증착 방법 중 ALD를 이용하여 제작한 시편에서는 박막과 기판사이의 interface가 sharp하여 반사율 곡선의 진폭이 크게 잘 나타났고 fitting 결과도 우수하여 IBSD로 증착한 시편보다 두께 표준물질로 응용하기에 더 적합하였다. -
III-V 화합물 태양전지는 실리콘 등 다른 태양전지에 비해 1sun상 30% 이상의 고효율을 갖고 있고 direct bandgap과 높은 이동도 등의 물질특성과 3족과 5족의 비율 조절로 같은 결정구조에서 에너지 bandgap이 다른 물질들을 만들기에 용이하여 태양전지 스펙트럼의 넓은 영역을 흡수할 수 있는 장점이 있다. 그러나 셀 자체의 물질이 실리콘에 비하여 고가여서 고성능이 요구되는 우주 인공위성 등에 적용이 되었지만, 2000년대 이후로 집광에 적용 가능한 태양전지의 연구를 거듭하여 2005년부터는 값싼 프레넬 렌즈를 이용하여 1 sun에 비해 500배 해당하는 빛을 셀에 집광하여 보다 효율을 증가시킴으로써 지상발전용에도 적용 가능한 셀을 형성하게 되었다. 더불어 태양전지의 효율을 증가시키기 위한 다양한 구조적 변화의 시도도 많이 이루어지고 있다. 최근 실리콘 태양전지의 표면에 texture 구조를 주어 높은 흡수율과 낮은 반사율을 갖게 함으로써 효율을 증가시키는 사례가 많아지고, III-V 화합물 태양전지도 texturing에 의해 증가된 효율을 발표한바 있다. 본 연구에서는 III-V 화합물 InGaP 태양전지의 window층으로 사용되는 InAlP 층에 Metal-assisted chemical etching (mac etching) 방법으로 texture 구조를 형성하여 etching 시간에 따른 InAlP층의 표면 변화와 반사율의 변화를 분석하였다.
-
빗각 증착은 입사 증기가 기판과 평행하게 입사하지 않고 기울여져 입사하는 코팅 방법으로 박막의 조직을 다양한 형태로 제어할 수 있다. 사전 연구결과에서 빗각으로 코팅된 알루미늄(Al) 박막의 경우 빗각으로 코팅되지 않은 Al 박막보다 반사율, 표면조도, 내식성이 향상되는 결과를 얻었다. 본 연구에서는 빗각 증착과 Al 박막의 Si 함유량이 반사율, 내열, 내식성에 미치는 영향을 비교 분석하였다. 마그네트론 스퍼터링으로 Al과 Al-Si 합금(Al-3 wt%Si, Al-10wt%Si)을 코팅하였다. 기판은 실리콘 웨이퍼와 염수분무시험을 위해 냉간압연강판을 사용하였으며 기판은 진공용기에 장착하기 전 알코올과 아세톤으로 초음파 세척 후 진공용기에서 글로우 방전을 이용하여 청정을 실시하였다. 기판 청정이 끝나면 기판을
$0^{\circ}$ ,$30^{\circ}$ ,$45^{\circ}$ ,$60^{\circ}$ 의 다양한 빗각으로 고정시켜 다층의 Al과 Al-Si 합금 박막을 코팅하였다. 박막의 조직을 관찰하기 위해 전자현미경을 사용하였으며 Al과 Al-Si 박막이 코팅된 냉간압연강판의 부식 특성을 평가하기 위해서 염수분무시험을 실시하였다. 박막의 치밀도 측정을 위해 Ferroxyl 시험을 실시하여 철과 Ferroxyl 용액이 반응하여 발생하는 파란 반점으로 기공도를 평가하였다. 박막의 내열성 평가를 위해서 대기 전기로를 이용하여$450^{\circ}C$ ,$500^{\circ}C$ 에서 각각 4시간과 8시간 동안 열처리를 실시하여 시편 표면의 색상 변화를 분광광도계와 색차계로 관찰하였다. Al 박막의 Si 함량이 증가할수록 박막의 조직이 치밀해지고 내부식성이 향상되었다. Si이 10 wt% 함유된 박막은$500^{\circ}C$ 로 8시간 열처리한 후에도 열처리하지 않은 시편과 광택도 비교에서 변화가 크지 않았다. 빗각$30^{\circ}$ 에서 코팅한 Si 함량 10 wt%인 박막이 우수한 반사율을 보였으며 염수분무시험에서 216시간이 경과한 후에 적청이 발생하여 우수한 내부식성을 보였다. 따라서 코팅층의 우수한 내부식성과 내열성, 높은 반사율은 다양한 산업분야에 적용이 가능한 우수한 표면처리 소재를 확보할 수 있을 것이라 판단된다. -
사파이어 단결정은 LED 소자의 기판으로 널리 사용되고 있으며 현재 소재 수율을 향상시키기 위하여 6인치 이상의 대구경 웨이퍼를 만들기 위하여 많은 노력을 경주하고 있다. 단결정, 특히 반도체 단결정 웨이퍼에서(
$00{\cdot}1$ ), ($11{\cdot}0$ ) 등의 어떠한 결정학적인 방위(crystallographic orientation)가 표면과 이루는 각도, 즉 표면방위각(off-cut 또는 misorientation angle)의 크기와 방향은 제조된 LED 소자의 물성에 영향을 끼치므로 웨이퍼를 가공할 때 정확하게 컨트롤해야한다. 본 연구에서는 고분해능 X-선을 이용하여 표면이 결정학적 방향과 이루는 면방위각을 정밀하게 결정하는 측정법을 연구하였다. 본 연구에서는 기존의 ASTM 의 측정법과는 다른 원리를 이용하고 웨이퍼의 휨(bending)이나 측정고니오 회전축의 편심과 무관하게 표면방위각을 결정하는 새로운 이론적 모델을 제시하고 그 모델을 적용하여 표면의 수직축이 대구경 사파이어($00{\cdot}1$ ) 축과 이루는 표면방위각을 정확하게 측정 분석하였다. 그리고 이러한 측정방법의 장점을 이용하여 ASTM의 측정법과 면방위 측정 결과를 비교 분석 하였다. 150 mm 사파이어 웨이퍼를 ASTM의 방법으로 면방위를 측정하였을 때 고분해능 장비에서 회전축${\Phi}$ 의 기준을 다르게 설정함에 따라서 수직/수평 면방위 측정결과가 많은 차이를 보였다. 그러나 본 연구에서 사용한 측정법에서는 이러한 수직/수평 면방위의 값들이 거의 변화하지 않고 일정하게 나타나는 것을 확인 하였으며, 측정한150 mm 사파이어 웨이퍼의 표면방위각은$0.21^{\circ}$ 이고 표면각이 나타나는 방향은 웨이퍼의 primary edge 방향으로부터$1.2^{\circ}$ 벗어나 있는 방향이었다. -
In this study, we investigated that the resistance switching characteristics of Al-doped MgOx films with increasing Al doping concentration and increasing film thickness. The Al-doped MgOx based ReRAM devices with a TiN/Al-doped MgOx/Pt/Ti/SiO2 were fabricated on Si substrates. The 5 nm, 10 nm, and 15 nm thick Al-doped MgOx films were deposited by reactive dc magnetron co-sputtering at
$300^{\circ}C$ and oxygen partial ratio of 60% (Ar: 16 sccm, O2: 24 sccm). Micro-structure of Al-doped MgOx films and atomic concentration were investigated by XRD and XPS, respectively. The Al-doped MgOx films showed set/reset resistance switching behavior at various Al doping concentrations. The process voltage of forming/set is decreased and whereas the initial current level is increased with decreasing thickness of Al-doped MgOx films. Besides, the initial current of Al-doped MgOx films is increased with increasing Al doping concentration in MgOx films. The change of resistance switching behavior depending on doping concentration was discussed in terms of concentration of non-lattice oxygen of Al-doped MgOx. -
One of the critical issues in the growth of multijunction solar cell is the formation of a highly doped Esaki interband tunnel diode which interconnects unit cells of different energy band gap. Small electrical and optical losses are the requirements of such tunnel diodes [1]. To satisfy these requirements, tens of nanometer thick gallium arsenide (GaAs) can be a proper candidate due to its high carrier concentration in low energy band gap. To obtain highly doped GaAs in molecular beam epitaxy, the temperatures of Si Knudsen cell (K-cell) for n-type GaAs and Be K-cell for p-type GaAs were controlled during GaAs epitaxial growth, and the growth rate is set to 1.75 A/s. As a result, the doping concentration of p-type and n-type GaAs increased up to
$4.7{\times}10^{19}cm^{-3}$ and$6.2{\times}10^{18}cm^{-3}$ , respectively. However, the obtained n-type doping concentration is not sufficient to form a properly operating tunnel diode which requires a doping concentration close to$1.0{\times}10^{19}cm^{-3}$ [2]. To enhance the n-type doping concentration, n-doped GaAs samples were grown with a lower growth rate ranging from 0.318 to 1.123 A/s at a Si K-cell temperature of$1,180^{\circ}C$ . As shown in Fig. 1, the n-type doping concentration was increased to$7.7{\times}10^{18}cm^{-3}$ when the growth rate was decreased to 0.318 A/s. The p-type doping concentration also increased to$4.1{\times}10^{19}cm^{-3}$ with the decrease of growth rate to 0.318 A/s. Additionally, bulk resistance was also decreased in both the grown samples. However, a transmission line measurement performed on the n-type GaAs sample grown at the rate of 0.318 A/s showed an increased specific contact resistance of$6.62{\times}10^{-4}{\Omega}{\cdot}cm^{-2}$ . This high value of contact resistance is not suitable for forming contacts and interfaces. The increased resistance is attributed to the excessively incorporated dopant during low growth rate. Further studies need to be carried out to evaluate the effect of excess dopants on the operation of tunnel diode. -
최근 전자산업의 발달에 따른 전자제품의 소형화 및 고기능화 요구에 대응하기 위하여 저항(resistor), 커패시터(capacitor), IC (integrated circuit) 등의 수동소자를 개별 칩(discrete chip) 형태로 형성하여 기판의 표면에 실장하는 기술이 일반화되고 있다. 그러나, 수동 소자의 내장 기술은 기판의 패턴 밀도의 급격한 향상과 더불어 수동소자의 내장 공간도 협소해지는 문제점이 있다. 상기의 문제점을 해결하기 위해 개별 칩 형태의 내장형 저항체를 박막 형태의 내장 저항체를 구현하는 기술의 개발이 최근 주목을 받고 있다. 박막 저항체는 기존의 권선저항 및 후막저항과 비교하여 정밀한 온도저항계수를 가지며 이동통신에 적용시 고주파 영역(GHz)에서의 안정성과 주파수 특성이 좋다는 장점들을 가지고 있다. 박막 저항 물질로는 높은 경도와 우수한 열적 안정성을 가지고 있는 TaN (tantalum nitride)이 주로 사용되고 있다. 일반적으로, TaN 박막은 스퍼터링을 사용하며 제조되며 TaN 박막의 성질은 탄탈륨과 질소의 화학정량비, 박막의 결함 정도, 또는 공정압력 및 증착 온도, 플라즈마 파워 등과 같은 공정조건 등의 변화에 민감하게 변화하므로, TaN 박막의 다양한 연구가 더 필요한 실정이다. 본 연구에서는 반응성 마크네트론 스퍼터링을 사용하여 TaN 박막을 Si 기판 위에 증착하였고 TaN 박막의 원하는 특성을 제어할 수 있도록 질소 분압과 total gas volume을 조절하여 공정을 최적화하는 연구를 진행하였다. 또한 tensile pull-off 방법을 이용하여 TaN 박막의 부착강도를 평가하였고, 온도 사이클 및 고온고습 환경에 노출된 TaN 박막들의 열화 특성들에 대하여 연구하였다.
-
본 발표에서는 광학적 분석 시스템에 적용 가능한 발광소자(광원)과 수광소자(광센서)를 집적화시키는 모듈(수 발광 집적모듈) 기술을 제시하고자 한다. 이러한 수-발광 집적모듈은 다양한 응용 분야에 적용 될 수 있다. 예를 들어, 광신호 감지를 위한 광통신용 송-수신 모듈(optical communication), 의료/진단 분야에서 단백질/DNA/박테리아 등의 검출 및 분석에 관한 바이오 센서(bio-sensor), 그리고 대기(가스)/수질 모니터링에 관한 환경센서 등 매우 광범위한 분야에 해당되는 요소 기술이라 할 수 있다. 특히, 이들 분야들 중 바이오 물질을 분석하고 검출하는 광학적 바이오 센서 기술은 높은 경제적 가치와 산업적 성장 잠재력으로 인해 오랫동안 활발한 연구가 진행되어 오고 있다. 이러한 광학적 바이오 센서에서 가장 범용적인 방법 중 하나가 항온-항체 면역반응을 기반으로 하는 형광 검출(fluorescence detection) 기법이다. 이러한 시스템은 전체적으로 광원, 광학계, 그리고 센서로 구성되는데 기존에 일반적으로 사용되고 있는 형광 현미경의 경우는 민감도가 우수하다는 장점은 있으나 상당히 고가이고 부피가 크며 복잡한 광학구성으로 이루어져 있다는 한계점을 가지고 있다. 이러한 맥락에서 고민감도를 확보하면서 휴대성, 고속처리, 저가 등의 특성을 가진 시스템에 대한 요구가 갈수록 증가하고 있다. 이를 해결하기 위한 핵심기술 중의 하나가 수-발광 부분을 집적화 시키는 기술이라 할 수 있다. 본 연구에서는 바이오 센서 기술의 하나로서 형광을 측정하여 혈액내의 진단 지표인자를 검출할 수 있는 휴대용 혈액진단기기에 적용되는 소형 수 발광 집적 모듈을 개발하였다. 혈액내의 검출 성분의 양에 따라 형광의 세기가 변화하게 됨으로써 정량적인 검출이 가능한 원리이다. 모듈의 구조는 크게 광원(발광소자), 광학계, 그리고 광센서(수광소자) 세 영역으로 나누어 진다. 광원은 635 nm 적색 레이저다이오드로서 형광체(Alexa Fluor 647/발광파장: 668 nm)를 여기 시키는 기능을 하며 장착된 볼렌즈 의해 샘플의 형광체 영역으로 집광된다. 광학계는 크게 시준렌즈(collimating lens)와 광학필터로 구성됨으로써 샘플로부터 발생되는 광을 적절하게 수광소자로 전달하는 기능을 하게 된다. 여기서 광학필터의 경우는 기본적으로 Distributed Bragg's Reflector(DBR) 구조로써 실리콘(Si) 포토다이오드 상부에 모노리식(monolithic)하게 형성되며 검출 샘플로부터 진행되는 레이저 광(잡음의 주원인)은 차단하고 형광(광신호)만 통과 시키는 기능을 하게 된다. 따라서 신호 대 잡음비(S/N ratio)를 향상시키기 위해서는 정밀한 광 필터링 기능이 요구됨으로써 박막의 세밀한 공정 조건과 구조적-광학적 특성 분석이 수행되었다. 마지막으로 포토다이오드 소자는 일반적인 구조 이외에 중앙에 원형 구멍이 형성된 특별한 구조가 적용된다. 이것은 포토다이오드 구조에 변화를 줌으로써 모듈 구조를 효율적으로 응용할 수 있다는 의미를 갖는다. 또한 포토다이오드의 전기적-광학적 측정 분석을 통해 잡음 및 감도 특성이 세부적으로 조사되며 형광신호를 효과적으로 측정할 수 있음을 확인하였다. 최종적으로 제작된 모듈은 약
$1{\times}1{\times}1cm^3$ 내외 정도의 크기를 갖는다. 요약하자면 본 발표에서는 광학적 바이오센서에 적용할 수 있는 소형 수-발광 소자 집적모듈을 소개한다. 전체 모듈 설계는 최소한의 부피를 가짐과 동시에 측정의 정밀성을 향상시키는데 초점을 맞추어 진행하였다. 세부요소인 광학필터와 포트다이오드의 경우 잡음 및 민감도에 미치는 중요성 때문에 세밀한 공정 및 특성분석이 수행되었다. 결론적으로 독자적인 설계 및 공정을 통해 휴대성 및 정밀성 등의 목적에 부합한 경쟁력 있는 수-발광 소자 집적모듈 제작 기술을 확보하였다. -
Jang, Min-Ho;Go, Yeong-Ho;Go, Seok-Min;Yu, Yang-Seok;Kim, Jun-Yeon;Tak, Yeong-Jo;Park, Yeong-Su;Jo, Yong-Hun 313
In recent years there have been many studies of InGaN/GaN based light emitting diodes (LEDs) in order to progress the performance of luminescence. Many previous literatures showed the performance of LEDs by changing the LED structures and substrates. However, the studies carried out by the researchers so far were very complicated and sometimes difficult to apply in practice. Therefore, we propose one simple method of changing the thickness and the numbers of multiple quantum wells (MQWs) in order to optimize their effects. In our research, we investigated electrical and optical properties by changing the well thickness and the number of quantum well (QW) pair in LED structures by growing the structure -inch Si (111) wafer. We defined the samples from LED_1 to LED_3 according to MQW structure. Samples LED_1, LED_2 and LED_3 consist of 5-pair InGaN/GaN (3.5 nm/ 4.5 nm), 5-pair InGaN/GaN (3 nm/4.5 nm) and 7-pair InGaN/GaN (3.5 nm/4.5 nm), respectively. We characterized electrical and optical properties by using electroluminescence (EL) measurement. Also, Efficiency droop was analyzed by calculating external quantum efficiency (EQE) with varying injection current. The EL spectra of three samples show different emission wavelength peaks, FWHM and the blueshift of wavelength caused by screening the internal electric field because of the effect of different MQW structure. The results of optical properties show that the LED_2 sample reduce the internal electric field in QW than LED_1 from EL spectra. the increase in the number of QW pairs reduces the strain and increase the In composition in MQW. And, the points of efficiency droop's peak show different trend from LED_1 to LED_3. It is related with the carrier density in active region. Thus, from the results of experiments, we are able to achieve high performance LEDs and a reduction of efficiency droop and emission wavelength blueshift by optimizing MQWs structure. -
최근들어 반도체 및 디스플레이 소자의 구조가 복잡해짐에 따라 다층 박막 증착에 대한 중요성이 날로 증가하고 있다. 본 연구에서는 다층 박막을 효율적으로 증착하기 위해 회전이 가능한 육각건을 개발하였고, 이를 이용하여 에너지 절약형 단열 유리 증착 공정을 구현 하였다. 개발된 회전형 육각건은 기존 플래너형 스퍼터링 건의 확장형으로서 최대 6개의 물질을 하나의 챔버에서 증착이 가능하도록 구성되었다. 기존 공정의 경우 서로 다른 물질 증착을 위해서는 각각의 챔버가 필요한 반면, 회전형 육각건을 이용할 경우 하나의 챔버에서 공정을 진행할 수 있어 원가 절감이 가능하다. Fig. 1은 개발된 회전형 육각건의 모식도로서, 스퍼터링 타겟이 장착 가능한 건과, 회전부로 구성되어 있다. 이를 이용하여 투명전극-금속-투명전극-금속-절연체로 구성되어 있는 에너지 절약형 단열 유리용 다층 박막 증착 공정을 개발하였다. 이때 알루미늄이 도핑된 ZnO (AZO)는 RF 마그네트론 스퍼터로, 금속 박막은 DC 스퍼터,
$SiO_2$ 및 SiN과 같은 절연 박막은$O_2$ 와$N_2$ 분위기에서 반응성 RF 스퍼터로 각각 증착하였다. Base pressure는$10^{-7}$ torr였으며, 증착 시 공정 압력은 1~3 mTorr로 조정하였다. 증착 균일도 향상을 위해 20 rpm의 속도로 기판을 회전시켰다. Fig. 2(a)는 ZnO-Ag-ZnO 구조로 이루어진 다층 박막의 단면을 관찰한 투과전자 현미경 사진으로 각 층간의 계면이 뚜렷하게 나타남을 확인할 수 있으며, 각 층간의 intermixing 현상이 발생하지 않음을 확인 가능하다. 이를 보완하기 위해 Fig. 2(b)에서 보는 바와 같이 XPS를 이용하여 depth profile을 측정하였다. 각 층에서 서로 다른 물질이 발견되는 현상, 즉 교차 오염이 발생함에 따라 나타나는 intermixing 없이 거의 순수한 형태의 ZnO, Ag 박막 성분이 검출되었다. 이는 6개의 서로 다른 물질이 장착된 회전형 육각건을 이용하여 고 품질의 다층 박막 증착이 가능함을 제시하는 결과이다. 증착된 다층 박막의 균일도는 3.8%, 가시광선 영역에서 80% 이상의 투과도, 면저항 값은 3${\Omega}/{\Box}$ 이하를 보임으로서 에너지 절약형 단열 유리로서의 사양을 만족시키는 결과를 제시하였다. -
본 연구에서는 Si wafer에 마스크 공정 및 Slit-etching 공정을 적용하여 25 um 피치의 probe unit을 개발하기 위해 Deep Si Etching 장비를 이용하여 식각공정 조건에 따른 특성을 평가하였다. 25 um pitch는 etch 폭의 크기에 따라 3종류로 설계하였으며, 식각공정은 2수준, 4인자 실험계획법에 의해 8회 실험을 수행하였다. 실험계획법에 의해 미니탭을 활용하여 최적조건을 구한 결과 12.5 um etch 폭에서는 가스유량은 200 sccm, 에칭시간 7 sec, 코일 파워 1500W, 에칭 압력은 43.7 mtorr의 조건이 etch 형태 및 profile angle이 목표치에 근접한 결과를 얻었다. 또한 probe pitch를 30~60 um까지 증가시켰을 경우 Etch depth는 증가하였으며, 식각율 또한 증가한 현상을 보였다. 재현성 실험을 위해 위의 최적조건을 이용하여 2회 반복하여 실험한 경우 모든 시편이 목표치에 도달하였다. 이는 미세피치화 되는 프로브 유닛의 기초데이터로 활용될 수 있다.
-
사파이어는 우수한 광학적, 물리적, 화학적 특성을 가지고 있는 물질 중의 하나이며, 청색 발광특성을 나타내는 GaN와 격자상수, 열팽창 계수가 가장 유사할 뿐만 아니라 가격도 상대적으로 저렴하여 GaN 성장을 위한 기판으로 사용된다. 실제로 사파이어는 프로젝터와 전자파장치, 군사용 장비 등 다양한 분야에 응용되고 있으며, 발광 다이오드(LED)를 위한 기판으로 활용됨으로써 그 수요가 급격히 증가하고 있다. 그러나 사파이어 결정의 성장 중에 생길 수 있는 전위(dislocation)와 적층결함(stacking fault) 등의 결정 결함들은 결정 내에 존재하여 역학적, 전기적 성질에 큰 영향을 미칠 수 있다. 특히 사파이어가 청색 발광소자의 기판으로 사용되는 경우, 사파이어 기판 내부의 결정 결함은 증착되는 박막 특성에 영향을 미치게 된다. 따라서 사파이어의 보다 나은 응용을 위해서는 결함의 형성 메커니즘과 결정 결함의 평가기술 등에 대한 이해가 필요하고, 특히 결함의 정량적 평가 기술의 개발은 사파이어의 상용화에 중요한 핵심요소 중 하나이다. 결정 내 결함이 위치하는 부분은 분자나 원자간의 결합이 약하거나 높은 에너지 상태이므로, 결정의 표면을 적절한 산이나 염기 등을 이용하여 에칭하면 에칭반응은 결정의 전위 위치에 해당하는 부분부터 일어나 결정의 표면에 에치핏을 형성한다. 따라서 결정 표면에 나타나는 에치핏의 개수를 관찰하면 결정의 전위 밀도 파악이 비교적 간단하고, 에칭반응의 이러한 특징은 전위의 정량적 평가에 이용이 가능하다. 본 연구는 4인치 사파이어 조각기판을 수산화칼륨(KOH)으로 습식에칭 후 표면에 나타나는 에치핏의 형성거동과 이의 시간 및 온도 의존성에 관한 연구를 진행하였다. 또한 단결정의 전위밀도를 예측하기 위해 사파이어 조각시편의 단위면적당 에치핏의 개수를 파악하여 에치핏밀도(EPD, etch pid density)를 계산하였고, 값의 불확도(uncertainty)를 계산하여 전위밀도의 신뢰도를 평가하였다. 그 결과, 사파이어 조각시편의 에치핏밀도는 단위면적(
$cm^2$ )당 약${\sim}10^2$ 개로 확인되었고, 이 값은 약 2%의 상대불확도를 가지는 것으로 나타났다. -
A graphene layer is most important materials in resent year to enhance the electrical properties of semiconductor device due to high mobility, flexibility, strong mechanical resistance and transparency[1,2]. The resistance switching memory with the graphene layer have been reported for next generation nonvolatile memory device[3,4]. Also, the graphene layer is able to improve the electrical properties of memory device because of the high mobility and current density. In this study, the resistance switching memory device with metal-oxide nano-particles embedded in polyimide layer on the graphene mono-layer were fabricated. At first, the graphene layer was deposited
$SiO_2$ /Si substrate by using chemical vapor deposition. Then, a biphenyl-tetracarboxylic dianhydride-phenylene diamine poly-amic-acid was spin coated on the deposited metal layer on the graphene mono-layer. Then the samples were cured at$400^{\circ}C$ for 1 hour in$N_2$ atmosphere after drying at$135^{\circ}C$ for 30 min through rapid thermal annealing. The deposition of aluminum layer with thickness of 200 nm was done by a thermal evaporator. The electrical properties of device were measured at room temperature using an HP4156a precision semiconductor parameter analyzer and an Agilent 81101A pulse generator. We will discuss the switching mechanism of memory device with metal-oxide nano-particles on the graphene mono-layer. -
Large aperture optical modulator called optical shutter is a key component to realize time-of-flight (TOF) based three dimensional (3D) imaging systems [1-2]. The transmission type electro-absorption modulator (EAM) is a prime candidate for 3D imaging systems due to its advantages such as small size, high modulation performance [3], and ease of forming two dimensional (2D) array over large area [4]. In order to use the EAM for 3D imaging systems, it is crucial to remove GaAs substrate over large area so as to obtain high uniformity modulation performance at 850 nm. In this study, we propose and experimentally demonstrate techniques for backside etching of GaAs substrate over a large area having high uniformity. Various methods such as lapping and polishing, dry etching for anisotropic etching, and wet etching ([20%] C6H8O7 : H2O2 = 5:1) for high selectivity backside etching [5] are employed. A high transmittance of 80% over the large aperture area (
$5{\times}5mm^2$ ) can be obtained with good uniformity through optimized backside etching method. These results reveal that the proposed methods for backside etching can etch the substrate over a large area with high uniformity, and the EAM fabricated by using backside etching method is an excellent candidate as optical shutter for 3D imaging systems. -
유기 발광 다이오우드는(OLEDs) 자체 발광 소자로써 높은 시야각, 높은 효율, 그리고 빠른 응답속도 등의 장점을 가지고 있어 차세대 디스플레이 및 조명 소자로서 많은 연구가 진행되고 있다. 특히 유기 발광 다이오우드는 차세대 반도체 조명 소자로서 조명의 패러다임을 바꿀 수 있는 기술로 인식되고 있다. 하지만, 유기 발광 다이오우드 조명의 상용화를 위해서는 가격 경쟁력을 갖추는 것이 시급하며, 이를 위해 저가 공정 개발이 필요하다. 본 연구에서는 유기발광 다이오우드 조명 제작에 필수적인 전면 전극 및 절연막 증착 공정을 기존의 노광 공정이 아닌 shadow mask 기술을 적용하여 형성하였다. 먼저 유리 기판 상에 150 nm 두께의 ITO 막을 shadow mask를 이용하여 증착하였다. 기존 공정에서는 노광 및 식각 공정을 이용하여 증착하는 것이 일반적이며, 광학적, 전기적 특성 또한 타 공정 방법에 비해 우수하다. 하지만 일련의 복잡한 공정으로 인해 제조 원가를 상승 시키는 단점이 있다. Fig. 1은 shadow mask를 이용하여 ITO를 증착을 수행한 공정의 모식도이다. ITO 박막 증착 후 표면 거칠기 제어 및 면저항 제어를 위해 O2 plasma 처리와 RTA 공정을 추가 수행하였다. Fig. 2(a)는 플라즈마 처리 및 열처리 공정 수행 후에 측정한 표면 AFM 사진이다. 열처리 및 플라즈마 처리 후에 ITO 박막의 표면 거칠기는 10배 이상 향상되었으며, 이는 유기 발광 다이오우드 조명 소자의 전면 투명 전극으로 사용되기에 적합한 값이다. 또한 전기적 특성 중 하나인 면저항 값은 열처리 및 플라즈마 처리 전/후의 값에서 많은 차이를 보인다. 표면 거칠기가 향상됨에 따라 면저항 값 역시 향상되는 결과를 보여주는데, 표면 처리전후의 면저항 값은 각각 28.17, 13.18
${\Omega}/{\Box}$ 이다. 일반적으로 유기 발광 다이오우드의 전면 투명 전극으로 사용되기 위해서는 15${\Omega}/{\Box}$ 이하의 면저항 값이 필요한데, 표면 처리 후의 면저항값들은 이로한 조건을 만족한다. Fig. 3은 shadow mask 기술을 이용하여 절연막까지 형성한 유기 발광 다이오우드 소자의 전자 현미경 사진으로, 기존의 공정을 이용한 경우와 큰 차이는 없으며, 다만 shadow tail이 약$30{\mu}m$ 정도 발생함을 확인할 수 있다. 절연막의 특성 평가 기준인 누설 전류 밀도는$10-5A/cm^2$ 으로 기존의 공정을 이용한 경우에 비해 95% 수준으로서 shadow mask를 이용한 공정이 기존의 노광 및 식각 공정을 이용한 경우에 비해 공정 수는 9개가 단축됨에도 불구하고, 각 증착 박막의 특성에는 큰 차이가 없음을 알 수 있다. -
반도체 소자의 미세화가 진행됨에 따라 고품질의 절연막, 즉 낮은 두께에서 높은 밀도와 낮은 누설 전류를 필요로 하게 되었다. 이를 위해 기존의 화학 기상 증착법을 이용한 절연막 증착의 공정 압력을 낮추어 1 Pa 이하의 공정 압력에서 절연막 증착 공정이 필요로 하게 되었다. 본 연구에서는 화학 기상 증착법을 이용하여 최저 0.1 mtorr의 극 저압에서 SiO2 절연막증착 공정을 구현하였고, 증착된 박막의 특성을 평가하였다. Fig. 1은 공정 압력의 변화에 따른 화학 기상 증착 장비의 플라즈마 상태를 나타낸 결과이다. 1.5 mtorr의 공정 압력 까지는 플라즈마의 상태가 균일하게 나타나지만, 그 이하의 압력에서는 플라즈마 균일도가 떨어지는 결과가 나타났다. 이는 기존의 플라즈마 공정을 이용하여 절연막 증착 공정이 어려움을 제시하는 결과이며, 이의 해결을 위해 새로운 형태의 플라즈마 장치가 필요함을 시사한다. Fig. 2는 각각의 공정 압력에 다른
$SiO_2$ 박막의 증착 결과를 AFM을 이용하여 측정한 결과이다. 박막의 표면 거칠기 값은 0.9 mTorr까지는 3 nm 수준이며, 0.1 mTorr에서는 0.4 nm로 측정되었다. 플라즈마 상태가 균일하지 않은 0.1 mTorr에서도 비교적 균일한 박막을 얻을 수 있었으나, 높은 공정 업력에 비해 전체적인 균일도도 낮은 결과이며, 이는 플라즈마 상태를 보완함으로서 해결 가능하다. 측정된 박막의 밀도는 2.311~2.59 g/$cm^3$ 의 수준으로 벌크 상태의 밀도 값에 근접한 결과를 얻었으며 이는 저압에서 증착한$SiO_2$ 박막의 품질이 높음을 시사한다. 절연막의 증요한 특성 중 하나인 누설 전류 값은 MIM 구조를 이용하여 측정하였다. 측정된 누설 전류 값은 10~12 A 수준으로 기존 반도체 소자 공정에 적용 가능한 수준이다. 고 품질의 절연체 박막 증착을 위해서는 플라즈마 구조를 보완할 필요가 있으며, 이를 이용하면 반도체 소자 제작에 요구되어 지는 절연막 증착이 가능할 것으로 예상된다. -
ZnO와 GaN는 비슷한 특성을 가지고 있다. 즉, 상온에서 ZnO의 밴드갭은 3.36 eV이며 GaN은 3.39 eV이고, 두 물질 모두 Wurzite 구조이며, 격자상수 또한 비슷하다. 밴드갭 에너지가 매우 큰 GaN와 ZnO는 청색 또는 자외선 영역의 발광 또는 수광 소자의 응용성을 가지고 있다. 특히, ZnO는 exciton binding energy가 상온에서 60 meV로 매우 큰 편이기 때문에 상온에서 발광소자로서 안정성을 보장할 수 있어서 발광소자나 광측정 장치 등에 응용이 기대되고 있다. 이러한 장점에도 불구하고 n-ZnO/p-GaN 이종접합 구조에 대한 연구가 아직까지 미미한 상태이다. 본 연구에서는 UHV 스퍼터링 장치로 상온에서 형성한 n-ZnO/p-GaN 이종접합 다이오드 구조에 대한 전기적 및 광학적 물성을 분석하였다. 먼저 p형 GaN 기판 위에 ZnO 박막을 증착한 후에, ZnO 박막의 결정성을 개선시키기 위해 rapid thermal annealing 시스템을 이용하여400, 500,
$600^{\circ}C$ 에서 각각 1분 동안 후 열처리를 실시하였다. 이때$600^{\circ}C$ 에서 후 열처리한 ZnO박막은$5{\times}10^{16}cm^{-3}$ 인 n형으로 나타났다. n-ZnO/p-GaN 이종접합 다이오드구조에 대한 I-V 및 photoluminescence 측정 등을 통해 전기적 및 광학적 특성을 분석하였다. -
의료분야의 진단 방사선 장비는 초기의 필름방식 및 카세트에서 진보되어 현재는 디지털방식의 DR (Digital Radiography)이 널리 사용되며 이에 관한 연구개발이 활발히 진행 되고 있다. DR은 일반적으로 직접방식과 간접방식으로 나눌 수 있다. 직접방식의 원리는 X선을 흡수하면 전기적 신호를 발생 시키는 광도전체(Photoconductor)를 사용하여 광도전체 양단 전극에 전압을 인가하여 전기장을 유도한 가운데, X선을 조사하면 광도전체 내부에서 전자-전공쌍(Electron-hole pair)이 생성된다. 이것은 양단에 유도된 전기장의 영향으로 전자는 +극으로, 전공은 -극으로 이동하여 아래에 위치한 하부기판을 통하여 이미지로 변조된다. 간접방식은 X선을 흡수하면 가시광선으로 전환하는 형광체(Scintillator)를 사용하여 조사된 X선을 형광체에서 가시광선으로 전환하고, 이를 Photodiode와 같은 광변환소자로 전기적 신호로 변환하여 방사선을 검출하는 방식을 말한다. 본 연구에서는 직접방식에서 이용되는 광도전체 중 흡수효율이 높고 Mobility가 뛰어난 CdTe를 선정하여 PVD (Physical vapor deposition)방식으로 300 m의 두께를 목표로 하여 증착을 진행하였다. Chamber의 진공도가
$2.5{\times}10^{-2}$ Torr로 도달 시점부터, Substrate와 Boat에 열을 가하였다. Substrate온도는$350^{\circ}C$ , Boat온도는$300^{\circ}C$ 도로 설정하여 11시간 동안 진행하였다. Substrate온도는$303^{\circ}C$ , Boat온도는$297^{\circ}C$ 도부터 증착이 시작되어 선형적인 증가세 추이를 나타내어 Substrate 및 Boat온도가 설정 값에 도달 하였을 때,$25{\sim}34.4{\AA}/s$ 증착율을 나타내었다. 하부전극의 물질에 따른 CdTe증착 효율성 평가를 진행한 후, 그에 따른 전기적 특성을 알아보았다. 하부전극의 물질로는 ITO (Indium Tin Oxide), Parylene이 코팅 된 ITO, Au, Ag를 사용하였다. 하부전극의 물질 상단에 Thermal Evaporation System을 사용하여 CdTe를 증착한 후, Cdte 상단에 Au를 증착 시켜 민감도(Sensitivity)와 암전류(Dark current)를 측정하였다. 증착 결과 ITO와 Ag상단에 증착시킨 CdTe박막은 박리가 되었고, Au와 Parylene이 코팅 된 ITO에는 CdTe박막이 안정적이게 형성이 되었다. 이 두 샘플에 대하여 동일한 조건으로 민감도와 암전류를 측정 시, Parylene이 코팅된 ITO를 하부전극으로 사용한 CdTe박막은 0.1021 pA/$cm^2$ 의 암전류와 1.027 pC/$cm^2$ 의 민감도를 나타낸 반면, Au를 하부전극으로 사용한 CdTe박막은 0.0381 pA/$cm^2$ 의 암전류와 1.214 pC/$cm^2$ 의 민감도를 나타내어 Parylene이 코팅된 ITO보다 우수한 전기적 특성을 나타내었다. 따라서 Au는 CdTe박막 증착 시, 하부전극 기판으로서 뛰어난 특성을 나타내는 것을 알 수 있었다. -
Mobile 기기로 둘러싸여있는 현대의 환경에서 Flash memory에 대한 중요성은 날로 더해가고 있다. Flash memory의 가격 경쟁력 강화와 사용되는 기기의 소형화를 위해 flash memory의 비례축소가 중요한 문제로 부각되고 있다. 그러나 다결정 실리콘을 플로팅 게이트로 이용하는planar flash memory 소자의 경우 비례 축소 시 short channel effect 와 leakage current, subthreshold swing의 증가로 인한 성능저하와 같은 문제들로 인해 한계에 다다르고 있다. 이를 해결하기 위해 CTF 메모리 소자, nanowire FET, FinFET과 같은 새로운 구조를 가지는 메모리소자에 대한 연구가 활발히 진행되고 있다. 본 연구에서는 22 nm 게이트 크기의 FinFET 구조를 가지는 플래시 메모리소자에서 fin의 두께와 높이의 변화에 따른 메모리 소자의 전기적 특성을 3-dimensional 구조에서 technology computer aided design ( TCAD ) tool을 이용하여 시뮬레이션 하였다. 본 연구에서는 3D FinFET 구조를 가진 플래시 메모리에 대한 시뮬레이션 하였다. FinFET 구조에서 채널영역은 planar 구조와 다르게 표면층이 multi-orientation을 가지므로 본 계산에서는 multi-orientation Lombardi mobility model을 이용하여 계산하였다. 계산에 사용된 FinFET flash memory 구조는 substrate의 도핑농도는
$1{\times}10^{18}$ 로 하였으며 source, drain, gate의 도핑농도는$1{\times}10^{20}$ 으로 설정하여 계산하였다. Fin 높이는 28 nm로 고정한 상태에서 fin의 두께는 12 nm부터 28nm까지 6단계로 나누어서 각 구조에 대한 프로그램 특성과 전기적 특성을 관찰 하였다. 계산결과 FinFET 구조의 fin 두께가 두꺼워 질수록 채널형성이 늦어져 threshold voltage 값이 커지게 되고 subthreshold swing 값 또한 증가하여 전기적 특성이 나빠짐을 확인하였다. 각 구조에서의 전기장과 전기적 위치에너지의 분포가 fin의 두께에 따라 달라지므로써 이로 인해 프로그램 특성과 전기적 특성이 변화함을 확인하였다. -
일반적으로 투명전극 재료로서 이용되는 Indium Tin Oxide (ITO)는 높은 전기전도도에도 불구하고, 가시광선 영역에서 높은 광학적 투과도를 지니고 있다. 즉, 비저항이
$10^{-3}{\Omega}/cm$ 보다 작으면서, 380 nm에서 780 nm사이의 가시광선 영역에서 80%이상의 투과도를 가지는 우수한 transparent conducting oxide 물질로 인식되고 있다. 또한 이 물질은 가시광선 영역에서의 굴절률이 대략 2정도이기 때문에, 다른 반도체재료와 진공사이의 계면에서 발생하는 반사를 줄여, 태양광전지나 LED 등에 이용될 수 있는 무반사 코팅재로 이용될 수 있다. 이러한 이유로 현재 각 분야에서 ITO에 관한 연구가 활발히 진행되고 있다. 본 연구에서는 ITO에 대한 기초연구로서, 전자빔 증착법으로 박막을 증착시키는 동안 증착속도에 따른 박막의 물성변화를 조사하였다. 또한 수직으로 증착할 때와 Glancing Angle Deposition 방법을 이용하였을 때, 증착속도에 따른 박막의 물성변화를 비교 분석하고자 하였다. 여기서, 증착속도는$1{\AA}/s$ 에서$4{\AA}/s$ 범위로 변화를 주었고, 증착물질과 기판의 각도는$0^{\circ}$ ,$15^{\circ}$ ,$45^{\circ}$ ,$75^{\circ}$ 로 하였다. 먼저 수직으로 증착할 때, 증착속도의 변화에 따른 반사도, 투과도 및 굴절률과 증착단면의 구조를 비교하고, 다음으로 기판에 각도를 주어 박막을 증착하였을 때의 증착속도에 따른 박막의 광학적 및 구조적 물성의 변화를 측정하였다. -
InGaN/GaN 양자우물 LED소자의 내부양자효과 및 외부양자효과를 높이기 위해 많은 연구자들이 노력을 하고 있다. InGaN/GaN 양자우물 전광소자의 효율을 높이는 방법으로는 무분극 박막성장을 이용한 양자우물의 운반자 파동함수의 분리를 감소시키는 방법, 양자우물 위에 전자 차단층을 성장시키는 방법, 박막의 비발광 결함을 감소시키는 방법, 나노박막 또는 나노 입자를 이용한 표면 플라즈몬 효과를 이용하는 방법 등이 있다[1-3]. 본 연구에서는 은(Ag) 나노입자를 이용하여 InGaN/GaN 양자우물과 p-GaN 덮개층을 패턴에칭한 후, 그 위에 Ag 나노입자를 도포하여 표면 플라즈몬 효과를 이용한 InGaN/GaN 양자우물의 발광효율을 높이고자 하였다. c-면 방향의 사파이어에 유기화학금속증착법(MOCVD)으로 n-형 GaN를 2.0
${\mu}m$ 성장한 후 그 위에 InGaN/GaN 양자우물 5층을 성장하였다. 또한 전자 차단층으로 AlGaN를 7 nm 증착한 후, p-type GaN를 100 nm 성장하였다. p-type GaN를 패턴하기 위해 포토리소그래피 와 유도결합 플라즈마 에칭공정을 거쳐 선 패턴을 형성하였는데, 이 때 에칭된 p-GaN 깊이는 약 90 nm 이었다. 에칭한 패턴크기가 LED소자의 전기적 및 광학적 특성에 미치는 영향을 알아보기 위해 전류-전압 측정과 photoluminescence 측정을 하였다. 그 후 급속열처리방법을 이용한 Ag 나노입자 형성과 표면플라즈몬이 소자의 발광효율에 미치는 영향에 대해 조사하였다. -
프로그래밍 단자와 채널 단자가 게이트 산화 막으로 절연되는 플래시 스위치 및 SRAM 스위치와는 달리 상변화 스위치에서는 채널 단자를 통해 흐르는 전기신호가 프로그래밍 단자를 통해 그대로 누설되는 문제점이 발생한다. 이러한 문제점을 해결하기 위해서 지난 연구에서는 칼코겐화물로 구성되는 다층구조를 제안하고
$Ge_2Sb_2Te_5$ 의 특성을 보고하였다. 본 연구에서는 스퍼터링 방식을 이용하여 조성비가 다른 GeSbTe 박막을 증착하고 온도변화에 따른 면 저항 및 표면 형상 변화를 관찰한 후 이를 통해 다층구조에 적용하기에 적합한 조성비를 얻고자 하였다. GeSbTe 박막의 조성비에 따라 면 저항 및 표면형상이 크게 변화 하는 것을 확인 하였으며 이러한 결과로부터 프로그래머블 스위치에 적용되는 칼코겐화물 합금의 조성비는 스위치의 성능 좌우하는 중요한 파라미터임을 확인하였다. -
III-V 족 화합물 반도체 물질인
$InAs_xP_{1-x}$ 는 다양한 광전자 소자와 빠른 속도의 전자 소자로써의 사용 가능성으로 각광받고 있다. 이러한$InAs_xP_{1-x}$ 를 소자 제작에 이용하기 위해서는 임의의 As 함량에 따른 InAsP 물질의 정확한 광학적 특성 분석이 필요하다. 따라서 본 연구에서는 1.5~6.0 eV 에너지 구간에서$InAs_xP_{1-x}$ ($0{\leq}{\times}{\leq}1$ ) 화합물의 임의의 As 함량에 따른 유전함수를 보고하고자 한다. MBE (molecular beam epitaxy)를 이용하여 InP 기판 위에 성장시킨$InAs_xP_{1-x}$ (x = 0.000, 0.13, 0.40, 0.60, 0.80, 1.000) 박막을 타원편광분석법을 이용하여 측정하였고, 이 때 화학적 에칭을 통해 산화막 층을 제거하여 순수한 유전함수${\varepsilon}$ 을 얻을 수 있었다. 측정된 유전함수 분석은 parametric 모델을 이용하였으며, parametric 모델은 Gaussian-broadened polynomial들의 합으로서 반도체 물질의 유전함수를 정확히 기술하는 분석법이다. Parametric 모델을 통해 얻어진 각각의 변수들을 As 조성비 x에 대한 다항식으로 피팅하였고, 그 결과 임의의 조성비에 대한$InAs_xP_{1-x}$ ($0{\leq}{times}{\leq}1$ )의 유전함수를 얻어낼 수 있었다. 본 연구 결과는 물질의 실시간 성장 모니터링이나 다층구조 분석, 광소자의 제작 등에 유용한 정보로 이용될 수 있을 것이다. -
태양전지 기술은 우주 항공에서부터 핸드폰과 같은 소규모 가전 시설에까지 폭 넓게 사용되고 있다. 현재 태양전지 기술은 기존의 화석 에너지에 비해 효율 측면에서의 열세함으로 인해 변환 효율을 높이는 연구가 진행되고 있다. 태양전지의 기본 구조에서 고반사막을 대신하여 선택적 투과막을 채용하면 적외선 영역은 광흡수층으로 재반사시키고 가시광선 영역은 선택적으로 투과시킬 수 있기 때문에 태양전지의 변환 효율을 높임과 동시에 채광에 유리한 투명 태양전지를 얻을 수 있다. 본 연구에서는 반응성 분위기에서 AlTi 단일 타겟을 스퍼터링하여 유리 기판 위에 AlTiO 선택적 투과막을 형성하고 광학적 특성을 평가하였다. 기존의 연구에서 스퍼터링으로 형성한 AlTiO 선택적 투과막은 가시광선 영역에서 약 30%의 비교적 높은 반사율을 나타내었다. 이번 연구에서는 광학 두께를 조절함으로써 가시광선 영역에서 반사율이 평균 20% 이상 감소하고 적외선 영역에서 약 30% 이상의 반사율을 나타내는 선택적 투과막을 형성한 결과를 보고한다.
-
III-V 족 반도체 물질 중, GaN는 넓은 밴드갭을 가지고 있어 발광 다이오드나 레이저 다이오드, 트랜지스터, 스핀트로닉스 등의 응용에 유용한 물질이다 [1]. 실시간 성장 제어 및 최적화된 특정 소자 응용을 위해서는 GaN의 다양한 온도에 대한 유전율 함수 정보가 필수적이다. 편광분석법을 이용한 상온에서의 hexagonal GaN 유전율 함수는 이미 여러 연구에서 보고되었고, 80~650 K 사이의 온도 범위에 대한 언구도 수행되었다 [2,3]. 그러나, 온도변화에 대한 GaN 유전율 함수와
$E_0$ 전이점에 대한 해석은 부정확하다. 따라서 본 연구에서는 사파이어 기판 위에 분자살박막증착장치를 이용하여 c-축 방향 (0001)으로 성장 시킨 hexagonal GaN를 0.74~6.42 eV 에너지 구간에서 보다 확장된 온도 영역(26~693 K)의 유전율 함수를 편광분석법을 이용하여 측정하였다. 측정된 GaN의 유전율 함수를 회기분석법을 통한 2차 미분 표준해석법을 이용해 분석 하였고, 그 결과$E_0$ 와 excitonic$E_0$ 전이점을 명확히 얻을 수 있었다. 온도가 감소함에 따라 격자상수 및 전자-포논 상호작용이 감소하여 전자 전이점이 청색천이 하고, 그 구조가 명확해 지는 결과를 얻었다. 본 연구의 결과는 GaN 유전율 함수의 온도 의존성에 대한 데이터베이스를 제공함은 물론, 실시간 모니터링과 GaN를 기반으로 하는 광소자 제작 등에 유용할 것이다. -
수명 측정법과 동시 계수 도플러 넓어짐 양전자 소멸 분광법으로 p형과 n형 실리콘 시료에 0, 3.98 MeV 에너지를 가진
$0.0{\sim}20.0{\times}10^{13}$ protons/$cm^2$ 양성자 빔 조사에 의한 결함을 측정하여 실리콘 결함 특성에 대하여 조사하였다. 양전자와 전자의 쌍소멸로 발생하는 감마선 스펙트럼의 전자 밀도 에너지를 수리적 해석 방법인 S-변수와 열린 부피 결함에 대한 측정법으로서 양전자 수명${\tau}1$ 과${\tau}2$ , 이에 따른 밀도 I1과 I2를 사용하여, 시료의 구조 변화를 측정하였다. 본 연구에서 측정된 S-변수와 양전자 수명은 시료에 조사된 양성자의 빔 에너지에 따라 변화하기보다 양성자 조사량의 변화에 따라 결함이 증가하였으며, 양전자 수명 측정과 같은 경향을 보여준다. SRIM의 결과로써, 양성자 조사 에너지에 따른 Bragg 피크 때문에 양성자는 시료의 특정 깊이에 주로 결함을 형성하여 시료 전체에는 결함으로 잘 나타나지 않기 때문이다. 빔의 조사량에 따른 결함의 영향이 더 큰 것으로 나타났다. -
단일 결정의 Ge 박막은 0.67 eV의 작은 밴드갭을 가지고 있기에 장파장의 빛을 흡수하기 위한 목적으로 태양전지 분야에서 집중적인 연구가 진행되어지고 있다. 또한, Si에 비하여 높은 전하 이동도를 가지고 있기에 박막 트랜지스터로의 응용 연구들이 진행되고 있는 중이다. 전자 소자로써 큰 효과를 가지고 오기 위해서는 양질의 Ge 결정박막을 성장하여야 한다. 이를 위하여 다양한 공정 방법으로 Ge 박막의 결정성 향상에 대한 연구들을 진행하고 있다. 그중 본 연구에서는 ICP-assisted DC sputtering 방법을 이용하여 저온(
${\sim}230^{\circ}C$ ) Ge 박막 결정성장에 대한 연구를 진행하였다. Ge 박막을 유리기판(Eagle 2000) 위에 증착하였으며,$6{\times}10^{-6}$ Torr 이하의 기본 압력에서 공정을 진행하였다. 7 mTorr의 Ar 분위기에서 타겟에 인가되는 전압 및 전류를 변화 시키며 Ge 박막 증착에 미치는 영향에 대해서 연구를 진행하였다. 기본적인 DC sputtering 방법을 이용하여 박막을 증착하였을 경우 증착한 모든 샘플에서 결정성을 확인하였으며, 낮은 전압에서도 결정화가 일어나는 것을 확인 할 수 있었다. 또한 전압을 증가시켜도 결정화 정도가 일정하게 유지됨을 확인 할 수 있었다. 다만 이 경우에는 결정의 방향이 랜덤하게 형성되었으며, DC sputtering 방법을 이용하여 저온에서 공정을 진행하였기에 박막은 수십 nm의 columnar grain을 형성하였다. ICP를 이용한 DC sputtering 방법을 이용하여 박막을 증착 하였을 경우, 일정 전압 이하에서는 비정질의 Ge 박막이 균일하게 형성됨을 확인 할 수 있었으며, 이후 결정화 정도가 타겟에 인가되는 전압에 비례하여 증가하였다. 또한, 이때 증착된 Ge 박막은 단일 결정으로 형성되었음을 확인 할 수 있었다. 이는 박막 성장시 ICP에 의해서 생성된 Ar 이온이 표면으로 가속화됨으로 인하여 Ge 박막 표면에서 channeling 효과가 나타남으로 인하여 <110> 방향으로 결정이 정열된 것으로 보인다. -
사파이어 (
$Al_2O_3$ )는 높은 밴드갭 에너지 (~19.5 eV)를 가진 물질로서 우수한 내마모성, 강도, 전기 절연성 및 안정한 화학적 특성을 갖고 발광다이오드 기판, 보석재료 등 각종 산업 및 기술적 분야에서 널리 사용되고 있다. 특히, 플립칩 발광다이오드 구조의 경우 광추출효율을 향상시키기 위해 높은 투과도를 갖는 사파이어 기판이 요구되어 왔으며, 지금까지 건식/습식식각방법을 이용한 사파이어 표면에 마이크로 크기의 심한 거칠기 또는 요철이 형성된 나노크기의 격자구조를 형성시키는 연구가 진행되어 오고 있다. 그 중, 나노 크기의 격자구조는 공기에서 반도체 기판까지 선형적인 유효굴절률 분포를 갖기 때문에 표면에서 생기는 Fresnel 반사 손실을 줄일 수 있다. 이러한 구조를 형성하기 위해서는 식각 마스크가 필요한데, 형성 방법으로 레이저 간섭 리소그래피, 전자빔 리소그래피, 나노임프린트 리소그래피 등이 있으나, 비싼 가격과 복잡한 공정 절차 등의 단점을 지니고 있다. 따라서 본 연구에서는 식각 마스크 패턴을 위해, 보다 저렴하고 간단한 실리카 나노구 및 열적응집 금 나노 입자를 이용하였다. 양면 폴리싱 c-plane 사파이어 기판을 사용하였고, 단일 층의 주기적인 실리카 나노구를 기판 표면에 스핀코팅에 의해 도포한 후 유도결합플라즈마 식각 장비를 이용하여 식각하여 주기적인 패턴을 갖는 렌즈모양의 격자구조를 형성하였다. 그리고 주기적으로 형성된 격자 위에 열 증착기를 이용하여 금 박막을 증착한 후 급속열적어닐닝(rapid thermal annealing)을 이용하여 열처리함으로써 비주기적인 금 나노입자를 형성시켰다. 형성된 금 나노패턴을 이용하여 동일한 조건으로 식각함으로써 광대역 및 전방향성 높은 투과도를 갖는 원뿔 모양의 사파이어 나노구조를 제작하였다. 제작된 샘플의 패턴 및 식각 형상은 전자현미경을 사용하여 관찰하였으며, UV-vis-NIR 분광광도계 (spectrophotometer)를 사용하여 투과율을 측정하였다. 렌즈 모양 표면 위에 원뿔모양의 나노구조를 갖는 사파이어 기판은 일반적인 사파이어 기판보다 향상된 투과율 특성을 보였다. -
지난 몇 년 동안, 투명 비정질 산화물 반도체는 유기 발광 다이오드, 플렉서블 전자 소자, 솔라 셀, 바이오 센서 등 많은 응용분야에 연구되고 있다. 투명 비정질 산화물 반도체 그룹들 중, 특히 비정질 IGZO 박막 트랜지스터는 비정질 상태임에도 불구하고 높은 이동도와 낮은 동작 전압으로 훌륭한 소자 특성을 보인다. 이러한 고성능의 IGZO 박막 트랜지스터는 RF 마그네트론 스퍼터링이나 pulsed laser deposition과 같은 고진공 장비를 이용하여 이미 여러 그룹에서 제작되고 발표되었다. 하지만 진공 증착 시스템은 제조 비용의 절감이나 디스플레이 패널의 대면적화에 큰 걸림돌이 되고 있고, 이러한 문제점을 극복하기 위해서 용액 공정은 하나의 해결책이 될 수 있다. 용액 공정의 가장 큰 장점으로는 저온 공정이 가능하기 때문에 글라스나 플라스틱 기판에서 대면적으로 제작할 수 있고 진공 장비가 필요없기 때문에 제조 비용을 획기적으로 절감시킬 수 있다. 본 연구에서는 high-k 게이트 절연막과 IGZO 채널 층을 용액 공정을 이용하여 박막 트랜지스터를 제작하고 그에 따른 전기적 특성을 분석하였다. IGZO의 몰 비율은 In, Ga, Zn 순으로 각각 0.2 mol, 0.1 mol, 0.1 mol로 제작하였고, high-k 게이트 절연막으로는 Al2O3, HfO2, ZrO2을 제작하였다. 또한, 용액 공정 IGZO TFT를 제작하기 전, 용액 공정 high-k 게이트 절연막 캐패시터를 제작하여 그 특성을 분석하였다. 다양한 용액 공정 high-k 게이트 절연막 중, 용액공정 HfO2를 이용한 IGZO TFT는 228.3 [mV/dec]의 subthreshold swing, 18.5 [
$cm^2/V{\cdot}s$ ]의 유효 전계 이동도,$4.73{\times}106$ 의 온/오프 비율을 보여 매우 뛰어난 전기적 특성을 확인하였다. -
In this work, we investigated the effects of temperature stress on flatband voltage (VFB) shifts of HfO2-SiO2 double gate dielectrics devices. Fig. 1 shows a high frequency C-V of the device when a positive bias for 10 min and a subsequent negative bias for 10 min were applied at room temperature (300 K). Fig. 2 shows the corresponding plot when the same positive and negative biases were applied at a higher temperature (473.15 K). These measurements are based on the BTS (bias temperature stress) about mobile charge in the gate oxides. These results indicate that the positive bias stress makes no difference, whereas the negative bias stress produces a significant difference; that is, the VFB value increased from
${\Delta}0.51$ V (300 K, Fig. 1) to${\Delta}14.45$ V (473.15 K, Fig. 2). To explain these differences, we propose a mechanism on the basis of oxygen vacancy in HfO2. It is well-known that the oxygen vacancy in the p-type MOS-Cap is located within 1 eV below the bottom of the HfO2 conduction band (Fig. 3). In addition, this oxygen vacancy can easily trap the electron. When heated at 473.15 K, the electron is excited to a higher energy level from the original level (Fig. 4). As a result, the electron has sufficient energy to readily cross over the oxide barrier. The probability of trap about oxygen vacancy becomes very higher at 473.15 K, and therefore the VFB shift value becomes considerably larger. -
Resistance-change Random Access Memory (ReRAM), which utilizes electrochemical control of nanoscale quantities of metal in thin films of solid electrolyte, shows great promise as a future solid state memory. The technology utilizes the electrochemical formation and removal of metallic pathways in thin films of solid electrolyte. Key attributes are low voltage and current operation, excellent scalability, and a simple fabrication sequence. In this study, we investigated the nature of thin films formed by photo doping of Ag+ ions into chalcogenide materials for use in solid electrolyte of programmable metallization cell devices. We measured the I-V characteristics by field-effect of the device. The results imply that a Ag-rich phase separates owing to the reaction of Ag with free atoms from chalcogenide materials.
-
It has been known since the mid 1960s that Ag can be photodissolved in chalcogenide glasses to form materials with interesting technological properties. In the 40 years since, this effect has been used in diverse applications such as the fabrication of relief images in optical elements, micro photolithographic schemes, and for direct imaging by photoinduced Ag surface deposition. ReRAM, also known as conductive bridging RAM (CBRAM), is a resistive switching memory based on non-volatile formation and dissolution of a conductive filament in a solid electrolyte. Especially, Ag-doped chalcogenide glasses and thin films have become attractive materials for fundamental research of their structure, properties, and preparation. Ag-doped chalcogenide glasses have been used in the formation of solid electrolyte which is the active medium in ReRAM devices. In this paper, we investigated the nature of thin films formed by the photo-dissolution of Ag into Ge-Se glasses for use in ReRAM devices. These devices rely on ion transport in the film so produced to create electrically programmable resistance states. [1-3] We have demonstrated functionalities of Ag doped chalcogenide glasses based on their capabilities as solid electrolytes. Formation of such amorphous systems by the introduction of Ag+ ions photo-induced diffusion in thin chalcogenide films is considered. The influence of Ag+ ions is regarded in terms of diffusion kinetics and Ag saturation is related to the composition of the hosting material. Saturated Ag+ ions have been used in the formation of conductive filaments at the solid electrolyte which is the active medium in ReRAM devices. Following fabrication, the cell displays a metal-insulator-metal structure. We measured the I-V characteristics of a cell, similar results were obtained with different via sizes, due to the filamentary nature of resistance switching in ReRAM cell. As the voltage is swept from 0 V to a positive top electrode voltage, the device switches from a high resistive to a low resistive, or set. The low conducting, or reset, state can be restored by means of a negative voltage sweep where the switch-off of the device usually occurs.
-
최근 의료산업에서는 고해상도 및 동영상 구현이 가능한 직접 방식의 X-선 검측센서에서 X-ray 흡수효율이 좋은 반도체 센서(CdTe, CdZnTe 등)와 성숙된 기술, 집적효율이 뛰어난 CMOS 공정을 이용한 제품을 출시하여 대면적화 및 고집적화가 가능하게 되어 응용분야가 점차 확대되고 있는 추세이다. 하지만 이 역시 고 성능의 X-선 동영상 구현을 위해서는 고 해상도 문제, 검출효율 문제, 대면적화의 어려움이 있다. 기존의 X-선 광 도전층의 증착은 증착 속도와 박막 품질에서 우수한 Evaporation 법이 사용되고 있다. 한편, 대면적에 균일한 박막형성이 가능하기 때문에 양산성에서 우월성을 가지는 sputtering법의 경우, 밀도가 높은 소결체 타겟의 제조가 힘들뿐만 아니라 증착 속도가 낮아 장시간 증착 시 낮은 소결밀도로 인한 타겟 Particle 영향으로 인해서 대 면적에 고품질의 박막을 형성하기가 어렵다. 하지만 최근 소결체 타겟 제조기술 발달과 함께, 대면적화와 장시간 증착에 대한 어려움이 해결되고 있어 sputtering 법을 이용한 고품질 박막 제조 기술의 연구가 시급한 실정이다. 본 연구에서는
$50{\times}50$ mm 크기의 non-alkali 유리기판(Corning E2000) 위에 Evaporation과 RF magnetron sputtering을 사용하여 다양한 기판온도 (RT, 100, 200, 300,$350^{\circ}C$ )에서$1{\mu}m$ 의 두께로 CdTe 박막을 증착하였다. RF magnetron sputtering의 경우 CdTe 단일 타겟(50:50 at%)을 사용하였으며 Base pressure는 약$5{\times}10^{-6}$ Torr 이하까지 배기하였고, Working pressure는 약$7.5{\times}10^{-3}$ Torr에서 증착하였다. 시편과 기판 사이의 거리는 70 mm이며 RF 파워는 150 W로 유지하였다. CdTe 박막의 미세구조는 X-ray diffraction (XRD, BRUKER GADDS) 및 Field Emission Scanning Electron Microscopy (FE-SEM, Hitachi)를 사용하여 측정하였다. 또한, 조건별 박막의 조성은 Energy Dispersive X-ray Spectroscopy (EDS, Horiba, 7395-H)을 사용하여 평가하였다. X-선 동영상 장치의 구현을 위해서는 CdTe 다결정 박막의 높은 흡수효율, 전하수집효율 및 SNR (Signal to Noise Ratio) 등의 물성이 요구된다. 이러한 물성을 나타내기 위해서는 CdTe 박막의 높은 결정성이 중요하다. Evaporation과 RF magnetron sputtering로 제작된 CdTe 박막은 공정 온도가 증가함에 따라 기판상에 도달하는 스퍼터 원자의 에너지 증가로 인해서 결정립이 성장한 것을 확인할 수 있었다. 따라서 CdTe 박막이 직접변환방식 고감도 X-ray 검출기 광도 전층 역할을 수행할 수 있을 것으로 기대된다. -
최근 화석연료 대체 에너지원으로서 자동차용으로 연구 개발 및 응용되고 있는 고분자 전해질 연료전지(PEMFC: Proton exchange membrane fuel cells)에서 분리판(Bipolar Plate)은 스택 전체 무게의 80%, 스택 가격의 60% 정도로 가장 높은 비중을 차지한다. 분리판은 연료와 산화제를 공급해주는 통로 및 전지 운전 중에 생성된 물을 제거하는 통로 역할과 anode, cathode로서 전극 역할을 통해 스택 전력을 형성하는 핵심 기능과 전지와 전지 사이의 지지대 역할을 한다. 따라서 분리판은 전기전도성, 내부식성 및 기계적 특성이 우수해야함은 물론이고, 얇고 가벼우며 가공성이 뛰어나야 한다. 현재 가장 많이 사용되고 있는 금속 분리판 소재 중 스테인리스 스틸은 전기적, 기계적 특성 및 내부식성이 우수한 반면, 가격이 비싸고, 중량이 무거운 단점이 있다. 따라서 본 연구에서는 DC 반응성 마그네트론 스퍼터링법으로 전기적, 기계적 특성 및 내부 식성이 우수한 TiN, TiCN 박막을 스테인리스에 비해 중량이 1/3, 소재 단가가 1/4인 알루미늄 기판 위에 증착하여 박막 물성을 평가하였다. DC Power는 400 W, 기판과 타겟 사이의 거리는100 mm, 공정 압력은 0.5 Pa로 고정하였고, 3 inch의 지름과 순도 99.95%를 갖는 티타늄 타겟을 사용하였다. 공정 가스는 Ar을 주입하였으며, 질소와 탄소의 공급원으로는 질소(
$N_2$ )와 메탄($CH_4$ ) 가스를 사용하여 챔버 내 주입혼합가스의 전체 유량을 50 sccm으로 고정시켰다. 증착된 박막의 전기적, 기계적 특성을 측정하였고, X-ray diffraction (XRD), Scanning electron microscope (SEM)을 이용하여 박막의 미세구조 및 표면 상태를 확인하였다. 또한, 내부식 특성을 평가하기 위해 potentiostatic, potentiodynamic 법을 이용하여 박막의 부식저항을 측정하였다. 증착된 TiN 박막의 경우 질소 함량의 증가에 따라 박막 증착속도는 감소하는 경향을 보였다. 이는 타겟 부근의 질소 라디칼 비율이 증가함에 따라 질화반응이 촉진된 것으로 생각된다. 또한, 증착된 TiN과 TiCN 박막은 반응성 질소 유량과 탄소 유량에 따라 각각 다른 미세구조를 가지는 것을 확인하였다. TiN과 TiCN은 NaCl형의 면심입방격자(FCC)로 같은 구조이며, 격자상수가 비슷하여 전율고용되어 TiCN을 형성하고, 탄소와 질소의 비에 따라 전기적 기계적 특성이 달라짐을 확인하였다. -
휴대용 저장매체에서부터 solid state disk와 같은 고속 시스템 저장 매체 까지 플래시 메모리의 활용도가 급속도로 커지고 있다. 이에 플래시 메모리에 대한 연구 또한 활발히 진행 되고 있다. 현재 다결정 실리콘을 전하 주입 층으로 사용하는 기존의 플래시 메모리는 20 nm 급 까지 비례 축소되어 활용되고 있다. 하지만 20 nm 이하 크기의 소자에서는 과도한 누설전류와 구동전압의 불안정, 큰 간섭현상으로 인한 성능저하와 같은 많은 문제점에 봉착해 있다. 이를 해결하기 위해 FinFET, Vertical 3-dimensional memory, MRAM (Magnetoresistive Random Access Memory), PRAM(Phase-change Memory)과 같은 차세대 메모리 소자에 대한 연구가 활발히 진행되고 있다. 본 연구에서는 차세대 메모리 구조로 주목 받고 있는 FinFET 구조를 가진 플래시 메모리에서 fin 의 채널영역의 도핑 농도 변화에 의한 20 nm 이하의 게이트 크기를 가지는 소자의 전기적 특성과 프로그램 특성을 3차원 시뮬레이션을 통해 계산하였다. 본 연구에서는 FinFET 구조를 가진 플래시 메모리의 채널이 형성되는 fin의 윗부분도핑농도의 변화에 의한 전기적 특성과 프로그램 특성을 계산하였다. 본 계산에 사용된 구조는 게이트의 크기, 핀의 두께와 높이는 18, 15 그리고 28 nm이다. 기판은 Boron으로
$1{\times}10^{18}cm^{-3}$ 농도로 도핑 하였으며, 소스와 드레인, 다결정 실리콘 게이트는$1{\times}10^{20}cm^{-3}$ 농도로 Phosphorus로 도핑 하였다. 채널이 형성되는 fin의 윗부분의 도핑농도를$1{\times}10^{18}cm^{-3}$ 에서$1{\times}5^{19}cm^{-3}$ 까지 변화 시키면서 각 농도에 대한 프로그램 특성과 전기적 특성을 계산하였다. 전류-전압 곡선과 전자주입 층에 주입되는 전하의 양을 통해 특성을 확인하였고 각 구조에서의 채널과 전자 주입 층의 전자의 농도, 전기장, 전기적 위치 에너지와 공핍 영역의 분포를 통해 분석하였다. 채널의 도핑농도 변화로 인한 fin 영역의 공핍 영역의 분포 변화로 인해 전기적 특성과 프로그램 특성이 변화함을 확인하였다. -
산화제를 이용 기상중합법을 통해 합성되는 고전도도 Poly (3,4-Ethylenedioxythiophene)(PEDOT) 박막은 OTFT, RFID tag, 또는 연성 디스플레이 같은 분야에 다양한 응용 가능성을 가지고 있으며 이로 인해 최근에 연구가 활발히 진행되고 있다. PEDOT박막의 전극소재로써 가능성은 박막의 중합 정도와 표면 형상에 크게 좌우된다. 특히, Si-웨이퍼 기판 위에 산화제의 균일한 도포 및 산화제 자체의 높은 산도 (
$pH{\leq}2$ )에 따른 부반응의 억제는 기상중합법을 이용한 PEDOT박막의 합성에 있어 매우 중요하다. PEDOT의 효율적인 중합과 균일한 성장을 위해 산화제에 DUDO 와 PEG-PPG-PEG를 첨가한 혼합 산화제 용액을 제조 기상중합 방법을 통해 PEDOT박막을 제작하였다. 그 결과 산화제만을 사용하여 제작된 박막에 비해 전도도가 최대 3,660 S/cm로 향상된 PEDOT 박막이 합성되었다. 이러한 결과는 PEG-PPG-PEG가 산화제 용액의 균일 도포를 향상시키고 Base Inhibitor로 작용하는 DUDO는 PEDOT 성장 시 중합속도를 조절하고 부반응을 최소화 하여 효율적인 공액 이중 결합의 생성을 촉진한데 주로 기인한다. 따라서 그로인해 조밀하며 마이크로 스케일의 기공이 최소화된 PEDOT박막의 합성이 가능하였다. PEDOT박막의 특성 평가에는 4-point probe, optical microscopy, Field Emission-Scanning Electron Microscope, 등이 사용되었으며 또한 전도도의 향상 원인을 분석하고자 ATR-IR Spectrophotometer를 이용하여 합성된 박막의 작용기를 분석하였다. 이러한 고전도도의 PEDOT 박막이 OTFT의 전극소재로 사용된다면 OTFT소자의 성능 향상에 크게 기여 할 것으로 기대된다. -
본 연구에서는 Oxide 두께가 각각 4, 6 nm인 Symmetric NMOSFET의 전기적 특성 분석에 관한 연구를 진행하였다. 게이트 전압에 따른 Drain saturation current (IDSAT), Threshold Voltage(VT) 및 드레인 전압에 따른 Off-states 특성 변화를 분석하였다. 소자 측정 결과 oxide 두께가 4 nm인 경우 Vt는 0.3 V, IDSAT은 73
${\mu}A$ (@VD=0.05)로, oxide 두께가 6 nm인 경우 Vt는 0.65 V, IDSAT은 66${\mu}A$ (@VD=0.05)로 각각 측정되었다. 이는 oxide 두께가 얇은 경우 게이트 전압 인가 시 Electric field 증가에 따른 것으로 판단된다. 또한 드레인 전압 인가에 따른 소자 특성 분석 결과 oxide 두께가 4nm인 경우 급격한 Gate leakage 증가를 보였으며, 이에 따라 Off-state에서의 leakage current가 증가함을 확인하였다. 본 연구는 Oxide 두께에 따른 MOSFET 소자의 전기적 특성 분석을 위해 진행되었으며, 상기 결과와 같이 oxide 두께 가변은 Idsat, Vt, leakage current 등의 주요 파라미터에 영향을 주어 NMOSFET 소자의 전기적 특성을 변화시킴을 확인하였다. -
UV LED에서 p-GaN층의 높은 일함수와 자체 면저항이 크기 때문에 current spreading layer인 ITO (indium tin oxide) 투명전극이 사용되고 있다. 따라서 높은 UV 파장대 투과율과 낮은 면 저항이 매우 중요하다. 본 연구에서, RF magnetron sputter를 사용하여 ITO 투명전극을 glass(boro33)에 120 nm 두께로 증착하였다. 그 후 RTA (rapid thermal annealing)을 이용해 120초 동안
$600^{\circ}C$ 에서 Air,$N_2$ (15 sccm), vacuum 환경에서 열처리를 하여 UV-Vis-NIR spectrophotometer를 사용해 ITO 박막의 투과율을 측정하고, Hall measurement system을 이용하여 전기적 특성을 측정하였다. Fig. 1과 같이 열처리 환경에 따라 ITO 박막의 투과율이 변하고 또한 Table 1과 같이 전기적 특성도 변함을 알 수 있었다. Air 환경에서의 열처리는 reference 샘플과 비교 했을 때 400 nm 이하의 파장에서 투과율이 증가하였지만 400 nm 이상의 파장에서는 투과율이 낮아짐을 볼 수 있고, 면 저항 (Ohm/sq)은 오히려 reference (as deposited) 샘플과 비교하여 24 Ohm/sq 증가하는 것을 알 수 있었다. 반면에$N_2$ , vacuum 환경에서 열처리는 reference (as deposited) 샘플 보다 380 nm 파장대에서 16% 정도 높은 투과율을 보였고, 면저항 역시 2배 이상 낮아졌다. 둘 다 비슷한 투과율과 면저항을 나타내었지만 vacuum 환경이 좀 더 우수한 광학적 특성을 나타내었고 반면에$N_2$ 환경은 좀 더 낮은 면저항을 나타내었다. ITO 박막을 증착한 후 vacuum 환경에서 열처리를 통하여 제작된 UV-LED (중심 파장 380 nm)가 Fig. 2와 같이 입력 전류 450 mA에서 광출력이 46% 정도 향상 되었고 안정된 I-V 특성 보였다. -
Hwang, Jeong-U;Park, Dong-U;Ha, Jae-Du;An, Heung-Bae;Kim, Jin-Su;Kim, Jong-Su;No, Sam-Gyu;Kim, Yeong-Heon;Lee, Sang-Jun 353
InAs nanowires (NWs)는 나노소자스케일의 전자소자나 광전자소자를 위한 기본 단위(building block)로 사용될 수 있고, 1차원적 나노구조를 가지면서 나타나는 특별한 전기적, 광학적 특성으로 인해 전계효과 트랜지스터, 레이저, 광발광 다이오드, 가스 검출 센서 등의 많은 응용소자로 활용을 위한 연구가 진행되 있으며 주로 실리콘, 갈륨비소 기판 위에 금속유기기상 증착(MOCVD) 또는 분자선 증착 (MBE)을 이용하여 선택적 수직배열 성장 조절을 위한 연구와 특성 평가 연구가 주로 이뤄지고 있다. 본 연구에서는 InAs NWs를 MBE 장치를 이용하여 Si(111) 기판 위에 Au와 같은 촉매를 사용하지 않고 Si과 InAs의 큰 격자 불일치로 인하여 성장되는 Volmer-weber 성장 모드를 이용 하였다. InAs NW 성장모드는 Si ($5.4309{\AA}$ )과 InAs ($6.0584{\AA}$ ) 사이에 큰 격자상수 차이를 이용하게 되는데 촉매를 사용하여 성장하는 일반적인 이종 화합물 반도체 성장 모드와 달리 액상상태가 존재하지 않고 바로 In과 As이 Si 기판 위를 이동하여 수직방향으로 성장이 이루어지는 vaporsolid(VS) 모드이다. InAs NW V-S 성장 모드는 Si 기판과의 격자 상수차에 의한 스트레스를 이용해야 하므로 Si기판 위에 존재하는 native oxide는 완벽히 제거되어야 한다. InAs NW 최적 성장 조건을 찾기위해 V/III raitio, 성장 온도, 기판표면처리 등의 성장 변수를 변화 시켜가며 실험을 수행하였다. Native oxide를 제거하기 위하여 HF와 buffered oxide etchant (BOE)를 사용하였다. InAs NWs 성장조건은 Indium flux를 고정 시키고 V/III ratio는 50~400까지 변화를 주었다. V/III ratio를 200으로 고정을 시키고 성장온도를$375{\sim}470^{\circ}C$ 에서 성장 하였다. 이 때 InAs NWs는$430^{\circ}C$ 에서 가장 높은 밀도와 aspect ratio를 얻을 수 있었다. Arsenic flux에 대해서는 많을 수록 좋은 aspect ratio를 얻을 수 있었다. 하지만 InAs 구조의 절대 부피는 거의 같다는 것을 확인 할 수 있었고 이는 온도와 V/III ratio가 Indium adatom의 surface migration length에 대하여 중요한 요소로 작용되는 것을 알 수 있었다. -
실리콘 양자점 태양전지는 실리콘이 nm 크기의 양자점으로 될 경우 밴드갭이 증가하여 태양광 중의 가시광선을 광전변환에 활용함으로써 효율을 향상시키는 차세대 태양전지이다. 그러나 실리콘 양자점이 SiO2 매질 내에 분포하므로 양자점층의 두께가 증가할 경우 박막의 직렬저항이 증가하여 일정 두께 이상이 되면 효율이 감소하는 결과를 가져온다. 본 연구에서는 두께증가에 따른 효율저하 문제를 해결하기 위해 다결정 실리콘으로 이루어진 완충층을 도입 하였다. 이를 위해 본 연구에서는 두 가지 형태의 실리콘 양자점 태양전지를 제작하여 광전변환 특성을 비교하였다. 첫 번재 구조는 B이 도핑된 단일 실리콘 양자점층 태양전지이다. 양자점층은 2 nm SiOx 층과 2 nm SiO2 층을 적층한 후
$1,100^{\circ}C$ 에서 20분간 질소 분위기에서 급속 열처리하여 제작하였다. 실리콘 양자점 층의 두께를 40 nm에서 200 nm까지 변화시키면서 효율을 측정한 결과 100 nm 정도에서 효율이 감소하기 시작하였다. 이러한 효율감소는 양자점층의 저항 증가에 따른 전류감소에 의함이 확인되었다. 이와는 대조적으로 실리콘 양자점 층의 저항을 줄이기 위해 실리콘 양자점층 내에 50 nm 간격으로 10 nm 두께의 B이 도핑된 다결정 실리콘층을 배치하는 실리콘 양자점 태양전지를 개발하였다. 이러한 실리콘 양자점 층의 두께를 증가시킬 경우 효율이 지속적으로 증가함을 관찰하였다. 이러한 두 가지 형태의 양자점층을 이차이온질량분석법으로 분석한 결과 단일 실리콘 양자점층의 경우 두께가 약 70 nm 정도부터 이온빔 스퍼터링에 의한 저항증가에 따른 대전현상 (charging)이 관찰되었으나 다결정 실리콘 층이 배치된 실리콘 양자점층에서는 전혀 대전현상이 발생하지 않았다. 이는 다결정 실리콘 층이 캐리어를 이동시키는 매개체 역할을 하는 것으로 해석될 수 있다. -
Lee, Sang-Tae;Jeon, Seung-Gi;Choe, Hyo-Seok;Kim, Mun-Deok;O, Jae-Eung;Kim, Song-Gang;Yang, U-Cheol 355
Si (111) 기판 위에 polystyrene (PS) bead를 사용하여 만들어진 약 100 nm 나노 구멍에 GaN나노선을 molecular beam epitaxy 법으로 성장하였다. 성장 온도와 III/V 비율 변화에 대하여 성장된 GaN 나노선의 모양과 광학적 특성은 scanning electron microscopy (SEM)와 photoluminescence (PL) 등으로 조사하였으며, InN/GaN 이종접합 및 InGaN p-n 다이오드구조를 성장하여 atomic force microscopy의 tip 접촉방법으로 전기적 특성을 조사하였다. PL 측정 결과 성장온도가 높아지면 Ga 빈자리와 관계된 3.28 eV의 donor acceptor pair (DAP) 신호와 3.42 eV의 stacking faults (SF) 결함에 기인된 발광 신호세기가 감소하는 결과를 SEM으로부터 나노선 폭 및 길이는 좁아지면서 짧아지는 것을 관측하였다. 또한 nitrogen 원자양이 증가하면서 Ga 빈자리와 관련된 3.28 eV DAP 신호가 증가하는 것을 관측하였다. 이들 결과로부터 GaN 나노선의 SF 발광 신호관련 원인에 대하여 논의 하였다. AFM을 이용한 I-V 측정으로부터 성장조건 변화에 따른 GaN 나노선 및 p-n 접합 나노선의 전도 특성을 조사하여 나노선의 소자 응용에 대한 기본적인 물리특성을 규명하였다. -
Yu, Yang-Seok;Im, Seung-Hyeok;Lee, Song-Mae;Kim, Je-Hyeong;Go, Yeong-Ho;Na, Jong-Ho;Jo, Yong-Hun 356
InGaN/GaN LEDs는 1993년에 처음 소개 된 이래로, 성장, 제품 면에서 끊임없는 발전을 이루어 왔다. 따라서 GaN 기반의 LED는 조명, 디스플레이 그리고 후광 발광판 등 다양한 분야에서 사용되고 있다. 현재 GaN 기반의 LED는 낮은 작동전류에서 높은 내부, 외부 효율을 보인다고 알려져 있다. 그러나 LED는 보통 높은 작동 전류에서 사용하고 있는데 이 전류 값에서 'Efficiency Droop'이라 하는 효율 저하가 나타난다. 이 현상의 원인으로는 결함, Auger 영향, 캐리어 누설, 격자 불일치로 인한 내부 장 효과, 그리고 온도의 영향 등이 이 효율저하를 일으키는 주된 원인으로 생각되고 있다. 하지만 최근 효율저하의 원인에 대하여 결함, 그리고 온도 변화의 실험 등을 통하여 실험적으로 Auger 영향은 효율 저하의 원인으로 가능성이 매우 낮고 누설 전류가 효율저하의 주된 원인의 가능성이 높다고 많은 그룹에서 문제제기를 하고 있는 추세이다. 이 연구에서, 효율저하의 특성을 분석하기 위하여 GaN 기반의 EBL이 있는 LED와 없는 LED를 이용하였다. I-V 곡선, 주입 전류에 따른 반치폭의 변화와 스펙트럼의 변화, 그리고 외부 효율 등의 비교 분석을 통하여 효율 저하의 원인이 누설 전류에 의함이라고 분석을 할 수 있었다. -
Cho, I-Hyun;Yun, Myoung-Soo;Son, Chan-Hee;Jo, Tae-Hoon;Kim, Dong-Hae;Seo, Il-Won;Roh, Jun-Hyoung;Lee, Jin-Young;Jeon, Bu-Il;Choi, Eun-Ha;Cho, Guang-Sup;Kwon, Gi-Chung 357
The doping process of the solar cell has been used by furnace or laser. But these equipment are so expensive as well as those need high maintenance costs and production costs. The atmospheric pressure plasma doping process can enable to the cost reduction. Moreover the atmospheric pressure plasma can do the selective doping, this means is that the atmospheric pressure plasma regulates the junction depth and doping concentration. In this study, we analysis the atmospheric pressure plasma doping compared to the conventional furnace doping. the single crystal silicon wafer doped with dopant forms a P-N junction by using the atmospheric pressure plasma. We use a P type wafer and it is doped by controlling the plasma process time and concentration of dopant and plasma intensity. We measure the wafer's doping concentration and depth by using Secondary Ion Mass Spectrometry (SIMS), and we use the Hall measurement because of investigating the carrier concentration and sheet resistance. We also analysis the composed element of the surface structure by using X-ray photoelectron spectroscopy (XPS), and we confirm the structure of the doped section by using Scanning electron microscope (SEM), we also generally grasp the carrier life time through using microwave detected photoconductive decay (u-PCD). As the result of experiment, we confirm that the electrical character of the atmospheric pressure plasma doping is similar with the electrical character of the conventional furnace doping. -
Kim, Dong-Hae;Son, Chan-Hee;Yun, Myoung-Soo;Lee, Jin-Young;Jo, Tae-Hoon;Seo, Il-Won;Jo, I-Hyun;Roh, Jun-Hyung;Choi, Eun-Ha;Uhm, Han-Sup;Kwon, Gi-Chung 358
The application of BZO (Boron-doped Zinc Oxide) films use as the TCO(Transparent Conductive Oxide) material for display and solar cell industries, where the conductivity of the BZO films plays a critical role for improvement of cell performance. Thin BZO films are deposited on glass substrates by using RF sputter system. Then charging flow rates of O2 gas from zero to 10 sccm, thereby controlling the impurity concentration of BZO. BZO deposited on soda lime glass and RF power was 300 W, frequency was 13.56 MHz, and working pressure was$5.0{\times}10-6$ Torr. The Substrate and glass between distance 200 mm. We measured resistivity, conductivity, mobility by hall measurement system. Optical properties measured by photo voltaic device analysis system. We measured surface build according to oxygen flow rate from XPS (X-ray Photoelectron Spectroscopy) system. The profile of the energy distribution of the electrons emitted from BZO films by the Auger neutralization is measured and rescaled so that Auger self-convolution arises, revealing the detail structure of the valence band. It may be observed coefficient${\gamma}$ of the secondary electron emission from BZO by using${\gamma}$ -FIB (Gamma-Focused Ion Beam) system. We observed the change in electrical conductivity by correlation of the valence band structure. Therefore one of the key issues in BZO films may be the valence band that detail structure dominates performance of solar cell devices. Demonstrating the secondary electron emission by the Auger neutralization of ions is useful for the determination of the characteristics of BZO films for solar cell and display developments. -
Trivalent rare-earth (
$RE^{3+}=Eu^{3+}\;and\;Tb^{3+}$ ) ions activated$CaGd_4O_7$ phosphors were synthesized by a sol-gel process. After annealing at$1,500^{\circ}C$ , the XRD patterns of the phosphor confirmed their monoclinic structure. The photoluminescence excitation spectra of$Eu^{3+}$ and$Tb^{3+}$ doped$CaGd_4O_7$ phosphor shows the broad-band excitations in the shorter wavelength region due to charge transfer band of completely filled$O^{2-}$ to the partially filled$Eu^{3+}$ ions and f-d transitions of$Tb^{3+}$ ions, respectively. The photoluminescence spectra show that the reddish-orange ions and green emission for$Eu^{3+}$ and$Tb^{3+}$ ions, respectively. Owing to the importance of thermal quenching property in the technological parameters, the temperature-dependent luminescence properties of these phosphors were measured for examing the suitability of their applications in the development of light emitting diodes (LEDs). In addition to those measurements, the cathodoluminescence properties were examined by changing the acceleration voltage and filament current. The calculated chromaticity coordinates of these phosphors were close proximity to those of commercially available phosphors for LED and field emission display devices. -
Zn-Sn-O (Zinc-Tin-Oxide; ZTO) thin films have been gaining extensive academic and industrial attentions owing to a semiconducting channel materials applicable to large-sized flat-panel displays. Due to the constituent oxides i.e., ZnO and SnO2, the resultant Zn-Sn-O thin films possess artificially controllable bandgaps and transmittances especially effective in the visible regime. The current approach employed RF sputtering in depositing the Zn-Sn-O thin films onto glass substrates at ambient conditions. This work places its main emphases on the electrical/optical features which are closely related to the combinations of processing variables. The electrical characterizations are performed using dc-based current-voltage characteristics and ac-based impedance spectroscopy. The optical constants, i.e., refractive index and extinction coefficient, are calculated through spectroscopic ellipsometry along with the estimation of bandgaps. The charge transport of the deposited ZTO thin films is based on electrons characteristic of n-type conduction. In addition to the basic electrical/optical information, the delicate manipulation of n-type conduction is indispensible in diversifying the industrial applications of the ZTO thin films as active devices in information and energy products. Ultimately, the electrical properties are correlated to the processing variables along with the underlying mechanism which largely determines the electrical and optical properties.
-
PZT (Pb(Zr,Ti)O3) thin films have been used widely in the MEMS application, due to their inherent ferroelectric and piezoelectric properties. Such ferroelectricity induces much higher dielectric constants compared to those of the nonperovskite materials. In this work, the PZT thin films were deposited onto Indium-Tin-oxide (ITO) substrates through the spin-coating of PZT sols. The deposited PZT thin films were characterized in terms of the electrical and optical properties with special emphases on conductivity and optical constants. The detailed analysis techniques incorporate the dc-based current-voltage characteristics for the electrical properties, spectroscopic ellipsometry for optical characterization, atomic force microscopy for surface morphology, X-ray Photoelectron Spectroscopy for chemical bonding, Energy-dispersive X-ray Spectrometry for chemical analyses and X-ray diffraction for crystallinity. The ferroelectric phenomena were confirmed using capacitance-voltage measurements. The integrated physical/chemical features are attempted towards energy-oriented applications applicable to next-generation high-efficiency power generation systems.
-
Kwon, Kyoung-Woo;Bae, Seung-Muk;Yeop, Moon-Soo;Kim, Ji-Soo;Ko, Myong-Hee;Jung, Min-Wook;An, Ki-Seok;Hwang, Jin-Ha 362
Graphene-based materials have been gaining the unprecedented academic and industrial applications, due to the unique charge transport as a new kind of 2-dimensional materials. The applications incorporate electronic devices, nonvolatile memories, batteries, chemical sensors, etc. based on the electrical, mechanical, structural, optical, and chemical features newly reported. The current work employs thermal chemical vapor deposition involving H2 and CH4, in order to synthesize the 2-dimensional graphene materials. The qualitative/quantitative characterizations of the synthesized graphene materials are evaluated using Raman spectroscopy and Hall Measurements, In particular, the effect of processing variables is systematically investigated on the formation of graphene materials through statistical design of experiments. The optimized graphene materials will be attempted towards the potential applications to flat-panel displays. -
Choe, Jang-Hui;Han, Won-Seok;Jo, Byeong-Gu;Song, Jeong-Ho;Jeong, Hyeok;Jin, Byeong-Mun;Jang, Yu-Dong;Lee, Dong-Han 363
기존 양자점에 대한 연구는 레이저 다이오드와 광증폭기등과 같은 광소자의 활성층에 사용되던 양자우물을 대체하기 위하여 고밀도, 고균일 양자점 성장에 관한 연구가 활발히 진행되었지만, 최근에는 양자점을 이용한 Single-photon source의 관심이 높아짐에 따라 저밀도 양자점 성장에 관한 연구가 주목 받고 있다. 본 연구에서는 수직형 저압 Metal organic chemical vapor deposition (MOCVD)를 이용하여 InP 기판 위에 저밀도 InAs 양자점을 성장하였다. 저밀도의 양자점을 성장하기 위하여 양자점과 덮개 층($1.1 {\mu}m$ InGaAsP)사이에 V족 원료 가스인 As만 공급하는 성장 중단 시간 (GI:Growth interruption)을 삽입하였다. 시료의 구조는 InP (100)기판위에 50 nm InGaAsP barrier, 1.5ML GaAs를 성장 후 InAs 1.9 ML를 성장하였다. 그 후 0, 1, 2, 5 분의 GI을 삽입한 후 InGaAsP 와 InP 덮개층을 성장하였다. 양자점의 밀도와 형상을 측정하기 위하여 Atomic force microscopy (AFM)을, 광학적 특성 분석을 위하여 저온 Micro Photoluminescence (${\mu}$ -PL)을 측정하였다. 성장 중단 시간의 증가에 따라 InAs/InP 양자점의 높이와 넓이는 증가하고 밀도는 감소하였다. 성장 중단 시간 3분 이후에는 밀도 감소가 둔화 되었으며, 5분일 때$3.2{\times}10^7/cm^2$ 의 극저밀도 InAs/InP 양자점이 성장되었다. 또한 저밀도 양자점 시료의 저온${\mu}$ -PL을 측정하여 단일 양자점의 exciton과 bi-exciton peak가 측정되었다. -
스퍼터된 a축 성장된 산화아연 박막의 전기적 및 구조적 특성의 DC 파워에 대한 영향을 c 축 성장된 산화아연 박막과의 비교를 통해 분석하였다. 1~103
${\Omega}{\cdot}cm$ 의 낮은 비저항을 갖는 파워를 갖는 조건과 106~108${\Omega}{\cdot}cm$ 의 높은 비저항을 갖는 파워를 갖는 조건에 대한 분석을 진행하였다. 각 조건에 따른 XRD 분석을 통해 낮은 비저항을 갖는 파워를 갖는 조건의 경우 (100) 성장 방향을 강하게 나타내었으나, 높은 비저항을 갖는 파워를 갖는 조건의 경우 약한 (002) 성장 방향을 나타내었다. EDS를 이용한 분석시 낮은 비저항을 갖는 파워의 경우 상대적 으로 oxygen rich 특성을 나타내었다. 이번 연구를 통해 비저항 등 다양한 조건에 따라 결정 성장 방향이 다름을 확인하였으며, 이에 대한 분석을 통해 산화아연 박막의 성장된 조건에 따 라 다양한 전자소자에의 응용 및 분석이 필요함을 확인하였다. -
무기물 나노입자를 포함한 유기 박막인 나노 복합체를 사용하여 제작한 비휘발성 메모리 소자는 공정의 간단함과 낮은 전력구동이 가능하다는 장점 때문에 차세대 비휘발성 메모리 소자로 각광받고 있다. 다양한 나노입자를 포함한 유기 박막을 사용한 비휘발성 메모리 소자에 대한 연구는 많이 진행되었지만, 코어-쉘 나노입자가 poly (methylmethacrylate) (PMMA) 유기 박막에 분산되어 있는 나노 복합체를 활성층으로 사용하여 제작한 비휘발성 유기 메모리 소자의 전기적 특성과 메모리 메커니즘에 대한 연구는 비교적 미미하다. 본 연구에서는 코어-쉘 나노 입자가 PMMA 박막 안에 분산되어 있는 나노복합체를 사용한 비휘발성 메모리 소자를 제작하여 전기적 특성, 정보 유지력 및 메모리 스위칭 동작에 대하여 관찰 하였다. 소자 제작을 위해 hexane 안에 들어 있는 코어-쉘 나노입자를 Chlorobenzene에 용해되어 있는 PMMA에 넣어 초음파 교반기를 사용하여 나노입자를 고르게 분산하였다. 코어-쉘 나노입자가 PMMA에 고르게 분산 된 용액을 전극으로 사용 할 Indium-tin-oxide가 성장된 glass 위에 스핀코팅을 한 후 열처리를 하여 용매를 제거한 후 코어-쉘 입자가 PMMA에 분산되어 있는 박막을 형성하였다. 코어-쉘 입자가 PMMA에 분산된 나노복합체 위에 Al을 상부전극으로 열 증착하여 비휘발성 메모리 소자를 제작하였다. 제작된 소자의 전류-전압 (I-V) 특성을 측정결과는 특정한 두께에서 낮은 전도도 (ON state)와 높은 전도도 (OFF state)가 존재하는 쌍안정성 특성을 확인하였다. 코어-쉘 나노입자가 포함되지 않은 소자에서는 쌍안정성 특성이 보이지 않아 코어-쉘 나노입자가 비휘발성 메모리 소자의 기억 특성을 나타내는 중요한 저장 매체가 됨을 알 수 있었다. 제작된 메모리 소자의 메모리 동작에 대한 메커니즘 설명은 I-V와 에너지 밴드 구조를 사용하여 설명할 것이다.
-
We investigate Landau level spectra of twisted bilayer graphene under a perpendicular magnetic field, showing that the layers provide rich electronic structure depending on misoriented angle. New types of excitations with Landau level sequences due to the reflection of interlayer coupling level are matter of interest in the present work. We calculate the electronic structure of bilayer systems with a relative small angle rotation of the two graphene layers. Calculated Landau level spectra for twisted bilayer graphene using a continuum formulation are in good agreement with existing experimental and theoretical studies. Twist angle dependent numerical simulations provide significant insights for the nature of the Landau level spectra in bilayer graphene, combining signals from both massive and massless Dirac fermions. We finally discuss the influence of the graphene layers in the experimental sample that related to the magneto-transport measurements including quantum Hall conductance.
-
We report solution-processed, high-performance single-crystal organic nanowire transistors fabricated from a novel indolocarbazole (IC) derivative. The direct printing process was utilized to generate single-crystal organic nanowire arrays enabling the simultaneous synthesis, alignment and patterning of nanowires using molecular ink solutions. Using this method, single-crystal organic nanowires can easily be synthesized by self-assembly and crystallization of organic molecules within the nanoscale channels of molds, and these nanowires can then be directly transferred to specific positions on substrates to generate nanowire arrays by a direct printing process. These new molecules are particularly suitable for p-channel organic field-effect transistors (OFETs) because of the high level of crystallinity usually found in IC derivatives. Selected area diffraction (SAED) and X-ray diffraction (XRD) experiments on these solution-processed nanowires showed high crystallinity. Transistors fabricated with these nanowires gave a hole mobility as high as 1.0 cm2V-1s-1 with nanowire arrays with the direct printing process.
-
The present work deals with selective deposition of copper on fluoropolymers patterned silicon (111) surfaces. The pattern of fluoropolymer was fabricated by nanoimprint lithography (NIL) and plasma reactive ion etching (RIE) was used to remove the residuals layers. Copper was electrochemically deposited in bare Si regions which were not covered with fluoropolymers. The patterns of fluoropolymers and copper have been investigated by scanning electron microscopy (SEM). In this work, we used two deposition methods. One is galvanic displacement method and another is electrodeposition. Selective deposition works in both cases and it shows applicability to other materials. By optimization of the deposition conditions can be achieved therefore this process represents a simple approach for a direct high resolution patterning of silicon surfaces.
-
성장 길이 방향으로 조성비가 점차 바뀌는 InxGa1-xAs 나노와이어에 대한 라만 산란 연구 결과를 보고한다. Si 기판 위에 Au 입자를 뿌린 후에 이를 촉매로 하여 molecular beam epitaxy 방법을 이용하여 InGaAs 나노와이어를 성장시켰다. 투과전자현미경 실험 결과에 의하면 InGaAs 나노와이어의 길이는 약
$3{\sim}5{\mu}m$ , 두께는 약 20~50 nm 정도였다. 성장 길이 방향으로 조성비의 변화를 연구하기 위해서 나노와이어에 대한 공간 분해된 라만 산란 실험을 수행 하였다. 실험 결과 나노와이어의 길이 방향으로 InAs-like transverse optical (TO) phonon 에너지와 GaAs-like TO phonon 에너지의 변화가 있었으며 이를 통해 성장 길이 방향으로 In과 Ga의 조성비의 변화가 있음을 알 수 있었다. 각각의 광학 포논 에너지에 대한 분석을 통해 조성비의 변화에 대한 정량적인 수치를 얻을 수 있었다. -
단일 Si 나노와이어 전체에 대한 편광 및 공간 분해된 라만 산란 실험을 보고한다. 투과전자현미경 실험을 통해 나노와이어가 길이 방향으로 성장함에 따라서 두께가 점차 증가할 뿐만 아니라 결정 방향이 비균질적으로 형성됨을 알 수 있었다. 비균질적인 결정성은 아래 부분에서 두드러지게 나타났다. Si 나노와이어의 길이 방향으로 공간 분해된 마이크로-라만 산란 실험을 수행한 결과 에너지 및 선폭에 변화가 있음을 알았다. 이러한 변화와 결정 방향의 비균질성을 이해하기 위하여 나노와이어의 위 부분과 아래 부분으로부터 각각 편광 라만 산란 실험을 하였다. 라만 편광 선택 규칙을 이용하여 입사 편광 각도의 변화에 따른 광학 포논의 세기 변화를 분석한 결과 결정 방향이 부분적으로 어긋나 있는 나노와이어의 아래 부분에서의 편광 비율의 수치가 위 부분에서의 수치보다 작게 나타남을 알 수 있었으며 이는 결정성의 변화와 일치한다.
-
We have studied a fabrication of Poly (3,4-ethylenedioxythiophene) (PEDOT) wire arrays and structures with various feature sizes from hundreds micrometers to tens nanometers. PEDOT is well-known as a conducting material, can be grown by a vapor pressure polymerization (VPP) method. The VPP technique is a bottom-up processing method that utilizes the organic arrangement of macromolecules to easily produce ordered aggregates. Also, liquid-bridge-mediated nanotransfer molding (LB-nTM), which was reported as a new direct patterning method recently, is based on the direct transfer of various materials from a mould to a substrate through a liquid bridge between them. The PEDOT nanowires grown by VPP method and transferred on a substrate to use LB-nTM method have been investigated by Scanning Electron Microscopy (SEM), Transmission Electron Microscopy (TEM), Selected Area Electron Diffraction (SAED), X-Ray Diffraction (XRD), X-ray Photoelectron Spectroscopy (XPS), and electrical properties.
-
We fabricated conductive zinc oxide (ZnO) thin film at low temperature by UV-enhanced atomic layer deposition. The atomic layer deposition relies on alternate pulsing of the precursor gases onto the substrate surface and subsequent chemisorption of the precursors. In this experiment, diethylzinc (DEZ) and
$H_2O$ were used as precursors with UV light. The UV light was very effective to improve the conductivity of the ZnO thin film. The thickness, transparency and resistivity were investigated by ellisometry, UV-visible spectroscopy and Four-point probe. -
Nowadays, Active Matrix Organic Light-Emitting Diodes (AM-OLEDs) are the superior display device due to their vivid full color, perfect video capability, light weight, low driving power, and potential flexibility. One of the advantages of AM-OLED over Liquid Crystal Display (LCD) lies in its flexibility. The potential flexibility of AM-OLED is not fully explored due to its sensitivity to moisture and oxygen which are readily present in atmosphere, and there are no flexible encapsulation layers available to protect these. Therefore, we come up with a new concept of Inorganic-Organic hybrid thin film as the encapsulation layer. Our Inorganic layer is Al2O3 and Organic layer is F-Alucone. We deposited these layers in vacuum state using Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD) techniques. We found the results are comparable to commercial requirement of 10-6 g/m2 day for Water Vapor Transmission Rate (WVTR). Using ALD and MLD, we can control the exact thin film thickness and fabricate more dense films than chemical or physical vapor deposition methods. Moreover, this hybrid encapsulation layer potentially has both the flexibility of organic layers and superior protection properties of inorganic layer.
-
Kim, Byeong-Seong;Lee, Jong-Un;Son, Gi-Seok;Choe, Min-Su;Lee, Dong-Jin;Heo, Geun;Nam, In-Cheol;Hwang, Seong-U;Hwang, Dong-Mok 375
Graphene is a sp2-hybridized carbon sheet with an atomic-level thickness and a wide range of graphene applications has been intensely investigated due to its unique electrical, optical, and mechanical properties. In particular, hybrid graphene structures combined with various nanomaterials have been studied in energy- and sensor-based applications due to the high conductivity, large surface area and enhanced reactivity of the nanostructures. Conventional metal-catalytic growth method, however, makes useful applications difficult since a transfer process, used to separate graphene from the metal substrate, should be required. Recently several papers have been published on direct graphene growth on the two dimensional planar substrates, but it is necessary to explore a direct growth of hierarchical nanostructures for the future graphene applications. In this study, uniform graphene layers were successfully synthesized on highly dense dielectric nanowires (NWs) without any external catalysts. We also demonstrated that the graphene morphology on NWs can be controlled by the growth parameters, such as temperature or partial pressure in chemical vapor deposition (CVD) system. This direct growth method can be readily applied to the fabrication of nanoscale graphene electrode with designed structures because a wide range of nanostructured template is available. In addition, we believe that the direct growth growth approach and morphological control of graphene are promising for the advanced graphene applications such as super capacitors or bio-sensors. -
Lee, Jae-Hyeon;Choe, Sun-Hyeong;Jang, Ya-Mu-Jin;Kim, Tae-Geun;Kim, Dae-Won;Kim, Min-Seok;Hwang, Dong-Hun;Najam, Faraz;Hwang, Seong-U;Hwang, Dong-Mok 376
Semiconductor nanowires (NWs) are future building block for nano-scale devices. Especially, Ge NWs are fascinated material due to the high electrical conductivity with high carrier mobility. It is strong candidate material for post-CMOS technology. However, thermal stability of Ge NWs are poor than conventional semiconductor material such as Si. Especially, when it reduced size as small as nano-scale it will be melted around CMOS process temperature due to the melting point depression. Recently, Graphene have been intensively interested since it has high carrier mobility with single atomic thickness. In addition, it is chemically very stable due to the$sp^2$ hybridization. Graphene films shows good protecting layer for oxidation resistance and corrosion resistance of metal surface using its chemical properties. Recently, we successfully demonstrated CVD growth of monolayer graphene using Ge catalyst. Using our growth method, we synthesized Ge/graphene core/shell (Ge@G) NW and conducted it for highly thermal stability required devices. We confirm the existence of graphene shell and morphology of NWs using SEM, TEM and Raman spectra. SEM and TEM images clearly show very thin graphene shell. We annealed NWs in vacuum at high temperature. Our results indicated that surface melting phenomena of Ge NWs due to the high surface energy from curvature of NWs start around$550^{\circ}C$ which is$270^{\circ}C$ lower than bulk melting point. When we increases annealing temperature, tip of Ge NWs start to make sphere shape in order to reduce its surface energy. On the contrary, Ge@G NWs prevent surface melting of Ge NWs and no Ge spheres generated. Furthermore, we fabricated filed emission devices using pure Ge NWs and Ge@G NWs. Compare with pure Ge NWs, graphene protected Ge NWs show enhancement of reliability. This growth approach serves a thermal stability enhancement of semiconductor NWs. -
반도체 물질로서 Zinc oxide (ZnO) nanorod (NR)는 Hydrothermal growth method로 제작 시 고가의 장비가 필요치 않기에 저비용 대면적 박막을 제작하는데 적합하지만 NR들의 array 조절과 각각의 rod와 전극 간의 연결에서 어려움을 가지고 있다. 최근 연구에서는 이러한 NR array 형상 조절과 소자의 성능 향상을 위하여 tilted sputtering method를 이용해 seed layer를 lateral 하게 형성하여 성장시켜 표면적을 극대화함으로서 응용되는 센서의 성능을 향상시키는 연구가 진행되고 있다. 본 연구에서는 이렇게 향상된 수평구조의 ZnO NR과 다양한 전극 금속 간의 schottky barrier의 높이 차이에 따라 sensitivity와 response time의 차이를 측정하였다. NR들을 전계방출형 전자현미경과 XRD로 분석 NR의 lateral structure 및 결정성을 확인하였다. 그리고 이렇게 형성한 NR을 소자화하여 Au, Ag, Al을 전극 금속물질로 사용한 경우에 대하여 sensing performance와 전극 금속의 schottky barrier의 상관관계를 확인하였다.
-
ITO 만큼 높은 전도성과 광학적 투과성을 갖는 Al-doped ZnO (AZO) 박막을 DC-Pulse magnetron sputtering을 이용하여 40 nm 두께로 증착 후 리소그라피 공정을 통해
$30{\mu}m$ 간격으로 패터닝 하였다. 간격 30${\mu}m$ 로 배열된 AZO를 촉매층으로 하는 수열합성법을 리사이클 공정을 반복하여 수행하여 ZnO 나노선을 성장시켰다. 이와 같이 AZO 전극 사이에 길이$30{\mu}m$ 의 ZnO 나노선이 래터럴 구조로 연결된 소자의$NH_3$ 가스감지 특성을 조사하였다. 합성된 나노선의 전기적, 광학적, 구조적인 특성을 분석하여 높은 가스 감지도를 예상할 수 있는 특성을 확인하였다. 제작된 가스센서를 진공 챔버에 설치 후 양 전극간에 동작전압(Operating voltage)을 1 V로 인가하여 고정한 후에$NH_3$ 를 주입(Injection)과 퍼지(Purge)를 반복하며 그 주입량(10 ppm, 20 ppm, 40 ppm, 60 ppm)에 변화를 주었고, 그에 따른 전류변화를 관찰하여$NH_3$ 가스감지특성을 평가하였다. -
Bioinspired sea urchin-like structures were fabricated on silicon by inductively coupled plasma (ICP) etching using lens-like shape hexagonally patterned photoresist (PR) patterns and subsequent metal-assisted chemical etching (MaCE) [1]. The lens-like shape PR patterns with a diameter of 2
${\mu}m$ were formed by conventional lithography method followed by thermal reflow process of PR patterns on a hotplate at$170^{\circ}C$ for 40 s. ICP etching process was carried out in an SF6 plasma ambient using an optimum etching conditions such as radio-frequency power of 50 W, ICP power of 25 W, SF6 flow rate of 30 sccm, process pressure of 10 mTorr, and etching time of 150 s in order to produce micron structure with tapered etch profile. 15 nm thick Ag film was evaporated on the samples using e-beam evaporator with a deposition rate of 0.05 nm/s. To form Ag nanoparticles (NPs), the samples were thermally treated (thermally dewetted) in a rapid thermal annealing system at$500^{\circ}C$ for 1 min in a nitrogen environment. The Ag thickness and thermal dewetting conditions were carefully chosen to obtain isolated Ag NPs. To fabricate needle-like nanostructures on both the micron structure (i.e., sea urchin-like structures) and flat surface of silicon, MaCE process, which is based on the strong catalytic activity of metal, was performed in a chemical etchant (HNO3: HF: H2O = 4: 1: 20) using Ag NPs at room temperature for 1 min. Finally, the residual Ag NPs were removed by immersion in a HNO3 solution. The fabricated structures after each process steps are shown in figure 1. It is well-known that the hierarchical micro- and nanostructures have efficient light harvesting properties [2-3]. Therefore, this fabrication technique for production of sea urchin-like structures is applicable to improve the performance of light harvesting devices. -
Nanostructures have a larger surface/volume ratio as well as unique mechanical, physical, chemical properties compared to existing bulk materials. Materials for biomedical implants require a good biocompatibility to provide a rapid recovery following surgical procedure and a stabilization of the region where the implants have been inserted. The biocompatibility is evaluated by the degree of the interaction between the implant materials and the cells around the implants. Recent researches on this topic focus on utilizing the characteristics of the nanostructures to improve the biocompatibility. Several studies suggest that the degree of the interaction is varied by the relative size of the nanostructures and cells, and the morphology of the surface of the implant [1, 2]. In this paper, we fabricate the nanowires on the Ti substrate for better biocompatible implants and other biomedical applications such as artificial internal organ, tissue engineered biomaterials, or implantable nano-medical devices. Nanowires are fabricated with two methods: first, nanowire arrays are patterned on the surface using e-beam lithography. Then, the nanowires are further defined with deep reactive ion etching (RIE). The other method is self-assembly based on vapor-liquid-solid (VLS) mechanism using Sn as metal-catalyst. Sn nanoparticle solutions are used in various concentrations to fabricate the nanowires with different pitches. Fabricated nanowries are characterized using scanning electron microscopy (SEM), x-ray diffraction (XRD), and high resolution transmission electron microscopy (TEM). Tthe biocompatibility of the nanowires will further be investigated.
-
최근 나노 소재의 활용 가능성이 확대되어감에 따라 다양한 소재의 나노구조체에 대한 연구가 진행되어 왔다. 그 중 은(Silver)은 열전도율과 전기전도율이 가장 우수한 금속으로 다양한 형태의 은 나노 입자를 형성할 수 있고. 이를 탄소, 비석, 고분자 등의 기판에 다양한 방법으로 성장시키는 연구가 진행되었다. 기판으로 사용되는 재료 중 탄소 복합소재는 내열성, 화학적 안정성, 열전도성, 저열팽창성에 따른 치수 안정성, 유연성 등의 우수한 특징을 지니고 있으며 최근까지 방열 소재로서 활용되고 있다. 본 연구에서는 탄소섬유의 표면에 다양한 결정 구조를 가지는 Ag seed 입자를 형성하고 폴리올 공정을 통하여 와이어 형태의 나노구조체를 성장시켜 그 형상제어 특성을 FE-SEM을 통하여 확인하였다.
-
We report nonvolatile memory properties of poly (3, 4-ethylenedioxythiophene) (PEDOT) thin films grown by vapor phase polymerization using FeCl3 as an oxidant. Liquid-bridge-mediated transfer method was employed to remove FeCl3 for generation of pure PEDOT thin films. From the electrical measurement of memory device, we observed voltage induced bipolar resistive switching behavior with ON/OFF ratio of 103 and reproducibility of more than 103 dc sweeping cycles. ON and OFF states were stable up to 104 seconds without significant degradation. Cyclic voltammetry data illustrates resistive switching effect can be attributed to formation and rupture of conducting paths due to oxidation and reduction of PEDOT. The maximum current before reset process was found to be increase linearly with increase in compliance current applied during set process.
-
Understanding the thermodynamics and structural transformation during the Metal-Insulator Transition (MIT) is critical to better understand the underlying physical origin of phase transition in the vanadiumdioxide (
$VO_2$ ). Here, through the temperature-dependent in-situ high resolutiontransmission electron microscopy (HR-TEM), and systematic electrical transport study, we have shown that the tunable MIT transition of$VO_2$ nanowires is strongly affected by interplay between strain and domain nucleation by ion beam irradiation. Surprsingly, we have also observed that the$VO_2$ rutile (R) metallic phase could form directly in a strain-induced metastable monoclinic (M2) phase. These insights open the door toward more systematic approaches to synthesis for$VO_2$ nanostructures in desired phase and to use for applications including ultrafast optical switching, smart window, metamaterial, resistance RAM and synapse devices. -
For white light emitting diode (LED) applications, it has been reported that Y3Al5O12:Ce3+ (YAG:Ce) in nano-sized phosphor performs better than it does in micro-sized particles. This is because nano-sized YAG:Ce can reduce internal light scattering when coated onto a blue LED surface. Recently, there have been many reports on the synthesis of nano-sized YAG particles using bottom-up method, such as co-precipitation method, sol-gel process, hydrothermal method, solvothermal method, and glycothermal method. However, there has been no report using top-down method. Top-down method has advantages than bottom-up method, such as large scale production and easy control of doping concentration and particle size. Therefore, in this study, nano-sized YAG:Ce phosphors were synthesized by a high energy beads milling process with varying beads size, milling time and milling steps. The beads milling process was performed by Laboratory Mill MINICER with ZrO2 beads. The phase identity and morphology of nano-sized YAG:Ce were characterized by X-ray powder diffraction (XRD) and field-emission scanning electron microscopy (FESEM), respectively. By controlling beads size, milling time and milling steps, we synthesized a size-tunable and uniform nano-sized YAG:Ce phosphors which average diameters were 100, 85 and 40 nm, respectively. After milling, there was no impurity and all of the peaks were in good agreement with YAG (JCPDS No. 33-0040). Luminescence and quantum efficiency (QE) of nano-sized YAG:Ce phosphors were measured by fluorescence spectrometer and QE measuring instrument, respectively. The synthesized YAG:Ce absorbed light efficiently in the visible region of 400-500 nm, and showed single broadband emission peaked at 550 nm with 50% of QE. As a result, by considering above results, high energy beads milling process could be a facile and reproducible synthesis method for nano-sized YAG:Ce phosphors.
-
그래핀(graphene)의 가장자리(edge)는 결정구조의 배향성에 따라 지그재그(zigzag)와 안락의자 (armchair) 형태로 구분되는데, 나노미터 크기의 그래핀의 전자적 성질은 이러한 가장자리의 배향성에 의해 크게 영향을 받는다고 알려져 있다. 단일층 그래핀 가장자리 사이에서 일어나는 산화실리콘(
$SiO_2$ )의 carbothermal reduction은 선택적으로 지그재그 형태의 가장자리를 생성한다고 알려져 있다. 본 연구에서는 라만 분광법과 원자 현미경(atomic force microscopy)을 이용하여 기계적 박리법으로 만들어진 이중층 그래핀에서 일어나는 carbothermal reaction을 연구하였다. 고온 산화 방법으로 이중층 그래핀에 원형 식각공(etch pit)을 만들고 Ar 기체 속에서 700도 열처리를 진행한 후, 원형 식각공이 육각형으로 확장된 것을 관찰하였다. 이것은 이중층 그래핀도 산화실리콘의 carbothermal reduction을 유발한다는 사실을 보여준다. 그러나 이중층 그래핀의 반응속도는 단일층보다 느린 것이 확인되었는데, 이는 이중층 그래핀의 탄소 원자와 산화제로 작용하는 산화실리콘 간의 평균 거리가 단일층보다 더 크다는 사실로 설명할 수 있다. 또한 본 연구에서는 반응기 내의 압력이 반응 속도에 미치는 영향과 식각공이 육각형으로 변해가는 과정에 대한 라만 분광 특성을 조사 및 분석하였다. -
친수성 기판과 소수성 그래핀(graphene) 계면에서의 물의 확산 현상은 호기심을 자극할 뿐만 아니라 그래핀 소자의 특성을 좌우하는 전하도핑(charge doping) 현상을 이해하는데 중요한 모델이 된다. 본 연구에서는 라만 분광법을 이용하여 그래핀/
$SiO_2$ 계면에서의 물의 확산 현상을 탐구하였다. 열처리된 그래핀은 기판과의 상호작용에 의해 높은 밀도의 정공(electron hole)으로 도핑되어 있기 때문에, 물이 계면을 통해 확산하게 되면 정공의 밀도를 감소시킬 수 있게 된다. 본 실험에서는 이차원 라만 분광법을 통해 물 속에 담겨진 그래핀의 정공 밀도의 공간적인 분포를 확산 시간에 따라 조사하였다. 물의 확산은 시료에 따라 수 시간에서 수 일의 시간대에 걸쳐 그래핀 가장자리에서 중앙으로 이루어진다는 사실을 확인하였다. 또한 물의 계면확산으로 인해서 전하 밀도가 감소한다는 사실은 열처리된 그래핀의 정공 도핑을 유발하는 산소가 그래핀/$SiO_2$ 계면에 존재한다는 것을 증명한다. -
In this study, we fabricate a superhydrophobic surface made of hierarchical nanostructures that combine wax crystalline structure with moth-eye structure using vacuum cluster system and measure their hydrophobicity and durability. Since the lotus effect was found, much work has been done on studying self-cleaning surface for decades. The surface of lotus leaf consists of multi-level layers of micro scale papillose epidermal cells and epicuticular wax crystalloids [1]. This hierarchical structure has superhydrophobic property because the sufficiently rough surface allows air pockets to form easily below the liquid, the so-called Cassie state, so that the relatively small area of water/solid interface makes the energetic cost associated with corresponding water/air interfaces smaller than the energy gained [2]. Various nanostructures have been reported for fabricating the self-cleaning surface but in general, they have the problem of low durability. More than two nanostructures on a surface can be integrated together to increase hydrophobicity and durability of the surface as in the lotus leaf [3,5]. As one of the bio-inspired nanostructures, we introduce a hierarchical nanostructure fabricated with a high vacuum cluster system. A hierarchical nanostructure is a combination of moth-eye structure with an average pitch of 300 nm and height of 700 nm, and the wax crystalline structure with an average width and height of 200 nm. The moth-eye structure is fabricated with deep reactive ion etching (DRIE) process.
$SiO_2$ layer is initially deposited on a glass substrate using PECVD in the cluster system. Then, Au seed layer is deposited for a few second using DC sputtering process to provide stochastic mask for etching the underlying$SiO_2$ layer with ICP-RIE so that moth-eye structure can be fabricated. Additionally, n-hexatriacontane paraffin wax ($C_{36}H_{74}$ ) is deposited on the moth-eye structure in a thermal evaporator and self-recrystallized at$40^{\circ}C$ for 4h [4]. All of steps are conducted utilizing vacuum cluster system to minimize the contamination. The water contact angles are measured by tensiometer. The morphology of the surface is characterized using SEM and AFM and the reflectance is measured by spectrophotometer. -
Graphene is a perfectly two-dimensional (2D) atomic crystal which consists of sp2 bonded carbon atoms like a honeycomb lattice. With its unique structure, graphene provides outstanding electrical, mechanical, and optical properties, thus enabling wide variety of applications including a strong potential to extend the technology beyond the conventional Si based electronic materials. Currently, the widespread application for electrostatically switchable devices is limited by its characteristic of zero-energy gap and complex process in its synthesis. Several groups have investigated nanoribbon, strained, or nanomeshed graphenes to induce a band gap. Among various techniques to synthesize graphene, chemical vapor deposition (CVD) is suited to make relatively large scale growth of graphene layers. Direct growth of graphene on hexagonal boron nitride (h-BN) using CVD has gained much attention as the atomically smooth surface, relatively small lattice mismatch (~1.7%) of h-BN provides good quality graphene with high mobility. In addition, induced band gap of graphene on h-BN has been demonstrated to a meaningful value about ~0.5 eV.[1] In this paper, we report the synthesis of grpahene / h-BN bilayer in a chemical vapor deposition (CVD) process by controlling the gas flux ratio and deposition rate with temperature. The h-BN (99.99%) substrate, pure Ar as carrier gas, and
$CH_4$ are used to grow graphene. The number of graphene layer grown on the h-BN tends to be proportional to growth time and$CH_4$ gas flow rate. Epitaxially grown graphene on h-BN are characterized by scanning electron microscopy, atomic force microscopy, and Raman spectroscopy. -
Optically active nanostructures such as subwavelength moth-eye antireflective structures or surface enhanced Raman spectroscopy (SERS) active structures have been demonstrated to provide the effective suppression of unwanted reflections as in subwavelength structure (SWS) or effective enhancement of selective signals as in SERS. While various nanopatterning techniques such as photolithography, electron-beam lithography, wafer level nanoimprinting lithography, and interference lithography can be employed to fabricate these nanostructures, roll-to-roll (R2R) nanoimprinting is gaining interests due to its low cost, continuous, and scalable process. R2R nanoimprinting requires a master to produce a stamp that can be wrapped around a quartz roller for repeated nanoimprinting process. Among many possibilities, two different types of mask can be employed to fabricate optically active nanostructures. One is self-assembled Au nanoparticles on Si substrate by depositing Au film with sputtering followed by annealing process. The other is monolayer silica particles dissolved in ethanol spread on the wafer by spin-coating method. The process is optimized by considering the density of Au and silica nano particles, depth and shape of the patterns. The depth of the pattern can be controlled with dry etch process using reactive ion etching (RIE) with the mixture of SF6 and CHF3. The resultant nanostructures are characterized for their reflectance using UV-Vis-NIR spectrophotometer (Agilent technology, Cary 5000) and for surface morphology using scanning electron microscope (SEM, JEOL JSM-7100F). Once optimized, these optically active nanostructures can be used to replicate with roll-to-roll process or soft lithography for various applications including displays, solar cells, and biosensors.
-
We fabricated organic-inorganic superlattice films using molecular layer deposition (MLD) and atomic layer deposition (ALD). The MLD is a gas phase process in the vacuum like to atomic layer deposition (ALD) and also relies on a self-terminating surface reaction of organic precursor which results in the formation of a monolayer in each sequence. In the MLD process, 'Alucone' is very famous organic thin film fabricated using MLD. Alucone layers were grown by repeated sequential surface reactions of trimethylaluminum and ethylene glycol at substrate temperature of
$80^{\circ}C$ . In addition, we developed UV-assisted$Al_2O_3$ with gas diffusion barrier property better than typical$Al_2O_3$ . The UV light was very effective to obtain defect-free, high quality$Al_2O_3$ thin film which is determined by water vapor transmission rate (WVTR). Ellipsometry analysis showed a self-limiting surface reaction process and linear growth of each organic, inorganic film. Composition of the organic films was confirmed by infrared (IR) spectroscopy. Ultra-violet (UV) spectroscopy was employed to measure transparency of the organic-inorganic superlattice films. WVTR is calculated by Ca test. Organic-inorganic superlattice films using UV-assisted$Al_2O_3$ and alucone have possible use in gas diffusion barrier for OLED. -
We fabricate organic-inorganic hybrid thin film for the purpose of encapsulation by molecular layer deposition (MLD) using Trimethylaluminium (TMA) and Adipoyl Chloride (AC). Ellipsometry was employed to verify self limiting reaction of ALD. Linear relationship between number of cycle and thickness was obtained. We found that desirable organic thin film fabrication is possible by MLD surface reaction in nanoscale. Purging was carried out after dosing of each precursor to form monolayer in each sequence. We also confirmed roughness of the organic thin film by atomic force microscopy. We deposit TMA and AC at
$70^{\circ}C$ and that 1.78A root mean square was obtained which indicates that uniform organic thin film was formed. We confirmed precursor's functional group by IR spectrum. We calculated WVTR of organic-inorganic hybrid super-lattice epitaxial layer using Ca test. WVTR indicates superlattice film can be possibly use as encapsulation in flexible devices. -
$TiO_2$ anatase nanotube arrays (NTAs) were grown by electrochemical anodization and followed annealing of Ti foil. Ethylene glycol/$NH_4F$ -based organic electrolyte was used for electrolyte solution and using second anodization process to obtain free-standing NTAs. After obtaining NTAs, ITO film was deposited by sputtering process on bottom of NTAs. UV-curable NOA was used for attach free-standing NTAs on flexible plastic substrate (PEN). Solid state electrolyte (spiro-OMeTAD) was coated via spin-coating method on top of attached NTAs. Ag was deposited as a counter electrode. Under AM 1.5 simulated sunlight, optical characteristics of devices were investigated. In order to use flexible polymer substrate, processes have to be conducted at low temperature. In case of$TiO_2$ nano particles (NPs), however, crystallization of NPs at high temperature above$450^{\circ}C$ is required. Because NTAs were conducted high temperature annealing process before NTAs transfer to PEN, it is favorable for using PEN as flexible substrate. Fabricated flexible solid-state DSSCs make possible the preventing of liquid electrolyte corrosion and leakage, various application. -
에너지갭이 큰 SnO2 반도체는 빛 투과율이 우수하여 투명성이 좋으며 화학적으로 안정된 구조를 가지고 있어 전자소자 및 광소자 응용에 대단히 유용하다. SnO2 박막을 증착하는 방법은 Physical Vapor Deposition과 Chemical Vapor Deposition이 있으나 나노 구조를 가진 SnO2를 형성하기 어렵다. 전기 화학적 증착 (Electrochemical Deposition: ECD)은 낮은 온도에서 진공 공정이 필요하지 않기 때문에 경제적이며 빠른 성장 속도를 가지고 있기 때문에 SnO2 나노 구조를 효과적으로 형성 할 수 있다. 본 연구에서는 Indium Tin Oxide (ITO) 기판 위에 SnO2 나노 구조를 형성시켜 전기적 및 구조적 특성을 관찰하였다. 0.015 M의 Tin chloride pentahydrate(SnCl4 5H2O)를 타켓 물질로 사용하고 0.1 M의 KCl을 완충물질로 사용하여 SnO2 나노구조를 성장하였다. 타겟 물질이 잘 녹지 않으므로 DI water와 ethanol을 7:3의 비율로 용매 사용하였다. 전류-전압 곡선을 분석하여 최적의 성장조건을 확보하고,
$65^{\circ}C$ 1기압 하에서 -2.5 V 부터 -1.0 V까지 0.5 V 간격으로 나누어서 SnO2 나노구조를 성장하였다. X-선 회절 분석결과에서 SnO2의 피크의 크기가 큰 전기화적적 성장 전압구간과, 주사전자현미경 분석 결과에서 나노 구조가 가장 잘 나타난 성장 전압구간을 다시 0.1 V 간격으로 세분화하여 최적화 조건을 분석하였다. X-선 회절 실험으로 형성한 SnO2 나노구조의 피크가 (110) (101) (200) (211) (310)로 나타났다. X-선 회절 분석의 intensity의 값이 (101)방향이 가장 크게 나타났으므로 우선적으로 (101) 방향으로 SnO2 나노구조가 성장됨을 알 수 있었다. 주사전자현미경상은 grain size가 50~100 nm 사이의 SnO2 나노구조가 형성되며, grain size가 전기화학적 증착 장치의 성장전압이 저 전압 구간에서 커지는 것을 알 수 있었다. -
SnO2 나노세선은 n-형 전기적 성질과 화학적인 안정성 때문에 가스센서, 투명 전극 및 태양전지와 같은 전자소자와 광소자에 널리 사용되고 있다. 화학 기상 증착, 전자빔 증착과 전기화학증착법을 사용하여 SnO2 나노세선을 제작하고 있다. 여러 가지 증착 방법중에서 전기화학증착방법은 낮은 온도와 진공 공정이 필요하지 않으며 대면적 공정이 가능하고 빠른 성장 속도로 나노구조를 효과적으로 성장할 수 있는 장점을 가지고 있다. 본 연구에서는 전기화학증착법을 이용하여 Indium Tin Oxide (ITO) 기판 위에 SnO2 나노세선 성장하고 성장시간에 따라 형성한 SnO2 나노세선의 구조적 성질을 조사하였다. SnO2 나노세선을 성장하기 위하여 D.I. water와 Entanol을 7:3의 비율로 섞은 용액을
$65^{\circ}C$ 로 유지하였고, 0.015 M의 Tin chloride pentahydrate ($Cl4{\cdot}Sn{\cdot}5H2O$ )를 타겟 물질로 이용하였고, 0.1 M의 Potassium chloride (KCl)를 완충 물질로 사용하였다. 전기화학증착 방법을 사용하여 제작한 ITO 기판위에 성장한 SnO2 나노세선 위에 전극을 제작하고 전류-전압 특성을 측정하였다. SnO2 나노세선이 성장되는 전기화학증착 전압을 1.2 V로 고정하고, 성장시간을 15분, 30분 및 1시간으로 변화하여 SnO2 나노세선의 구조적 특성을 분석하였다. X-선회절 (X-ray diffraction; XRD) 실험 결과는$31^{\circ}$ 에서 (101) 성장방향을 갖는 SnO2 나노세선이 성장함을 확인하였고, 성장 시간이 길어짐에 따라(101) 성장방향의 XRD 피크의 intensity가 증가하였다. 전기화학증착 성장 시간이 길어짐에 따라 SnO2 나노세선의 지름이 60 nm에서 150 nm로 변화하는 것을 주사전자현미경으로 관측하였다. 이 실험 결과는 전기화학증착방법을 사용하여 제작한 SnO2 나노세선의 성장 시간에 따른 구조적 특성들을 최적화하여 소자제작에 응용하는데 도움이 된다. -
최근 그래핀 연구와 더불어 2차원 구조의 나노소재에 대한 관심이 급증하면서 육각형의 질화붕소(hexagonal boron nitride; h-BN) 나노시트(nanosheet)[1]나 붕소 탄화질화물(boron caronitride;BCN) 나노시트[2, 3]와 같은 2차원 구조체에 대한 연구가 활발히 진행되고 있다. 그 중 BCN은 반금속(semimetal)인 흑연(graphite)과 절연체인 h-BN이 결합된 나노시트로 원소의 구성 비율에 따라 전기적 특성을 제어할 수 있다는 장점이 있다. 따라서 다양한 나노소자로의 응용을 위한 연구가 활발히 진행되고 있다. 본 연구에서는 열 화학기상증착법(thermal chemical vapor deposition)을 이용하여 폴리스틸렌(polystyrene)과 보레인 암모니아(borane ammonia)를 사용하여 BCN 나노시트를 합성하였다. 합성된 BCN 나노시트의 구조적 특징과 화학적 조성 및 결합 상태를 주사전자현미경(scanning electron microscopy), 투과전자현미경(transmission electron microscopy), X-선 광전자 분광법(X-ray photoelectron spectroscopy), 라만 분광법(Raman spectroscopy)을 통해 조사하였고, 이온성 용액법 (ionic liquid)[4]을 이용하여 전계효과 특성을 측정하였다.
-
Jo, Ju-Mi;Kim, Yu-Seok;Cha, Myeong-Jun;Lee, Su-Il;Jeong, Sang-Hui;Song, U-Seok;Kim, Seong-Hwan;Jeon, Seung-Han;Park, Jong-Yun 400
그래핀(Graphene)은 열 전도도가 높고 전자 이동도(200 000 cm2V-1s-1)가 우수한 전기적 특성을 가지고 있어 전계 효과 트랜지스터(Field effect transistor; FET), 유기 전자 소자(Organic electronic device)와 광전자 소자(Optoelectronic device) 같은 반도체 소자에 응용 가능하다. 그러나 에너지 밴드 갭이 없기 때문에 소자의 전기적 특성이 제한되는 단점이 있다. 최근에는 아크 방출(Arc discharge method), 화학적 기상 증착법(Chemical vapor deposition; CVD), 이온-조사법(Ion-irradiation) 등을 이용한 이종원자(Hetero atom)도핑과 화학적 처리를 이용한 기능화(Functionalization) 등의 방법으로 그래핀을 도핑 후 에너지 밴드 갭을 형성시키는 연구 결과들이 보고된 바 있다. 그러나 이러한 방법들은 표면이 균일하지 않고, 그래핀에 많은 결함들이 발생한다는 단점이 있다. 이러한 단점을 극복하기 위해 자가조립 단층막(Self-assembled monolayers; SAMs)을 이용하여 이산화규소(Silicon oxide; SiO2) 기판을 기능화한 후 그 위에 그래핀을 전사하면 그래핀의 일함수를 쉽게 조절하여 소자의 전기적 특성을 최적화할 수 있다. SAMs는 그래핀과 SiO2 사이에 부착된 매우 얇고 안정적인 층으로 사용된 물질의 특성에 따라 운반자 농도나 도핑 유형, 디락 점(Dirac point)으로부터의 페르미 에너지 준위(Fermi energy level)를 조절할 수 있다[1-3]. 본 연구에서는 SAMs한 기판을 이용하여 그래핀의 도핑 효과를 확인하였다. CVD를 이용하여 균일한 그래핀을 합성하였고, 기판을 3-Aminopropyltriethoxysilane (APTES)와 Borane-Ammonia(Borazane)을 이용하여 각각 아민 기(Amine group; -NH2)와 보론 나이트라이드(Boron Nitride; BN)로 기능화한 후, 그 위에 합성한 그래핀을 전사하였다. 기판 위에 NH2와 BN이 SAMs 형태로 존재하는 것을 접촉각 측정(Contact angle measurement)을 통해 확인하였고, 그 결과 NH2와 BN에 의해 그래핀에 도핑 효과가 나타난 것을 라만 분광법(Raman spectroscopy)과 X-선 광전자 분광법(X-ray photoelectron spectroscopy: XPS)을 이용하여 확인하였다. 본 연구 결과는 안정적이면서 패턴이 가능하기 때문에 그래핀을 기반으로 하는 반도체 소자에 적용 가능할 것이라 예상된다. -
Bundles of single-walled carbon nanotube (SWCNTs) were grown using catalytic layer supported by self-assembled monolayers (SAMs). Amine-SAMs were introduced on SiO2/Si substrate (SAMs/Si) there then iron nanoclusters solution was dropped on it through spin-coating (Fe/SAMs/Si). This catalytic template was used to grow CNTs and the synthesized carbon material was confirmed the bundles of dense SWCNTs with incorporation of ca.1% nitrogen. The SAMs has played an active role to support catalytic layer and also acted as a source of N-dope onto SWCNTs in CVD.
-
Lee, Su-Il;Song, U-Seok;Kim, Yu-Seok;Cha, Myeong-Jun;Jeong, Dae-Seong;Jeong, Min-Uk;Jeon, Cheol-Ho;Park, Jong-Yun 403
그래핀(graphene)은 우수한 전기적, 물리적인 특성을 지닌 물질로써 다양한 분야에서 이를 활용하려는 노력들이 활발히 진행되고 있다. 그중 그래핀을 채널로 이용하는 전계효과 트랜지스터(field effect transistor)로의 응용에 있어, 가장 핵심적인 도전과제는 전하농도(carrier concentration)의 제어 및 에너지 밴드갭(energy bandgap) 형성이라 할수 있다. 최근 다양한 물질을 이용한 도핑을 통해 이를 해결하기 위한 노력들이 진행되고 있는 추세이다. 본 연구에서는 열화학 기상 증착법(Thermal chemical vapor deposition)을 통해 합성된 단일층의 그래핀에 염화니켈 나노입자의 분산액을 스핀코팅 한후 열처리를 통해 그래핀-니켈 나노입자의 하이브리드 구조를 제작하였다. 제작된 그래핀-니켈 나노입자 하이브리드 물질의 구조적 특징을 주사 전자 현미경(Scanning electron microscope)과 원자힘 현미경(Atomic force microscopy)을 통하여 확인하였다. 또한 니켈 분산액의 농도와 도핑효과 와의 상관관계를 라만분광법(Raman spectroscopy)과 이온성 용액법(Ionic liquid)을 이용한 전계효과 특성분석을 통해 조사하였다. 나노입자의 형성 메커니즘은 X-선 광전자 분광법(X-ray photoelectron spectroscopy)을 통하여 규명하였다. -
Jeong, Sang-Hui;Song, U-Seok;Lee, Su-Il;Kim, Yu-Seok;Cha, Myeong-Jun;Kim, Seong-Hwan;Jo, Ju-Mi;Jeon, Cheol-Ho;Jeong, Min-Uk;Park, Jong-Yun 404
저차원계 탄소 동소체는 특유의 구조에서 기인하는 우수한 물리적 성질로 인해 각광받고 있는 물질이다. 탄소원자가 육각형 격자 모양을 지닌 2차원계 물질인 그래핀(graphene)은 뛰어난 전기적, 물리적, 광학적 성질로 인해 전계효과 트랜지스터(field effect transistors), 투명전극(transparent electrodes), 에너지 저장체, 복합체, 화학/바이오 센서 등 다양한 분야에서 활용을 위한 연구가 진행되고 있다. 또한 그래핀이 튜브형태로 말려있는 1차원계 물질인 탄소나노튜브(carbon nanotube)의 전기적, 열적, 기계적 성질은 이를 전계방출 디스플레이(field emission display), 전도성 플라스틱, 가스 저장체, 슈퍼 커패시터 등에 적용가능하게 한다. 최근 2차원계 물질인 그래핀과 1차원계 물질인 탄소나노튜브의 장점을 극대화하기 위한 복합 나노 구조에 대한 다양한 연구가 진행되고 있는 추세이다[1-5]. 본 연구에서 그래핀-탄소나노튜브 혼성 구조의 제작은 다음과 같이 진행되었다. 우선 열 화학기상증착법(thermal chemical vapor deposition)을 이용하여 그래핀을 합성하였다. 합성된 그래핀은 메타크릴산메탈 수지(polymetylmethacrylate; PMMA)를 이용한 전사(transfer)방법을 이용하여 원하는 기판에 위치시키고, 직류 마그네트론 스퍼터링(DC magnetron sputtering)을 이용하여 탄소나노튜브의 합성을 위한 촉매층을 증착하였다. 이후 열 화학기상증착법을 이용하여 그래핀 위에 탄소나노튜브를 합성함으로써 그래핀-탄소나노튜브 혼성 구조를 제작하였다. 합성된 그래핀-탄소나노튜브의 구조적 특징은 주사 전자 현미경(scanning electron microscopy)을 통해 확인하였고, 촉매의 표면 형상 및 화학적 상태는 원자힘 현미경(atomic force microscopy)과 X선 광전자 분광법(X-ray photoelectron spectroscopy)을 통해 확인하였다. 또한 제작된 그래핀-탄소나노튜브의 전기적 특성 측정을 통해 나노전자소자로의 응용가능성을 조사하였다. -
에너지 갭의 크기가 큰 ZnO는 큰 여기자 결합과 높은 화학적 안정도를 가지고 있기 때문에 전자소자 및 광소자로 많이 응용되고 있다. ZnO는 광학적 및 전기적 성질의 여러 가지 장점 때문에 메모리, 나노발전기, 트랜지스터, 태양전지, 광탐지기 및 레이저와 같은 여러 분야에 많이 사용되고 있다. Zn와 쉘 구조가 비슷한 Cu 불순물은 우수한 luminescence activator이고 다양한 불순물 레벨을 만들기 때문에 전기적 및 광학적 특성을 변화하는데 좋은 도핑 물질이다. Cu가 도핑된 ZnO 나노구조를 전기화학적 증착법을 이용하여 형성하고, 형성시간의 변화에 따른 구조적 및 광학적 성질에 대한 관찰하였다. ITO 코팅된 유리 기판에 전기화학증착법을 이용하여 Cu 도핑된 ZnO를 성장하였다. Sputtering, pulsed laser vapor deposition, 화학기상증착, atomic layer epitaxy, 전자빔증발법 등으로 Cu 도핑된 ZnO 나노구조를 형성하지만 본 연구에서는 낮은 온도와 간단한 공정으로, 속도가 빠르고 가격이 낮아 경제적인 면에서 효율적인 전기 화학증착법으로 성장하였다. 반복실험을 통하여 Cu의 도핑 농도는 Zn과 Cu의 비율이 97:3이 되도록, ITO 양극과 Pt 음극의 전위차가 -0.75V로 실험조건을 고정하였고, 성장시간을 각각 5분, 10분, 20분으로 변화하였다. 주사전자현미경 사진에서 Cu 도핑된 ZnO는 성장 시간이 증가함에 따라 나노세선 형태에서 나노로드 형태로 변하였다. X-선 회절 측정결과에서 성장시간이 변화함에 따라 피크 위치의 변화를 관찰하였다. 광루미네센스 측정 결과는 Oxygen 공핍의 증가로 보이는 500~600 nm 대의 파장에서 나타난 피크의 위치가 에너지가 큰 쪽으로 증가하였다. 위 결과로부터 성장 시간에 따른 Cu 도핑된 ZnO의 구조적 및 광학적 특성변화를 관찰하였고, 이 연구 결과는 Cu 도핑된 ZnO 나노구조 기반 전자소자 및 광소자에 응용 가능성을 보여주고 있다.
-
ZnO는 큰 여기자 결합 에너지, 낮은 유전 상수, 높은 화학적 안정도를 가지고 있기 때문에 전자소자 및 광소자로 많이 응용되고 있다. 여러 가지 불순물을 주입하여 ZnO의 전기적 및 광학적 성질을 향상시키기 위한 연구가 진행되고 있다. 여러 가지 불순물 중에 Zn와 물리적 및 화학적 성질이 유사한 Cu를 도핑하여 전기화학적성장(electrochemical deposition) 방법으로 ITO가 코팅된 유리 기판 위에 ZnO 박막을 성장하였다. Cu를 도핑하여 ZnO박막을 성장한 결과 구조적으로 ZnO 박막이 나노로드 형태에서 부분적으로 나노세선 또는 나노로드 형태로 변화함을 확인하였다. 광류미네센스 측정 결과는 벌크 ZnO 박막과 비교하여 Cu를 도핑함으로써 ZnO 나노세선이 3.37 eV의 에너지를 가지는 파장의 크기가 줄어들었고 여러 방향으로 ZnO 나노세선이 형성됨을 알 수 있었다. Cu를 도핑함으로써 ZnO 나노세선의 구조적 변화는 크기 않으나 에너지 밴드갭을 변화할 수 있음을 알 수 있었다. ZnO 나노세선의 광학적 성질을 Cu를 도핑하여 변화할 수 있음을 관측하였으며 불순물을 도핑하여 밴드갭을 변화하여 전자소자 및 광소자를 제작하는 기초지식으로 사용할 수 있다.
-
화학기상증착법(CVD; Chemical Vapor deposition)으로 h-BN을 증착하여 성장 시간에 따른 표면의 특성 및 결정성을 연구하였다. 암모니아 보레인(BH3NH3)을 보론 나이트라이드(Boron Nitride) 박막의 전구물질로 이용하였으며,
$70{\sim}120^{\circ}C$ 로 열을 가하여 열분해하였다.$25{\mu}m$ 두께의 구리 기판을 챔버에 넣어서 Low pressure (~25 mTorr) 상태가 되도록 한다. 25 mTorr 이하의 압력에서 수소 가스 (0.2~1sccm)를 넣고$20^{\circ}C$ /min로 가열한 후 약 한 시간 후에$990{\sim}1,000^{\circ}C$ 가 된다. 그 후 Cu foil의 표면을 부드럽게 하고, 산화막을 제거하기 위해$990^{\circ}C$ 에서 40 분간 열처리(annealing)한다. 그 후 암모니아 보레인에서 분해된 보라진 가스(borazine; B3H6N3)로 h-BN을 합성한다. 성장 시간이 길수록 더 많은 부분이 보론 나이트라이드에 의해 덮인다는 것을 관찰하였고, 성장 시 주입하는 수소의 양(0.2~5 sccm)과 알곤(0~15 sccm)의 혼합 비율에 따라 보론 나이트라이드의 domain size가 변화함을 알 수 있었다. 그 각각의 차이를 주사 전자현미경(SEM; Scanning Electron Microscopy)을 통해 확인하고, 결정성을 라만 분광(Raman spectroscopy), 광전자 분광(XPS; X-ray photoelectron spectroscopy)으로 비교 분석하였다. -
반세기가 지나는 동안 우리는 반도체의 크기가 계속해서 작아지는 것을 경험해왔다. 반도체 디바이스들의 차원이 100 nm 이하로 작아지면서, 나노와이어나 나노튜브로 이루어진 나노 소자들은 필연적으로 양자효과[1] 같은 저차원효과가 나타나게 된다. 특히 1차원 반도체 구조에서는 전자상태 밀도의 변화에 수반되는 전자-포논의 상호작용이 감소되어 전자이동도가 증가할 것으로 예측되었고, 이러한 이동도의 증가는 그동안 나노와이어나 나노튜브의 전기 전도도 증가가 일어난 실험적 데이터를 설명하는 이론적 받침이 되었다[2]. 한편 일차원 반도체 구조 체에서는 채널의 저차원화에 따른 전기장의 불균일성이 심화되고 이로 인하여 벌크와 매우 다른 전기수송 특성이 나타날 수 있는데 이러한 점이 그동안 간과되어 왔다. 본 연구에서는 시뮬레이션을 통하여 양자효과를 배제한 정전기적인 저차원 효과만으로도 전기 전도도가 증가할 수 있음을 보이고자 한다. 우리는 푸아송 방정식과 표동-확산 방정식을 SILVACO사의 ATLAS 3D 시뮬레이터를 이용하여 풀었다. 이 시뮬레이션에 사용된 실리콘 나노와이어는 길이를
$2{\mu}m$ 로 고정시키고 다양한 정사각형 단면적을 가진 구조로 하였다. 여기서 정사각형의 한변을 10nm 에서 100 nm까지 변화시켰다. 실리콘 채널의 도핑농도가$1{{\times}}1016cm-3$ 일 경우, 낮은 전압, 즉 < 0.5 V 이하 영역에서는 벌크와 같은 선형적인 전류-전압 특성이 나타나지만, 그 이상의 전압 영역에서는 전류-전압 그래프가 위로 휘어지며(super-linear) 전기전도도가 확연히 증가함을 알 수 있었다. 예를 들어 2 V에서는 벌크에 비하여 흐르는 전류가 2배나 더 향상되었다. 이런 비선형적인 성질은 높은 전압을 인가하였을 때 나노와이어 채널 전반에 걸쳐 charge neutrality가 깨지게 되고 전하밀도가 증가하여 전도도 증가가 일어나는 것으로 밝혀졌다. 이 결과는 기존의 나노선에서의 전기전도도 증가 현상을 설명할 수 있는 대안을 제공할 수 있다. -
최근 나노크기의 미세구조 가공기술이 발달함에 따라 다양한 응용을 위한 나노소재/구조가 활발히 연구 되고 있다[1]. 그 중에서 실리콘 나노선은 태양전지, 메모리, 트랜지스터 그리고 광 공진기에 쓰일 수 있는 소재로서 기존의 실리콘 가공기술을 바로 사용할 수 있을 뿐 아니라[2], 비용 면에서 탁월한 잇점이 있기 때문에 주목 받고 있는 소재이다. 실리콘 나노선의 물리적 특성을 연구하기 위한 많은 연구가 진행되었지만, 매우 작은 크기와 높은 표면적-부피비율로 인해 생긴 독특한 특징을 완전히 이해하기에는 아직 부족한 점이 많다. 실리콘 나노선의 전류-전압특성에 영향을 미치는 요소는 도핑농도, 표면상태, 채널의 크기 등으로 다양한데, 이번 연구에서는 실리콘 나노선의 표면환경이 공기와 물 두 종류로 매질에 접하고 있을 경우에 대하여 각각 전류-전압을 측정하였다. 물이 공기와 다른 점은 크게 두 가지로 볼 수 있다. 첫째로 물의 경우에는 물에 용해된 수소이온과의 화학반응을 통하여 실리콘 표면전하가 유도되며 pH 값에 민감하게 변화한다. 둘째로 물의 유전율은 공기의 80배로서 표면부근에서의 전기장분포가 많이 왜곡된다. 이를 위하여 SOI를 기반으로 채널길이
$5{\mu}s$ , 두께 40 nm, 너비 100 nm인 실리콘 나노선을 일반적인 반도체공정을 사용하여 제작하였다. 나노선의 전기적 특성 실험은 Semiconductor Parameter Analyzer (Agilent, 4155C)를 사용하여 전류-전압특성을 표면 상태를 변화시키면서 측정하였다. 실험을 통해 실리콘 나노선은 물과 공기 두 가지 표면환경에 따라 전류-전압특성이 확연히 변화하는 것을 볼 수 있었다. 동일한 전압 바이어스에서 표면에 물이 있을 때가 공기 있을 때 보다 훨씬 증가한 전류를 얻을 수 있었고(3V에서 약 2배), 비선형적인 전류-전압특성이 나타남을 관찰하였다. 본 발표에서는 이러한 실험결과를 표면에서의 전하와 정전기적인 효과로서 정성적으로 설명하고, 전산모사결과와 비교분석 하고자 한다. -
The continuous monolayer graphene was synthesized on electro-polished copper foil. Electro-polishing sticks off the coating layer of copper foil, which prevents the continuous graphene growth. The quality of continuous graphene is dependent on roughness of copper foil. Copper foil roughness could be controlled by changing polishing condition. The effects of working voltage (4-6 V) and time (30-70 sec) for electro-polishing were systematically examined. The change of surface roughness was checked with AFM.
-
Over the recent years, surface enhanced Raman spectroscopy (SERS) has dramatically grown as a label-free detecting technique with the high level of selectivity and sensitivity. Conventional SERS-active nanostructured layers have been deposited or patterned on rigid substrates such as silicon wafers and glass slides. Such devices fabricated on a flexible platform may offer additional functionalities and potential applications. For example, flexible SERS-active substrates can be integrated into microfluidic diagnostic devices with round-shaped micro-channel, which has large surface area compared to the area of flat SERS-active substrates so that we may anticipate high sensitivity in a conformable device form. We demonstrate fabrication of flexible SERS-active nanostructured substrates based on soft-lithography for simple, low-cost processing. The SERS-active nanostructured substrates are fabricated using conventional Si fabrication process and inkjet printing methods. A Si mold is patterned by photolithography with an average height of 700 nm and an average pitch of 200 nm. Polydimethylsiloxane (PDMS), a mixture of Sylgard 184 elastomer and curing agnet (wt/wt = 10:1), is poured onto the mold that is coated with trichlorosilane for separating the PDMS easily from the mold. Then, the nano-pattern is transferred to the thin PDMS substrates. The soft lithographic methods enable the SERS-active nanostructured substrates to be repeatedly replicated. Silver layer is physically deposited on the PDMS. Then, gold nanoparticle (AuNP) inks are applied on the nanostructured PDMS using inkjet printer (Dimatix DMP 2831) to deposit AuNPs on the substrates. The characteristics of SERS-active substrates are measured; topology is provided by atomic force microscope (AFM, Park Systems XE-100) and Raman spectra are collected by Raman spectroscopy (Horiba LabRAM ARAMIS Spectrometer). We anticipate that the results may open up various possibilities of applying flexible platform to highly sensitive Raman detection.
-
갈륨비소(GaAs)는 수직공진표면방출레이저, 발광다이오드, 태양전지 등과 같은 광전소자에 널리 사용되는 물질이다. 그러나 높은 굴절률을 갖는 갈륨비소는 표면에서 30% 이상의 반사율을 갖기 때문에 광손실로 인해 소자의 성능이 저하된다. 따라서 표면 Fresnel 반사율을 낮출 수 있는 효율적인 반사방지막이 필요하다. 최근, 열적 불일치, 물질 선택, 접착력 저하의 단점을 가지고 있는 기존 다중박막을 대체하는 생체모방 서브파장 나노구조가 활발히 연구되고 있다. 이러한 구조는 공기(air)부터 갈륨비소까지 선형적인 유효굴절률 분포를 갖는 유효 단일박막과도 같기 때문에 소자 표면에서의 광손실을 줄일 수 있다. 더욱이, 자연계의 나방의 각막과 나비의 눈의 구조 형태를 모방한 반도체 생체모방 복합 눈(compound eye)은, 즉 마이크로 렌즈모양과 서브파장 나노격자구조의 복합적 형태, 표면에서 우수한 반사방지 특성을 나타낸다. 본 연구에서는, 포토리소그래피와 유도결합플라즈마 식각법을 이용하여 GaAs 기판 표면에 마이크로 렌즈 모양의 패턴을 형성한 후, 스핀코팅을 이용하여 나노 크기를 갖는 실리카 구를 도포하여 건식 식각함으로써 복합 눈 구조를 갖는 갈륨비소 반사방지막을 제작하였다. 제작된 샘플의 표면 및 식각 형상은 전자현미경(scanning electron microscope)을 사용하여 관찰하였으며, UV-vis-NIR spectrophotometer를 사용하여 반사율을 측정하였다.
-
Lead sulfide (PbS) Colloidal quantum dots (CQDs) are promising material for the photovoltaic device due to its various outstanding properties such as tunable band-gap, solution processability, and infrared absorption. More importantly, PbS CQDs have large exciton Bohr radius of 20 nm due to the uniquely large dielectric constants that result in the strong quantum confinement. To exploit desirable properties in photovoltaic device, it is essential to fabricate a device exhibiting stable performance. Unfortunately, the performance of PbS NQDs based Schottky solar cell is considerably degraded according to the exposure in the air. The air-exposed degradation originates on the oxidation of interface between PbS NQDS layer and metal electrode. Therefore, it is necessary to enhance the stability of Schottky junction device by inserting a passivation layer. We investigate the effect of insertion of passivation layer on the performance of Schottky junction solar cells using PbS NQDs with band-gap of 1.3 eV. Schottky solar cell is the simple photovoltaic device with junction between semiconducting layer and metal electrode which a significant built-in-potential is established due to the workfunction difference between two materials. Although the device without passivation layer significantly degraded in several hours, considerable enhancement of stability can be obtained by inserting the very thin LiF layer (<1 nm) as a passivation layer. In this study, LiF layer is inserted between PbS NQDs layer and metal as an interface passivation layer. From the results, we can conclude that employment of very thin LiF layer is effective to enhance the stability of Schottky junction solar cells. We believe that this passivation layer is applicable not only to the PbS NQDs based solar cell, but also the various NQDs materials in order to enhance the stability of the device.
-
차세대 플렉시블 디스플레이 소재로서, 탄소나노뷰브(CNT) 기반의 투명전도막은 기존의 ITO 박막보다 우수한 유연성을 갖기 때문에 많은 관심을 모으고 있다. 특히 낮은 저항과 투과도를 유지하면서 투명 전도막의 내구성을 향상시키는 연구는 상업화에 가장 필요한 연구 분야이다. 본 연구에서는 다층벽 탄소나노튜브(MWCNT)를 이용하여 제작된 투명 전도막의 내구성을 개선하기 위하여 오버 코팅을 통한 물성 개선을 연구하였다. 투명전도막은 PET기판 위에 스프레이 방식을 이용하여 균일하게 코팅하였다. 오버 코팅 물질로는 실리콘계 유무기하이브리드 투명하드 코팅을 적용하였다. 연구결과 오버 코팅층과 CNT 코팅층과의 젖음성이 물성 향상에 가장 많은 영향을 끼치는 것을 관찰하였고, 특히 젖음성이 증가할수록 투과도와 전기전도도가 향상되는 것을 확인하였다. 또한 고온 고습 환경에서 240시간 이상 내구성 테스트 결과, 저항률 변화가 1.1 이하인 것을 확인하였다.
-
ICP (RF) 열 플라즈마 분말 합성법은 초고온 열플라즈마(~10,000 K) 속으로 원료물질을 투입한 뒤, 용융, 기화 및 재합성의 과정을 거쳐 초미분(<1
${\mu}s$ )을 합성하는 방법으로 고출력 시스템의 경우 고온/고 엔탈피 열 유동을 통한 고융점 및 저융점 복합물질의 동시 기화에 의한 물질 조성이 제어된 나노 복합체의 대량 합성이 가능할 것으로 기대되고 있다. 본 연구에서는 전북대학교 고온플라즈마 응용연구센터의 60&200 kW의 고출력 ICP (RF) 열 플라즈마 시스템을 이용하여 LTO (Lithium Titanium Oxide)와 IZTO (Indium Zinc Tin Oxide), Barium Borosilicate Glass (K2O-BaO-B2O3-SiO2)의 다성분계 나노 복합체를 합성하였으며, FE-SEM, TEM, XRD, ICP-OES를 이용하여 그 특성을 분석하였다. -
Eu이 도핑된 ZnS 나노선을 제작하여 온도변화에 따른 광발광 변화를 측정하였다. ESR 측정을 통하여 제작된 시료의 도펀트가 Eu2+ 상태임을 확인하였다. Au-catalyst와 Eu 도펀트 관련전이(Fig. 1, P2)와 표면 상태와 관련된 exciton (P1)의 온도변화에 대한 발광특성들이 100 meV 내외의 매우 큰 활성화 에너지를 가짐을 알 수 있었다. 이들 나노선들이 상온에서도 매우 강하게 발광하는 특성이 첨가된 도펀트와 강하게 관련이 있음을 알 수 있었으며, 이 실험을 통하여 Eu을 도핑한 ZnS 나노선들이 발광 소자로 이용 가능성함을 확인하였다.
-
Synthesis of CdSe Multi-shell Structured Nanocrystal Quantum Dot through the Continuous Flow ReactorFor desired optical properties of QDs, it is very important to reduce the presence of defects on their surfaces. Passivation of surface defects using larger band gap materials is the most effective way. Some groups successfully synthesized Cd based multi-shell structured quantum dots and improved its optical properties. However, its productivity has limit because of the amounts of glass ware and space. In this research, we try to synthesize Cd based multi-shell structured nanocrystal quantum dots to overcome demerits of conventional batch synthetic method. This reactor composed pump, SUS reaction part (3.2 mm stainless steel and furnace) and batch mixer. We successively synthesized CdSe/CdS/ZnS quantum dot at this reactor in one step.
-
Synthesis of nanocrystalline diamond powder was investigated via a gas-to-particle scheme using the hot filament chemical vapor deposition. Effect of substrate surface seeding by nano diamond powder, and that of the electrical conductance of the substrate were studied. The substrate temperature, methane content in the precursor gas, filament-substrate distance and filament temperature were
$670^{\circ}C$ , 5% methane in hydrogen, 10 mm and$2400^{\circ}C$ , respectively. The powder formation by gas-to-particle mechanism were greatly enhanced by the substrate seeding by the nano diamond powder. It was attributed to the removal of the electrostatic force between the substrate and the seeded nano diamond particle by the thermal electron shower from the hot filament, via the depolarization of the substrate surface or the attached diamond powder and subsequent levitation into the gas phase to serve as the gas-phase nucleation site. The powder formation was greatly favoured by the conducting substrate relative to the insulating substrate, which proved the actual effect of the electric static force in the powder formation. -
Lithium-ion battery (LIB) usually used for valuable electronic devices are extended to applications. High stability negative electrode materials for LIB were investigated using electrodeposition of nanoparticles (NPs) on the nanostructured carbon. NPs with about 70 nm diameters were evenly prepared on the graphitic carbon materials using electrodeposition process at room temperature. It was observed that the NPs were homogeneously embedded into not only external surface but bottom part of the graphitic carbon network. The graphitic carbon material covered with NPs enables facile electron transport owing to the network structure and improves structural collapse during cycling. This facile room temperature process is expected to be applicable to other anode materials such as Sn and Al for the anode of LIB.
-
Cu(In,Ga)
$Se_2$ (CIGS) 화합물은 직접천이형 반도체로 열적으로 매우 안정하고 에너지밴드갭이 1.04 eV로 이상적인 값에 가깝고, 특히 높은 광흡수계수를 가지기 때문에 박막 태양전지로서 커다란 응용 잠재력을 갖고 있는 광흡수층 재료이다. CIGS 화합물 박막 태양전지의 효율은 연구실에서는 ~20%를 높은 효율을 보고하고 있으며, 모듈급에서도 ~13%의 효율을 보이고 있다. 그러나 CIGS 박막 태양전지를 대면적 또는 양산화에 적용하기 위해서는 20년 이상의 장기적인 수명을 보장할 수 있는 내구성을 갖추어야 한다. 본 연구에서는 CIGS 모듈의 장기적인 신뢰성을 평가하기 위해 CIGS PV 모듈을 대상으로 대표적인 고온 고습 조건인 IEC-61646 규격을 이용하여$85^{\circ}C$ /85% RH에서 1000시간 동안 가속시험이 수행되었고, 고온 환경하에서 모듈의 성능 저하에 미치는 영향을 고찰하기 위해 모듈을$85^{\circ}C$ 에서 1000시간 노출시켰다. 두 종류의 가속 스트레스시험 후에 모듈들의 외형적인 노화현상 및 전기적 열화 성능을 분석하였다. 또한 모듈의 효율저하의 원인을 규명하기 위해 모듈 구성 재료 중 충진재료로 사용하는 EVA sheet와 투명전극 AZO를 대상으로 고장분석을 수행하였다. AZO의 미세구조 관찰, 결정상 분석, XPS 분석 및 전기적 분석과 EVA sheet의 FT-IR 분석과 TG-DSC 분석들을 종합하여 CIGS PV 모듈의 성능저하의 원인을 규명하였다. -
투명전극 산화막은 태양전지, 평판 디스플레이 등의 투명전극과 같은 광전자 소자에 사용되고 있다. 투명 전도성 산화막으로서 ITO (Indium tin oxide)는 높은 투과도, 낮은 비저항, 높은 일함수 등의 장점을 가지고 있어서 그동안 널리 사용되어 왔다. 그러나 In의 희소성으로 인한 고가격 문제 때문에 이를 대체하기 위해 불순물을 도핑한 ZnO (Zinc oxide)에 관한 연구가 활발히 진행되어 왔다. ZnO의 전기전도도를 높이기 위해 일반적으로 Al, Ga, B와 같은 3족 원소가 ZnO의 n형 도펀트로 널리 사용된다. 그 중에서 Al은 반응성이 커서 박막 증착 중에 산화되기 쉬운 반면 낮은 생산단가, 우수한 전기적 및 광학적 특성을 보이기 때문에 투명 전극으로서 Al-doped ZnO (AZO)가 많이 이용되고 있다. 본 연구에서는 rf 마그네트론 스퍼터링 공정을 이용하여 glass 기판 위에 Al-doped ZnO (AZO) 투명 전도막을 증착하였고, 수명 및 신뢰성에 영향에 미치는 주요 인자로서 온도, 온도 사이클 및 습도에 따른 AZO 박막의 열화 특성에 대한 연구를 진행하였다. 또한, 온도 사이클, 고온 및 고온고습 환경에 장시간 노출된 AZO 박막들의 성능 저하 원인들을 미세구조 관찰, 전기적 및 광학적 특성 변화들을 연계하여 규명하고자 하였다.
-
Nam, Sang-Hun;Suk, Won;Yang, Hee-Su;Hwang, Ki-Hwan;Jin, Hyun;Seop, Kyu;Hong, Byungyou;Boo, Jin-Hyo 423
Recently, many researchers made progress in various studies improving the efficiency of dye-sensitized solar cell. In this paper, we used glass textured by wet-chemical etching process for improvement of photocurrent density in dye-sensitized solar cells. This is owing to increase coefficient of light utilization. Consequently, DSSC using the textured glass exhibit a Jsc of 9.49 mA/$cm^2$ , a Voc of 0.73 V and a fill factor (FF) of 0.67 with an overall conversion efficiency of 4.64. This result showed increasing of 20% current density and 16% conversion efficiency using the textured glass. These results suggested that glass texturing was very effective in controlling the light-scattering properties into the photovoltaic cell. -
Park, Seong-Hwak;Lee, Gyeong-Il;Lee, Cheol-Seung;Park, Ji-Seon;Kim, Seon-Min;Kim, Seong-Hyeon;Jo, Jin-U 424
ZnO는 수열합성법을 통해 저온에서 단결정으로 성장할 수 있기 때문에 광전소자 및 압전소자로 응용되고 있으나, 성장된 ZnO nanowire 내부 산소 결함 및 표면에 OH기의 흡착에 의해 소자특성 저하를 발생시킨다. 본 연구에서는 ZnO의 결함의 최소화를 위해 Glass 기판에 수열합성법으로 성장된 ZnO nanowire를 ICP 플라즈마 장치를 이용하여 O2 25 sccm, Base Pressure$1.5{{\times}}10^{-3}$ Torr을 기준으로 파워와 시간에 따라 표면처리 하였다. 플라즈마 처리된 ZnO nanowire의 결함특성과 형상을 XPS와 FE-SEM를 통하여 분석하였으며, ZnO nanowire의 소자특성을 평가를 위해 Kapton Film/AZO/ZnO nanowire/PMMA/Au 구조의 발전기를 제작하였다. 150 W, 10 min에서 532.4 eV의 -OH결합이 최소화됨을 확인하였으며, 이를 이용하여 Flexible ZnO nanowire 발전기 제작 했을 경우 최대 Voltage 5 V, Current 156 nA 전기적 특성을 확인하였다. -
입사되는 태양광의 광 경로와 투과도는 태양전지 효율에 밀접한 관련이 있기 때문에 이를 개선하기 위한 많은 연구들이 진행 중에 있다. 본 연구에서는 광 경로를 길게 하고, 투과도를 개선하기 위해 알루미늄 도핑된 ZnO (AZO) 씨드층을 ICP플라즈마 처리를 하였고, 플라즈마 처리된 기판에 ZnO 나노와이어를 성장하였다. 플라즈마 처리된 AZO 기판과 ZnO 나노와이어가 성장된 기판의 광 투과도를 분석하기 위해 Haze meter를 이용하였으며, FE-SEM을 이용하여 각 기판의 형상을 분석하였다. AZO 씨드층을 플라즈마 처리했을 경우 ITO 기판보다 400-500 nm 영역에서 투과도가 향상되었고, ZnO 나노와이어가 성장한 기판은 400~600 nm 영역에서 투과도가 개선되는 것을 확인 할 수 있었다. ZnO 나노와이어가 성장된 기판을 이용하여 P3HT:PCBM 블랜딩된 유기 태양전지를 제작하여 전기적 특성 및 효율을 평가 하였다.
-
Kang, Young-Jin;Song, Hye-Jin;Cho, You-Suk;Yoon, Jong-Man;Jung, Yong-Deuk;Cho, Dea-Hyung;Kim, Ju-Hee;Park, Su-Jung 426
The CIGS absorber has outstanding advantages in the absorption coefficient and conversation efficiency. The CIGS thin film solar cells have been researched for commercialization and increasing the conversion efficiency. CIG precursors were deposited on the Mo coated glass substrate by magnetron sputtering with multilayer structure, which is CuIn/CuGa/CuIn/CuGa. Then, the metallic precursors were selenized under high Se pressure by RTP method which included. Se vapor was supplied using Se cracker cell instead of toxic hydrogen selenide gas. Se beam flux was controlled by variable reservoir zone (R-zone) temperature during selenization process. Cracked Se source reacted with CIG precursors in a small quantity of Se because of small size molecules with high activation energy. The CIGS thin films were studied by FESEM, EDX, and XRD. The CIGS solar cell was also developed by layering of CdS and ZnO layers. And the conversion efficiency of the CIGS solar cell was characterization. It was reached at 6.99% without AR layer. -
Cu(In,Ga)
$Se_2 $ (CIGS) 박막 태양전지는 높은 효율과 낮은 생산 단가로 인해 많은 연구가 이루어지고 있다. 특히, Se flux는 박막의 특성에 가장 중요한 CIGS의 결정성, 결정립 크기, 결정방향을 형성하는데 영향을 주는 것으로 알려져 있다. 일반적인 co-evaporation에 사용되는 Se effusion cell의 경우, 높은 분자가를 가지는 Se 분자들이 공급되기 때문에 낮은 반응성을 보이지만 Se cracker cell을 사용할 경우 Se 분자들이 열적으로 크래킹되어 낮은 분자가를 가지므로 화학적으로 높은 반응성을 가진다. 따라서 적은 양의 Se으로도 양질의 CIGS 박막 제작이 가능하다. 본 연구에서는 Se effusion cell과 cracker cell을 이용하여 CIGS 광흡수층을 제작하였으며, 각각 제작된 CIGS 박막의 특성을 비교하였다. 또한 Se cracker cell의 reservoir zone(R-zone) 온도를 통해 Se flux를 변화시켜 Se flux에 따른 CIGS 박막 태양전지의 특성에 대해 알아보았다. SEM, EDS, XRD 측정을 통해 박막의 특성을 분석하였고, J-V 측정을 통해 태양전지의 특성에 대해 알아보았다. Se cracker를 사용하여 제작된 CIGS 박막의 결정립 크기가 effusion cell로 제작된 박막보다 더 크게 나타났고, Se flux가 증가할수록 결정립의 크기는 증가하였다. Se cracker의 flux가$0.17{\'{{\AA}}}$ /s일 때 반사방지막 없이 13.14%의 효율을 나타내었다. -
CIGS 또는 CIS 태양전지는 높은 흡광계수와 조절가능한 밴드갭 특성으로 인해 높은 광전변환효율을 나타내므로 실리콘 태양전지를 대체할 차세대 태양전지로 주목받고 있다. 그러나 태양전지의 저가화를 위해서는 기존의 동시증발법 또는 스퍼터링을 대신할 수 있는 비진공 방식의 박막제조방법이 요구된다. 다양한 비진공 코팅방법 중에서 용액 또는 콜로이드 전구체를 프린팅하는 방법은 batch 조성이 박막의 조성으로 전사되므로, 전착법에 비해 조성 조절이 용이하다는 장점이 있다. 한편, 콜로이드 공정에 속하는 Cu-In 합금 나노 분말법은 셀렌화 또는 황화 과정 중에 부피가 팽창하는 장점을 활용 가능한 반면, 전구체 박막의 충진밀도가 낮을 경우 열처리를 통한 치밀화에 한계가 생길 수 있는 단점이 있다. 본 연구에서는 합성한 Cu-In합금 분말을 이용하여 전구체 박막을 형성한 후 반응기구가 다른 황 및 셀레늄 분위기에서의 열처리를 통해 소결된 박막의 결정상, 미세구조 및 표면 형상의 차이를 비교하였다.
-
To investigate the gettering effect of B-doped n-type monocrystalline silicon wafer, we made the p-n junction by diffusing boron into n-type monocrystalline Si substrate and then oxidized the boron doped n-type monocrystalline silicon wafer by in-situ wet and dry oxidation. After oxidation, the minority carrier lifetime was measured by using microwave photoconductance and the sheet resistance by 4-point probe, respectively. The junction depth was analyzed by Secondary Ion Mass Spectrometry (SIMS). Boron diffusion reduced the metal impurities in the bulk of silicon wafer and increased the minority carrier lifetime. In the case of wet oxidation, the sheet resistance value of
${\sim}46{\Omega}/{\Box}$ was obtained at$900^{\circ}C$ , depostion time 50 min, and drive-in time 10 min. Uniformity was ~7% at$925^{\circ}C$ , deposition time 30 min, and drive-in time 10 min. Finally, the minority carrier lifetime was shown to be increased from$3.3{\mu}s$ for bare wafer to$21.6{\mu}s$ for$900^{\circ}C$ , deposition 40 min, and drive-in 10 min condition. In the case of dry oxidation, for the condition of 50 min deposition, 10 min drive-in, and O2 flow of 2000 SCCM, the minority carrier lifetime of 16.3us, the sheet resistance of${\sim}48{\Omega}/{\Box}$ , and uniformity of 2% were measured. -
Moon, Sun-Woo;Kim, Eun-Kyeom;Park, Won-Woong;Kim, Kyung-Hoon;Kim, Sung-Min;Kim, Dong-Hwan;Han, Seung-Hee 430
The surface recombination velocity of the silicon solar cell could be reduced by passivation with insulating layers such as$SiO_2$ , SiNx,$Al_2O_3$ , a-Si. Especially, the aluminium oxide has advantages over other materials at rear surface, because negative fixed charge via Al vacancy has an additional back surface field effect (BSF). It can increase the lifetime of the hole carrier in p-type silicon. The aluminium oxide thin film layer is usually deposited by atomic layer deposition (ALD) technique, which is expensive and has low deposition rate. In this study, ICP-assisted reactive magnetron sputtering technique was adopted to overcome drawbacks of ALD technique. In addition, it has been known that by annealing aluminium oxide layer in nitrogen atmosphere, the negative fixed charge effect could be further improved. By using ICP-assisted reactive magnetron sputtering technique, oxygen to nitrogen ratio could be precisely controlled. Fabricated aluminium oxy-nitride (AlON) layer on silicon wafers were analyzed by x-ray photoelectron spectroscopy (XPS) to investigate the atomic concentration ratio and chemical states. The electrical properties of Al/($Al_2O_3$ or$SiO_2/Al_2O_3$ )/Si (MIS) devices were characterized by the C-V measurement technique using HP 4284A. The detailed characteristics of the AlON passivation layer will be shown and discussed. -
A compact tokamak reactor concept as a 14 MeV neutron source is desirable from an economic viewpoint for a fusion-driven transmutation reactor. LAR (Low Aspect Ratio) tokamak allows a potential of high "see full txt" operation with high bootstrap current fractions and can be used for a compact fusion neutron source. For the optimal design of a reactor, a radial build of reactor components has to be determined by considering the plasma physics and engineering constraints which inter-relate various reactor components and are constrained to use ITER physics and technology. In a transmutation reactor, the blanket should produce enough tritium for tritium self-sufficiency and the neutron multiplication factor, keff should be less than 0.95 to maintain sub-criticality. The shield should provide sufficient protection for the superconducting toroidal field (TF) coil against radiation damage and heating effects of the fusion neutrons, fission neutrons, and secondary gammas. In this work, characteristics of transmutation reactor based on LAR tokamak is investigated by using the coupled system analysis.
-
Polymer solar cells are fabricated using electrospray (e-spray) deposition process. It shows comparable performance with reference devices, and has different characteristics according to the thickness of the active layer: In the case of the devices with higher fill factor, it shows relatively lower current density, and vice versa. These films are characterized by atomic force microscopy measurement. The results indicate that the comparable power conversion efficiency made by e-spray results from the 'solvent annealing effect' by process conditions and the different thin film property is caused by the degree of self-organization of the polymer.
-
Despite recent efforts for fabricating flexible transparent conducting films (TCFs) with low resistance and high transmittance, several obstacles to meet the requirement of flexible displays still remain. Indium tin oxide (ITO) thin films, which have been traditionally used as the TCFs, have a serious obstacle in TCFs applications. SWNTs are the most appropriate materials for conductive films for displays due to their excellent high mechanical strength and electrical conductivity. Recently, it has been demonstrated that acid treatment is an efficient method for surfactant removal. However, the treatment has been reported to destroy most SWNT. In this work, the fabrication by the spraying process of transparent SWNT films and reduction of its sheet resistance by Au-ionic doping treatment on PET substrates is researched. Arc-discharge SWNTs were dispersed in deionized water by adding sodium dodecyl sulfate (SDS) as surfactant and sonicated, followed by the centrifugation. The dispersed SWNT was spray-coated on PET substrate and dried on a hotplate. When the spray process was terminated, the TCF was immersed into deionized water to remove the surfactant and then it was dried on hotplate. The TCF film was then was doped with Au-ionic doping treatment, rinsed with deionized water and dried. The surface morphology of TCF was characterized by field emission scanning electron microscopy. The sheet resistance and optical transmission properties of the TCF were measured with a four-point probe method and a UV-visible spectrometry, respectively. This was confirmed and discussed on the XPS and UPS studies. We show that 87
${\Omega}/{\Box}$ sheet resistances with 81% transmittance at the wavelength of 550 nm. The changes in electrical and optical conductivity of SWNT film before and after Au-ionic doping treatments were discussed. The effects of hole transport interface layer using Au-ionic doping SWNT on the performance of organic solar cells were investigated. -
ITER를 비롯한 실증로나 상용로급 토카막에서는 중성빔 가열이나 전류구동을 위해 1MeV이상의 빔 에너지가 요구된다. 빔 출력이 가속전압의 5/2승에 비례함에도 불구하고 양이온 빔의 경우 에너지가 높아지면 빔의 중성화 효율이 급격히 감소하여 ITER NBI의 경우 양이온 빔의 중성화 효율은 0%에 가깝다. 한편 음이온 빔은 1MeV 이상의 에너지영역에서도 빔 에너지와 거의 무관하게 60% 정도의 중성화 효율을 갖는다. 따라서 ITER는 음이온 빔을 바탕으로 한 중성빔 가열장치(N-NBI)를 채택하고 있다. 우리나라의 핵융합연구가 핵융합 발전을 지향하는한 N-NBI에 대한 연구를 시작해야 하며 그 출발점으로 음이온원 개념설계를 시작하였다. 개념설계는 음이온원 개발과정을 통해 1) 음이온 생성원리 규명, 2) 음 이온원 핵심기술 확보, 3) 음이온 및 음이온 빔 관련 진단 등을 연구할 수 있는 축소규모의 proto-type 음이온원 개발을 목표로 하였다. 음이온원 개발은, 초기에는 KAERI NB test stand 및 KAERI 이온원의 플라즈마 버켓을 활용하기 위해 filament-arc type으로 시작하지만 어느정도 기반이 확립되면 플라즈마 버켓의 electron dump를 제거하고 그 자리에 RF driver를 장착하여 궁극적으로 RF 음이온원을 개발할 계획이다. 본 학회에 발표하는 포스터는 filament-arc type 음이온원에 대한 개념설계이다. 설계된 음이온원은 Tent-type 자장필터를 장착하며, 0.5A의 수소 음이온빔 인출을 목표로 하고 있다. 이를 위해 플라즈마 버켓, 세슘 공급시스템, bias plate, 플라즈마 그리드, electron deflection 자석이 설치된 인출 그리드, 접지 그리드 등에 대한 개념설계가 이루어 졌다. 이 외에도 음이온원 전원과 진단 시스템에 대해서도 논의하였다.
-
염료감응형 태양전지의 성능을 향상시키기 위해서는 염료에서 여기된 전자가 TiO2 계면을 따라 TCO (Transparent Conductive Oxide)로 이동하지 않고 산화된 염료나 전해질과 재결합하는 것을 차단하는 것, 그리고 염료에 TCO의 전기적 접촉을 차단하는 것 등이 필요하다. 이를 위해 본 연구에서는 TiO2 박막층 위에 차단층 TiO2를
$450^{\circ}C$ ,$600^{\circ}C$ ,$700^{\circ}C$ 에서 각각 소결한 뒤Blocking layer로서의 온도에 따른 상(phase) 변화를 통해 염료감응형 태양전지의 효율 향상에 대해 실험하였다. 기존 염료 감응형 태양전지에 대한 보고에 의하면$600^{\circ}C$ 이상에서의 상은rutile 상임을 확인할 수 있다. 실험결과 Blocking layer로서의 TiO2를$750^{\circ}C$ 에서$750^{\circ}C$ 에서 sintering 했을 때, 가장 좋은 전기적 특성을 나타내었다. -
No, Jun-Hyeong;Son, Chan-Hui;Kim, Dong-Hae;Seo, Il-Won;Yun, Myeong-Su;Jo, Tae-Hun;Jo, Lee-Hyeon;Gwon, Gi-Cheong 438
결정질 실리콘 태양전지 공정 중 텍스쳐 공정은 표면에서 반사되는 반사광을 줄여 단락전류(Isc)를 증가시킨다. 표면 텍스쳐 형성 방식으로는 일반적으로 습식 식각(Wet etching) 공정과 건식 식각(Reactive ion etching:RIE) 공정이 있다. 습식 식각 공정은 식각 용액을 사용하는 공정이며 건식 식각 공정은 플라즈마를 통하여 식각하는 공정으로 습식 식각 공정의 경우 식각 용액에 의한 공정상 위험도가 높으며, 용액의 폐기물에 의한 환경오염 문제가 크다. 건식 식각공정의 경우 습식 식각과 달리 공정상 위험도가 낮으며 불규칙적인 결정방향에 영향 받지 않는 비등방성 식각이 가능하여 다결정 실리콘 태양전지의 경우 습식 식각 공정보다 반사광이 적어 단락전류가 증가하게 된다. 그리고 태양전지를 Photovoltaic module로 만들게 되면 태양전지의 효율이 떨어지는데 이것을 Cell to module loss (CTM loss)라 부르며 이는 태양전지의 발전량을 줄이는 큰 원인이 된다. CTM loss의 경우 습식 식각 공정보다 건식 식각 공정에서 더 크게 나타나며 건식 식각 공정한 PV module의 경우 CTM loss로 인해 습식 식각 공정을 통한PV module와 비슷한 효율을 내게 된다. 본 연구에서는 식각 공정의 방식에 따라 나타나는CTM loss 중 광 손실 원인을 외부양자효율(External Quantum Efficiency)과 투과율(Transmittance), 반사율(Reflectance) 등 광 특성 통하여 분석한다. -
Seo, Il-Won;Son, Chan-Hui;Yun, Myeong-Su;Jo, Tae-Hun;Kim, Dong-Hae;Jo, Lee-Hyeon;No, Jun-Hyeong;Lee, Jae-Won;An, Jeong-Ho;Lee, Sang-Du;Cha, Seong-Deok;Gwon, Gi-Cheong 439
태양광 발전은 발전 셀의 특성상 태양광의 일사량, 태양과 셀 단면이 이루는 각도에 따라서 발전량의 차이를 가져온다. 실리콘 태양전지의 전면 texturing은 입사광의 반사율을 크게 감소시키고, 태양전지 내에서 빛의 통과길이를 증가시켜 태양전지 내의 흡수하는 빛의 양을 증가 시키는 역할을 한다. 따라서 전면 texturing은 단락전류를 증대시키는 효과를 가지고 온다. 일반적으로 texturing은 alkaline etching (WET) 공정과 reactive ion etching (RIE) 공정이 사용된다. 그리고 다결정 실리콘 태양전지의 경우에는 재료의 결정방향에 따라 식각이 되어지는 WET 공정의 경우 texturing 모양을 제어할 수 없어 효과적이지 못하는 결과를 가지고 온다. 본 연구에서는 Electroluminescence을 측정하여 RIE, WET 공정을 사용하여 만든 texturing 구조의 다결정 태양전지의 Microcrack 및 Defect, Electrode Failure, Hot spot등을 검출하였으며,${\mu}$ -PCD 측정 결과와 비교 분석하여 Micro carrier life time을 유추하여 계산하였다. 또한 반사율을 측정해본 결과 WET 공정 대비 RIE의 경우 단파장영역에서 반사율이 크게 감소하여, 상대적으로 높은 External quantum efficiency (EQE)가 측정되었다. 이는 Jsc를 증가시켜, 태양전지의 효율이 증가되는 결과를 얻을 수 있었다. -
Nanomaterials have emerged as new building blocks to construct light energy harvesting assemblies. Size dependent properties provide the basis for developing new and effective systems with semiconductor nanoparticles, quantized charging effects in metal nanoparticle or their combinations in 2 and 3 dimensions for expanding the possibility of developing new strategies for photovoltaic system. As top-down approach, we developed a simple and effective method for the large scale formation of self-assembled Cu(In,Ga)
$Se_2$ (CIGS) nanostructures by ion beam irradiation. The compositional changes and morphological evolution were observed as a function of the irradiation time. As the ion irradiation time increased, the nano-dots were transformed into a nano-ridge structure due to the difference in the sputtering yields and diffusion rates of each element and the competition between sputtering and diffusion processes during irradiation. As bottom-up approach, we developed the growth of CIGS nanowires using thermal-chemical vapor deposition (CVD) method. Vapor-phase synthesis is probably the most extensively explored approach to the formation of 1D nanostructures such as whiskers, nanorods, and nanowires. However, unlike binary or ternary chalcogenides, the synthesis of quaternary CIGS nanostructures is challenging because of the difficulty in controlling the stoichiometry and phase structure. We introduced a method for synthesis of the single crystalline CIGS nanowires in the form of chalcopyrite using thermal-CVD without catalyst. It was confirmed that the CIGS nanowires are epitaxially grown on a sapphire substrate, having a length ranged from 3 to 100 micrometers and a diameter from 30 to 500 nm. -
Seo, Se-Won;Lee, Chan-U;Seong, Won-Mo;Heo, Se-Yun;Kim, Sang-Hyeon;Lee, Myeong-Hwan;Hong, Guk-Seon 441
SnNb2O6 nanoplates were prepared by a solvothermal synthesis with water and ethanol mixed solvent. For improvement of their properties, as-prepared SnNb2O6 nanoplates also were calcined. The prepared powder was characterized by X-ray diffraction (XRD), field emission scanning electron microscope (FESEM), Transmission electron microscope (TEM), UV-vis spectroscopy, Raman spectrometer, Brunauer-Emmett-Teller (BET). The calcined nanoplates have a smaller surface area than the as-prepared nanoplates have. Nevertheless, in the case of the optical absorption properties, the calcined nanoplates could absorb more photon energy, due to their smaller band gaps. The Raman analysis revealed that the Nb-O bond length in the calcined nanoplates was longer than that in the as-prepared nanoplate. The higher optical absorption capability of the calcined nanoplates was attributed to the local structure variation within them. Furthermore the high crystallinity of the calcined nanoplates is effective in improving the generation of charge carriers. So, It was found that the calcined nanoplates exhibited superior photocatalytic activity for the evolution of H2 from an aqueous methanol solution than the as-prepared nanoplates under UV and visible irradiation. Therefore, the enhanced photocatalytic activity of the calcined nanoplate powder for H2 evolution was mainly attributed to its high crystallinity and improved optical absorption property resulting from the variation of the crystal structure.