• 제목/요약/키워드: scan testing

검색결과 228건 처리시간 0.027초

Low power scan testing and efficient test data compression for System-On-a-Chip

  • Jung, Jun-Mo;Chong, Jong-Wha
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2002년도 ITC-CSCC -1
    • /
    • pp.228-230
    • /
    • 2002
  • We present a new low power scan testing and test data compression method for System-On-a-Chip (SOC). The don't cares in unspecified scan vectors are mapped to binary values for low power and encoded by adaptive encoding method for higher compression. Also, the scan-in direction of scan vectors is determined for low power. Experimental results for full-scanned versions of ISCAS 89 benchmark circuits show that the proposed method has both low power and higher compression.

  • PDF

테스트 시간과 테스트 전력 감소를 위한 선택적 세그먼트 바이패스 스캔 구조 (Selective Segment Bypass Scan Architecture for Test Time and Test Power Reduction)

  • 양명훈;김용준;박재석;강성호
    • 대한전자공학회논문지SD
    • /
    • 제46권5호
    • /
    • pp.1-8
    • /
    • 2009
  • 스캔 기반 테스트 방법은 큰 순차 회로를 테스트하기 위한 매우 효율적이며 널리 사용되는 방법이다. 그러나 스캔 기반 테스트 방법은 테스트 패턴을 긴 스캔 체인을 통해서 순차적으로 인가해야 하기 때문에 긴 테스트 인가 시간을 필요로 한다. 또한, 스캔 쉬프트 동작이 정상 동작과 비교할 때 전력 소모를 급격히 증가시킨다. 이러한 문제점을 해결하기 위해서, 본 논문에서는 테스트 패턴 인가 시간과 테스트시의 전력 소모를 줄이기 위한 새로운 스캔 구조를 제안한다. 제안하는 스캔 구조는 스캔 체인을 여러 개의 세그먼트로 분할하고 specified bit를 포함하지 않는 세그먼트들을 바이패스 한다. 바이패스 되는 스캔 세그먼트들은 테스트 패턴 인가 동작에서 제외되기 때문에 테스트 패턴 인가 시간과 테스트시의 소모 전력이 상당히 줄어들게 된다.

코드 기반 기법을 이용한 디지털 회로의 스캔 테스트 데이터와 전력단축 (Reduction of Test Data and Power in Scan Testing for Digital Circuits using the Code-based Technique)

  • 허용민;신재흥
    • 전자공학회논문지 IE
    • /
    • 제45권3호
    • /
    • pp.5-12
    • /
    • 2008
  • 디지털 논리회로의 테스트 데이터와 전력소비를 단축시킬 수 있는 효율적인 테스트 방법을 제안한다. 제안 하는 테스트 방법은 테스트장비내의 테스트 데이터 저장 공간을 줄이는 하이브리드 run-length 인코딩 벙법에 기초하고, 수정된 Bus-invert 코딩 방법과 스캔 셀 설계를 제안하여, 스캔 동작시의 개선된 전력 단축효과를 가져온다. ISCAS'89 벤치마크 회로의 실험결과 고장 검출율의 저하 없이 평균 전력은 96.7%, 피크전력은 84%의 단축을 보이며 테스트 데이터는 기존 방법보다 78.2%의 압축을 갖는다.

Low Power Test for SoC(System-On-Chip)

  • Jung, Jun-Mo
    • Journal of information and communication convergence engineering
    • /
    • 제9권6호
    • /
    • pp.729-732
    • /
    • 2011
  • Power consumption during testing System-On-Chip (SOC) is becoming increasingly important as the IP core increases in SOC. We present a new algorithm to reduce the scan-in power using the modified scan latch reordering and clock gating. We apply scan latch reordering technique for minimizing the hamming distance in scan vectors. Also, during scan latch reordering, the don't care inputs in scan vectors are assigned for low power. Also, we apply the clock gated scan cells. Experimental results for ISCAS 89 benchmark circuits show that reduced low power scan testing can be achieved in all cases.

IEEE 1149.1을 이용한 확장된 스캔 경로 구조 (An Extended Scan Path Architecture Based on IEEE 1149.1)

  • 손우정;윤태진;안광선
    • 한국정보처리학회논문지
    • /
    • 제3권7호
    • /
    • pp.1924-1937
    • /
    • 1996
  • 본 논문에서는 다중 보드를 시험하기 위한 새로운 구조인 확장된 스캔 경로 (ESP: Exlended Scan Path)와 절차를 제안한다. 보드률 시험하기 위한 기존의 구조로는 단일 스캔 경로와 다중 스캔 경로가 있다. 단일 스캔 경로 구조는 시험 데이자의 전송 경로 인 스캔 경로가 하나로 연결되므로 스캔 경로가 단락이나 개방으로 결함이 생기면 나머지 스캔 경로에 올바른 시험 데이타를 입력할 수 없다. 다중 스캔 경로 구조는 다중 보드 시험 시보드마다 별도의 신호선이 추가된다. 그러므로 기존의 주 구조는 다중 보드 시험에는 부적절하다. 제안된 ESP구조를 단일 스캔 경로 구조와 비교하면, 스캔 경로 상에 결함이 발생하더라도 그 결함은 하나의 스캔 경로에만 한정되어 다른 스캔 경로의 시험 데이타에는 영향을 주지 않는다. 뿐만 아니라, 비스트(BIST: BUILT In Self Test)와 IEEE 1149.1 경계면 스캔 시험을 병렬로 수행함으로써 시험에 소요되는 시간을 단축한다. 또한 ESP 구조를 다중 스캔 경로 구조와 비교하면, 스캔 경로마다 신호선을 공통으로 사용함으로써 다중 보드 시험 시 추가되는 신호선이 없다. 본 논문 에서는 제안한 ESP 구조와 기존 시험 구조의 성능을 비교하기 위해서, ISCAS '85벤치 마크 회로를 대상으로 각 구조의 시험 수행 시간을 비교하여 우수함을 보였다.

  • PDF

A Novel High Performance Scan Architecture with Dmuxed Scan Flip-Flop (DSF) for Low Shift Power Scan Testing

  • Kim, Jung-Tae;Kim, In-Soo;Lee, Keon-Ho;Kim, Yong-Hyun;Baek, Chul-Ki;Lee, Kyu-Taek;Min, Hyoung-Bok
    • Journal of Electrical Engineering and Technology
    • /
    • 제4권4호
    • /
    • pp.559-565
    • /
    • 2009
  • Power dissipation during scan testing is becoming an important concern as design sizes and gate densities increase. The high switching activity of combinational circuits is an unnecessary operation in scan shift mode. In this paper, we present a novel architecture to reduce test power dissipation in combinational logic by blocking signal transitions at the logic inputs during scan shifting. We propose a unique architecture that uses dmuxed scan flip-flop (DSF) and transmission gate as an alternative to muxed scan flip-flop. The proposed method does not have problems with auto test pattern generation (ATPG) techniques such as test application time and computational complexity. Moreover, our elegant method improves performance degradation and large overhead in terms of area with blocking logic techniques. Experimental results on ITC99 benchmarks show that the proposed architecture can achieve an average improvement of 30.31% in switching activity compared to conventional scan methods. Additionally, the results of simulation with DSF indicate that the powerdelay product (PDP) and area overhead are improved by 28.9% and 15.6%, respectively, compared to existing blocking logic method.

Efficient Parallel Scan Test Technique for Cores on AMBA-based SoC

  • Song, Jaehoon;Jung, Jihun;Kim, Dooyoung;Park, Sungju
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제14권3호
    • /
    • pp.345-355
    • /
    • 2014
  • Today's System-on-a-Chip (SoC) is designed with reusable IP cores to meet short time-to-market requirements. However, the increasing cost of testing becomes a big burden in manufacturing a highly integrated SoC. In this paper, an efficient parallel scan test technique is introduced to minimize the test application time. Multiple scan enable signals are adopted to implement scan architecture to achieve optimal test application time for the test patterns scheduled for concurrent scan test. Experimental results show that testing times are considerably reduced with little area overhead.

System-On-a-Chip(SOC)에 대한 효율적인 테스트 데이터 압축 및 저전력 스캔 테스트 (Low Power Scan Testing and Test Data Compression for System-On-a-Chip)

  • 정준모;정정화
    • 대한전자공학회논문지SD
    • /
    • 제39권12호
    • /
    • pp.1045-1054
    • /
    • 2002
  • System-On-a-Chip(SOC)에 대하여 테스트 데이터 압축 및 저전력 스캔테스팅에 대한 새로운 알고리즘을 제안하였다. 스캔벡터내의 don't care 입력들을 저전력이 되도록 적절하게 값을 할당하였고 높은 압축율을 갖도록 적응적 인코딩을 적용하였다. 또한 스캔체인에 입력되는 동안 소모되는 scan-in 전력소모를 최소화하도록 스캔벡터의 입력 방향을 결정하였다. ISCAS 89 벤치마크 회로에 대하여 실험한 결과는 평균전력 소모는 약 12% 감소되었고 압축율은 약 60%가 향상됨을 보였다.

마이크로파이프라인 회로를 위한 지연 고장 테스트 (Path Delay Testing for Micropipeline Circuits)

  • 강용석;허경회;강성호
    • 대한전자공학회논문지SD
    • /
    • 제38권8호
    • /
    • pp.72-84
    • /
    • 2001
  • 마이크로파이프라인 회로의 모든 연산 소자의 타이밍은 아주 중요하다. 스캔 플립플롭을 이용한 경로 지연고장 테스팅에 관한 기존 연구들은 두 개의 테스트 패턴 중 두 번째 패턴의 조절용이도가 높아야 한다는 점을 간과하였다. 본 논문에서는 작은 면적 오버헤드로 마이크로파이프라인 회로의 경로 지연고장을 테스트 할 수 있는 새로운 스캔 래치 및 테스트 방법을 제안하였다. 새로운 스캔 래치를 사용하여 마이크로파이프라인의 경로지연고장을 테스트한 결과에서 기존연구에 비해 높은 경성 경로 지연고장 검출율을 얻었다. 또한 제안된 스캔 래치는 마이크로파이프라인의 고착고장 검출을 위한 BIST로 응용을 확대하기 쉽다.

  • PDF

초음파 C-Scan을 이용한 구조용 세라믹스의 기계적 특성평가 (Evaluation of Mechanical Properties of Structural Ceramics ($Al_{2}O_{3}$) Using the High Frequency Ultrasonic C - Scan)

  • 장영권
    • 비파괴검사학회지
    • /
    • 제9권2호
    • /
    • pp.18-24
    • /
    • 1989
  • Computer-aided high frequency ultrasonic is applied to aluminum oxide(85w%, 94w%, 96w%, and 99w%) MOR(modulus of rupture) samples to evaluate mechanical properties such as density variation, pore content, elastic modulus, shear modulus, and poisson's ratio. Ultrasonic wave velocity and attenuation measurement techniques were used as an evaluator of such properties. Pulse-echo C-Scan images with different fate setting method using 50MHz center frequency 1 inch focal length transducer allows evaluation of density variation and pore content. Elastic modulus calculated with the relation of density and ultrasonic velocity. It shows good reliability as compared with resonance method. Sintered density variation of $0.025g/cm^{3}$, that is 0.6% of theoretical density in $Al_{2}O_{3}$ samples can be observed by ultrasonic velocity measurement. Attenuation measurement method qualitatively agree with 4-point fracture testing result concerning of porosity content.

  • PDF