Low Power Scan Testing and Test Data Compression for System-On-a-Chip

System-On-a-Chip(SOC)에 대한 효율적인 테스트 데이터 압축 및 저전력 스캔 테스트

  • 정준모 (김포대학 전자정보계열) ;
  • 정정화 (한양대학교 정보통신대학 정보통신학부)
  • Published : 2002.12.01

Abstract

We present a new low power scan testing and test data compression mothod lot System-On-a-Chip (SOC). The don't cares in unspecified scan vectors are mapped to binary values for low Power and encoded by adaptive encoding method for higher compression. Also, the scan-in direction of scan vectors is determined for low power. Experimental results for full - scanned versions of ISCAS 89 benchmark circuits show that the proposed method has both low power and higher compression.

System-On-a-Chip(SOC)에 대하여 테스트 데이터 압축 및 저전력 스캔테스팅에 대한 새로운 알고리즘을 제안하였다. 스캔벡터내의 don't care 입력들을 저전력이 되도록 적절하게 값을 할당하였고 높은 압축율을 갖도록 적응적 인코딩을 적용하였다. 또한 스캔체인에 입력되는 동안 소모되는 scan-in 전력소모를 최소화하도록 스캔벡터의 입력 방향을 결정하였다. ISCAS 89 벤치마크 회로에 대하여 실험한 결과는 평균전력 소모는 약 12% 감소되었고 압축율은 약 60%가 향상됨을 보였다.

Keywords

References

  1. V.Iyengar, K.Charabarty, and B.T.Murray, 'Built-in self testing of sequential circuits using precomputed test sets,' in Proc. IEEE VLSI Test Symp., pp. 418-423, May 1998 https://doi.org/10.1109/VTEST.1998.670900
  2. V.Iyengar, K.Charabarty, B.T.Murray, 'Deterministic built-in pattern generation for sequential circuits,' J.Electron. Tet. Theory Applicat., Vol. 15, pp. 97-115, Aug/Oct. 1999 https://doi.org/10.1023/A:1008384201996
  3. A.Jas, J.Ghosh-Dastidar, and N.A. Touba, 'Scan vector compression/decompression using statistical coding,' in Proc. IEEE VLSI Test Symp., pp. 114-120, May 1999 https://doi.org/10.1109/VTEST.1999.766654
  4. I.Hamzaoglu and J.H.Patel, 'Test set compaction algorithms for combinational circuits,' in Proc. Int. Conf. Computer-Aided Design, pp. 283-289, Nov. 1998 https://doi.org/10.1145/288548.288615
  5. S.Kajihara, I.Pomeranz, K.Kinoshita, and S.M. Reddy, 'On compacting test sets by addition and removal of vectors,' in Proc. IEEE VLSI Test Symp., pp. 202-207, May 1994 https://doi.org/10.1109/VTEST.1994.292312
  6. A.Jas, N.A.Touba, 'Test vector decompression via cyclical scan chains and its application to tesing core-based design,' in Proc. Int. Test Conf., pp. 458-464, Nov. 1998 https://doi.org/10.1109/TEST.1998.743186
  7. S.W. Golomb, 'Run-Length encoding,' IEEE Transactions on Information Theory, Vol. IT-12, pp. 399-401, 1966 https://doi.org/10.1109/TIT.1966.1053907
  8. H.Kobayashi and L.R.Bahl, 'Image data compression by predictive coding, Part Ⅰ: Prediction Algorithm,' IBM Journal of Research & Development, Vol. 18, pp. 164, 1974 https://doi.org/10.1147/rd.182.0164
  9. A.Chandra and K.Chakrabarty, 'System-on-a-chip test data compression and decompression architectures based on Golomb codes,' IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 20, No. 3, pp. 355-368, March 2001 https://doi.org/10.1109/43.913754
  10. A. Chandra and K. Chakrabarty, 'Frequency-directed run-length (FDR) codes with application to system-on-a-chip test data compression,' Proc. IEEE VLSI Test Symposium, pp. 42-47, 2001 https://doi.org/10.1109/VTS.2001.923416
  11. H.J. Wunderlich and Y.Zorian, 'Built-In Self Test(BIST): Synthesis of self-testable systems,' Kluwer Academic Publishers, 1997
  12. Y.Zorian, 'A distributed BIST control scheme for complex VLSI devices,' IEEE VLSI Test Symp., pp. 4-9, 1993 https://doi.org/10.1109/VTEST.1993.313316
  13. W.H. Debany, 'Quiescent scan design for testing digital logic circuits,' Dual-Use tech. & App., pp. 142-151, 1994
  14. R.M. Chou, K.K. Saluja and V.D. Agrawal, 'Scheduling tests for VLSI systems under power constraints,' IEEE Transactions on VLSI, pp. 175-184, 1997 https://doi.org/10.1109/92.585217
  15. S. Wang and S.K. Gupta, 'ATPG for heat dissipation minimization during test application,' IEEE Transactions on Computers, pp. 256-262, 1998 https://doi.org/10.1109/12.663775
  16. R. Sankaralingam, R.R. Oruganti and N.A. Touba, 'Static compaction techniques to control scan vector power dissipation,' Proc. VTS, pp. 35-40, 2000 https://doi.org/10.1109/VTEST.2000.843824
  17. A. Chandra and K. Chakrabarty, 'Combining low-power scan testing and test data compression for system-on-a-chip,' Proc. IEEE/ACM Design Automation Conference (DAC), pp. 166-169, June 2001 https://doi.org/10.1145/378239.378396
  18. M.Sugihara, H.Date and H.Yasuura, A novel test methodology for core-based system LSIs and a testing time minimiation problem, Proc. ITC, pp. 465-472, 1998 https://doi.org/10.1109/TEST.1998.743187