Browse > Article

Low Power Scan Testing and Test Data Compression for System-On-a-Chip  

정준모 (김포대학 전자정보계열)
정정화 (한양대학교 정보통신대학 정보통신학부)
Publication Information
Abstract
We present a new low power scan testing and test data compression mothod lot System-On-a-Chip (SOC). The don't cares in unspecified scan vectors are mapped to binary values for low Power and encoded by adaptive encoding method for higher compression. Also, the scan-in direction of scan vectors is determined for low power. Experimental results for full - scanned versions of ISCAS 89 benchmark circuits show that the proposed method has both low power and higher compression.
Keywords
테스트 데이터 압축;저전력;스캔테스트;
Citations & Related Records
연도 인용수 순위
  • Reference
1 A.Jas, J.Ghosh-Dastidar, and N.A. Touba, 'Scan vector compression/decompression using statistical coding,' in Proc. IEEE VLSI Test Symp., pp. 114-120, May 1999   DOI
2 S.W. Golomb, 'Run-Length encoding,' IEEE Transactions on Information Theory, Vol. IT-12, pp. 399-401, 1966   DOI
3 V.Iyengar, K.Charabarty, and B.T.Murray, 'Built-in self testing of sequential circuits using precomputed test sets,' in Proc. IEEE VLSI Test Symp., pp. 418-423, May 1998   DOI
4 V.Iyengar, K.Charabarty, B.T.Murray, 'Deterministic built-in pattern generation for sequential circuits,' J.Electron. Tet. Theory Applicat., Vol. 15, pp. 97-115, Aug/Oct. 1999   DOI   ScienceOn
5 I.Hamzaoglu and J.H.Patel, 'Test set compaction algorithms for combinational circuits,' in Proc. Int. Conf. Computer-Aided Design, pp. 283-289, Nov. 1998   DOI
6 S.Kajihara, I.Pomeranz, K.Kinoshita, and S.M. Reddy, 'On compacting test sets by addition and removal of vectors,' in Proc. IEEE VLSI Test Symp., pp. 202-207, May 1994   DOI
7 A.Jas, N.A.Touba, 'Test vector decompression via cyclical scan chains and its application to tesing core-based design,' in Proc. Int. Test Conf., pp. 458-464, Nov. 1998   DOI
8 A.Chandra and K.Chakrabarty, 'System-on-a-chip test data compression and decompression architectures based on Golomb codes,' IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 20, No. 3, pp. 355-368, March 2001   DOI   ScienceOn
9 H.Kobayashi and L.R.Bahl, 'Image data compression by predictive coding, Part Ⅰ: Prediction Algorithm,' IBM Journal of Research & Development, Vol. 18, pp. 164, 1974   DOI   ScienceOn
10 H.J. Wunderlich and Y.Zorian, 'Built-In Self Test(BIST): Synthesis of self-testable systems,' Kluwer Academic Publishers, 1997
11 Y.Zorian, 'A distributed BIST control scheme for complex VLSI devices,' IEEE VLSI Test Symp., pp. 4-9, 1993   DOI
12 A. Chandra and K. Chakrabarty, 'Frequency-directed run-length (FDR) codes with application to system-on-a-chip test data compression,' Proc. IEEE VLSI Test Symposium, pp. 42-47, 2001   DOI
13 W.H. Debany, 'Quiescent scan design for testing digital logic circuits,' Dual-Use tech. & App., pp. 142-151, 1994
14 R.M. Chou, K.K. Saluja and V.D. Agrawal, 'Scheduling tests for VLSI systems under power constraints,' IEEE Transactions on VLSI, pp. 175-184, 1997   DOI   ScienceOn
15 M.Sugihara, H.Date and H.Yasuura, A novel test methodology for core-based system LSIs and a testing time minimiation problem, Proc. ITC, pp. 465-472, 1998   DOI
16 S. Wang and S.K. Gupta, 'ATPG for heat dissipation minimization during test application,' IEEE Transactions on Computers, pp. 256-262, 1998   DOI   ScienceOn
17 R. Sankaralingam, R.R. Oruganti and N.A. Touba, 'Static compaction techniques to control scan vector power dissipation,' Proc. VTS, pp. 35-40, 2000   DOI
18 A. Chandra and K. Chakrabarty, 'Combining low-power scan testing and test data compression for system-on-a-chip,' Proc. IEEE/ACM Design Automation Conference (DAC), pp. 166-169, June 2001   DOI