• Title/Summary/Keyword: drain resistance

Search Result 238, Processing Time 0.029 seconds

Design of a Frequency Oscillator Using A Novel DGS (새로운 DGS 구조를 이용한 주파수 발진기 설계)

  • Joung, Myung-Sup;Kim, Jong-Ok;Park, Jun-Seok;Lim, Jae-Bong;Cho, Hong-Goo
    • Proceedings of the KIEE Conference
    • /
    • 2003.07c
    • /
    • pp.1955-1957
    • /
    • 2003
  • This paper presents a novel defected ground structure (DGS) and its application to a microwave oscillator. The presented oscillator is designed so as to use the suggested defected ground structure as a feedback loop inducing a negative resistance as well as a frequency-selective circuit. Applying the feedback loop between the drain and the gate of a FET device produces precise phase conversion in the feedback loop. The equivalent circuit parameters of the DGS are extracted by using a three-dimensional EM calculations and simple circuit analysis method. The implemented 1.07 GHz oscillator exhibits 0 dBm output power with over 15% dc-to-RF power efficiency and -106 dBc/Hz phase noise at 100 kHz offset from carrier.

  • PDF

Design and Fabrication of Super Junction MOSFET Based on Trench Filling and Bottom Implantation Process

  • Jung, Eun Sik;Kyoung, Sin Su;Kang, Ey Goo
    • Journal of Electrical Engineering and Technology
    • /
    • v.9 no.3
    • /
    • pp.964-969
    • /
    • 2014
  • In Super Junction MOSFET, Charge Balance is the most important issue of the trench filling Super Junction fabrication process. In order to achieve the best electrical characteristics, the N type and P type drift regions must be fully depleted when the drain bias approaches the breakdown voltage, called Charge Balance Condition. In this paper, two methods from the fabrication process were used at the Charge Balance condition: Trench angle decreasing process and Bottom implantation process. A lower on-resistance could be achieved using a lower trench angle. And a higher breakdown voltage could be achieved using the bottom implantation process. The electrical characteristics of manufactured discrete device chips are compared with those of the devices which are designed of TCAD simulation.

Electrical Characteristics of Poly-Si TFT`s with Improved Degradation (열화가 억제된 다결정 실리콘 박막 트랜지스터의 전기적 특성)

  • 변문기;이제혁;백희원;김동진;김영호
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1999.11a
    • /
    • pp.457-460
    • /
    • 1999
  • The effects of electrical positive stress on n-channel LDD and offset structured poly-Si TFT\`s have been systematically investigated in order to analyze the transfer curve\`s shift mechanism. It has been found that the LDD and offset regions behave as a series resistance that reduce the electric field near drain. Hot carrier effects are reduced because of these results. After electrical stress transfer curve’s shift and variation of the off-current are dependent upon the offset length rather than offset region’s doping concentration. Variation of the subthreshold slope is dependent upon offset region’s doping concentration as well as offset length.

  • PDF

Design of a 2kW Bidirectional DC-DC Converter with 99% Efficiency for Energy Storage System (에너지 저장장치를 위한 99% 고효율 2kW급 양방향 dc-dc 컨버터 설계)

  • Lee, Taeyeong;Cho, Younghoon;Cho, Byung-Geuk
    • Proceedings of the KIPE Conference
    • /
    • 2015.11a
    • /
    • pp.85-86
    • /
    • 2015
  • In this paper, the bidirectional DC-DC converter is composed of the 900V Silicon-Carbide(SiC) devices to get high efficiency. The 900V SiC device is better than a similar current rated traditional SiC device. it has a lower drain-source resistance and output capacitance. therefore it can reduce the switching and the conduction losses of the DC-DC converter. The experimental results verify the improvement of efficiency and usefulness of 900V SiC device.

  • PDF

Beyond-CMOS: Impact of Side-Recess Spacing on the Logic Performance of 50 nm $In_{0.7}Ga_{0.3}As$ HEMTs

  • Kim, Dae-Hyun;del Alamo, Jesus A.;Lee, Jae-Hak;Seo, Kwang-Seok
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.6 no.3
    • /
    • pp.146-153
    • /
    • 2006
  • We have been investigating InGaAs HEMTs as a future high-speed and low-power logic technology for beyond CMOS applications. In this work, we have experimentally studied the role of the side-recess spacing $(L_{side})$ on the logic performance of 50 nm $In_{0.7}Ga_{0.3}As$ As HEMTs. We have found that $L_{side}$ has a large influence on the electrostatic integrity (or short channel effects), gate leakage current, gate-drain capacitance, and source and drain resistance of the device. For our device design, an optimum value of $L_{side}$ of 150 nm is found. 50 nm $In_{0.7}Ga_{0.3}As$ HEMTs with this value of $L_{side}$ exhibit $I_{ON}/I_{OFF}$ ratios in excess of $10^4$, subthreshold slopes smaller than 90 mV/dec, and logic gate delays of about 1.3 ps at a $V_{CC}$ of 0.5 V. In spite of the fact that these devices are not optimized for logic, these values are comparable to state-of-the-art MOSFETs with similar gate lengths. Our work confirms that in the landscape of alternatives for beyond CMOS technologies, InAs-rich InGaAs FETs hold considerable promise.

Highly Manufacturable 65nm McFET (Multi-channel Field Effect Transistor) SRAM Cell with Extremely High Performance

  • Kim, Sung-Min;Yoon, Eun-Jung;Kim, Min-Sang;Li, Ming;Oh, Chang-Woo;Lee, Sung-Young;Yeo, Kyoung-Hwan;Kim, Sung-Hwan;Choe, Dong-Uk;Suk, Sung-Dae;Kim, Dong-Won;Park, Dong-Gun
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.6 no.1
    • /
    • pp.22-29
    • /
    • 2006
  • We demonstrate highly manufacturable Multi-channel Field Effect Transistor (McFET) on bulk Si wafer. McFET shows excellent transistor characteristics, such as $5{\sim}6 times higher drive current than planar MOSFET, ideal subthreshold swing, low drain induced barrier lowering (DIBL) without pocket implantation and negligible body bias dependency, maintaining the same source/drain resistance as that of a planar transistor due to the unique feature of McFET. And suitable threshold voltage ($V_T$) for SRAM operation and high static noise margin (SNM) are achieved by using TiN metal gate electrode.

Development and Implementation of an Over-Temperature Protection System for Power Semiconductor Devices (전력용 반도체 소자의 과열보호시스템 설계 및 구현)

  • Choi, Nak-Gwon;Lee, Sang-Hoon
    • Journal of the Institute of Convergence Signal Processing
    • /
    • v.11 no.2
    • /
    • pp.163-168
    • /
    • 2010
  • This paper presents the practical implementation of an over-temperature protection system for power semiconductor devices. In the proposed system, temperature variation is provided with just using $R_{ds(on)}$ characteristics of power MOSFET, while extra device such as a temperature sensor or an over-temperature detection transistor is needed to monitor the temperature in the conventional method. The proposed protection technique is experimentally tested on IRF840 power MOSFET. The PIC microcontroller PIC16F877A is used for the implementation of the proposed protection algorithm. The built-in 10-bit A/D converter is utilized for detecting voltage variance between a drain and a source of IRF840. The induced temperature-resistance relationship based on the measured drain-source voltage, supplies a gate signal to the power MOSFET. If detected temperature's voltage exceeds any a protection temperature's voltage, the microcontroller removes the trigger signal from the power MOSFET. These test results showed satisfactory performances of the proposed protection system in term of accuracy within 1.5%.

Thermal Stability Improvement of the Ni Germano-silicide formed by a novel structure Ni/Co/TiN using 2-step RTP for Nano-Scale CMOS Technology

  • Huang Bin-Feng;Oh Soon-Young;Yun Jang-Gn;Kim Yong-Jin;Ji Hee-Hwan;Kim Yong-Goo;Cha Han-Seob;Heo Sang-Bum;Lee Jeong-Gun;Kim Yeong-Cheol;Lee Hi-Deok
    • Proceedings of the IEEK Conference
    • /
    • 2004.06b
    • /
    • pp.371-374
    • /
    • 2004
  • In this paper, Ni Germane-silicide formed on undoped $Si_{0.8}Ge_{0.2}$ as well as source/drain dopants doped $Si_{0.8}Ge_{0.2}$ was characterized by the four-point probe for sheet resistance. x-ray diffraction (XRD), x-ray photoelectron spectroscopy (XPS) and field emission scanning electron microscope (FESEM). Low resistive NiSiGe is formed by one step RTP (Rapid thermal processing) with temperature range at $500{\~}700^{\circ}C$. To enhance the thermal stability of Ni Germane-silicide, Ni/Co/TiN structure with different Co concentration were studied in this work. Low sheet resistance was obtained by Ni/Co/TiN structure with high Co concentration using 2-step RTP and it almost keeps the same low sheet resistance even after furnace annealing at $650^{\circ}C$ for 30 min.

  • PDF

Contact Resistance Reduction between Ni-InGaAs and n-InGaAs via Rapid Thermal Annealing in Hydrogen Atmosphere

  • Lee, Jeongchan;Li, Meng;Kim, Jeyoung;Shin, Geonho;Lee, Ga-won;Oh, Jungwoo;Lee, Hi-Deok
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.17 no.2
    • /
    • pp.283-287
    • /
    • 2017
  • Recently, Ni-InGaAs has been required for high-performance III-V MOSFETs as a promising self-aligned material for doped source/drain region. As downscaling of device proceeds, reduction of contact resistance ($R_c$) between Ni-InGaAs and n-InGaAs has become a challenge for higher performance of MOSFETs. In this paper, we compared three types of sample, vacuum, 2% $H_2$ and 4% $H_2$ annealing condition in rapid thermal annealing (RTA) step, to verify the reduction of $R_c$ at Ni-InGaAs/n-InGaAs interface. Current-voltage (I-V) characteristic of metal-semiconductor contact indicated the lowest $R_c$ in 4% $H_2$ sample, that is, higher current for 4% $H_2$ sample than other samples. The result of this work could be useful for performance improvement of InGaAs n-MOSFETs.

Property of Composite Silicide from Nickel Cobalt Alloy (니켈 코발트 합금조성에 따른 복합실리사이드의 물성 연구)

  • Kim, Sang-Yeob;Song, Oh-Sung
    • Korean Journal of Materials Research
    • /
    • v.17 no.2
    • /
    • pp.73-80
    • /
    • 2007
  • For the sub-65 nm CMOS process, it is necessary to develop a new silicide material and an accompanying process that allows the silicide to maintain a low sheet resistance and to have an enhanced thermal stability, thus providing for a wider process window. In this study, we have evaluated the property and unit process compatibility of newly proposed composite silicides. We fabricated composite silicide layers on single crystal silicon from $10nm-Ni_{1-x}Co_x/single-crystalline-Si(100),\;10nm-Ni_{1-x}Co_x/poly-crystalline-\;Si(100)$ wafers (x=0.2, 0.5, and 0.8) with the purpose of mimicking the silicides on source and drain actives and gates. Both the film structures were prepared by thermal evaporation and silicidized by rapid thermal annealing (RTA) from $700^{\circ}C\;to\;1100^{\circ}C$ for 40 seconds. The sheet resistance, cross-sectional microstructure, surface composition, were investigated using a four-point probe, a field emission scanning probe microscope, a field ion beam, an X-ray diffractometer, and an Auger electron depth profi1ing spectroscopy, respectively. Finally, our newly proposed composite silicides had a stable resistance up to $1100^{\circ}C$ and maintained it below $20{\Omega}/Sg$., while the conventional NiSi was limited to $700^{\circ}C$. All our results imply that the composite silicide made from NiCo alloy films may be a possible candidate for 65 nm-CMOS devices.