DOI QR코드

DOI QR Code

Contact Resistance Reduction between Ni-InGaAs and n-InGaAs via Rapid Thermal Annealing in Hydrogen Atmosphere

  • Lee, Jeongchan (Division of Electronics, Radio Science & Engineering, and Information Communications Engineering, Chungnam National University) ;
  • Li, Meng (Division of Electronics, Radio Science & Engineering, and Information Communications Engineering, Chungnam National University) ;
  • Kim, Jeyoung (Division of Electronics, Radio Science & Engineering, and Information Communications Engineering, Chungnam National University) ;
  • Shin, Geonho (Division of Electronics, Radio Science & Engineering, and Information Communications Engineering, Chungnam National University) ;
  • Lee, Ga-won (Department of Electronics Engineering, Chungnam National University) ;
  • Oh, Jungwoo (School of Integrated Technology, Yonsei University) ;
  • Lee, Hi-Deok (Department of Electronics Engineering, Chungnam National University)
  • 투고 : 2016.08.25
  • 심사 : 2017.01.04
  • 발행 : 2017.04.30

초록

Recently, Ni-InGaAs has been required for high-performance III-V MOSFETs as a promising self-aligned material for doped source/drain region. As downscaling of device proceeds, reduction of contact resistance ($R_c$) between Ni-InGaAs and n-InGaAs has become a challenge for higher performance of MOSFETs. In this paper, we compared three types of sample, vacuum, 2% $H_2$ and 4% $H_2$ annealing condition in rapid thermal annealing (RTA) step, to verify the reduction of $R_c$ at Ni-InGaAs/n-InGaAs interface. Current-voltage (I-V) characteristic of metal-semiconductor contact indicated the lowest $R_c$ in 4% $H_2$ sample, that is, higher current for 4% $H_2$ sample than other samples. The result of this work could be useful for performance improvement of InGaAs n-MOSFETs.

키워드

참고문헌

  1. S. Subramanian et al.: Selective Wet Etching Process for Ni-InGaAs Contact Formation in InGaAs N-MOSFETs with Self-Aligned Source and Drain, J. Electrochem. Soc., Vol. 159, pp. H16-H21, 2011
  2. S. Takagi et al.: Device structures and carrier transport properties of advanced CMOS using high mobility channels, Solid-State Electronics, Vol. 51, pp. 526-536, 2007 https://doi.org/10.1016/j.sse.2007.02.017
  3. X. Zhang et al.: Multiple-Gate In0.53Ga0.47As Channel n-MOSFETs with Self-Aligned Ni-InGaAs Contacts, J. Solid State Sci. Technol., Vol. 1, pp. P82-P85, 2012 https://doi.org/10.1149/2.014202jss
  4. S. H. Kim et al.: High-Performance InAs-On-Insulator n-MOSFETs With Ni-InGaAs S/D Realized by Contact Resistance Reduction Technology, IEEE Trans. Electron Dev., Vol 60, pp. 3342-3350, 2013 https://doi.org/10.1109/TED.2013.2279363
  5. S. H. Kim et al.: Self-aligned metal Source/Drain InxGa1-xAs n-MOSFETs using Ni-InGaAs alloy, 2010 IEEE International Electron Devices Meeting, pp. 26.6.1-26.6.4
  6. P. D. Ye et al.: Depletion-mode InGaAs metal-oxide-semiconductor field-effect transistor with oxide gate dielectric grown by atomic-layer deposition, Appl. Phys. Lett., Vol. 84, pp. 434-436, 2004 https://doi.org/10.1063/1.1641527
  7. X. Zhang et al.: A Self-Aligned Ni-InGaAs Contact Technology for InGaAs Channel n-MOSFETs, J. Electrochem. Soc., Vol. 159, pp. H511-H515, 2012 https://doi.org/10.1149/2.060205jes
  8. X. Zhang et al.: In0.7Ga0.3As Channel n-MOSFET with Self-Aligned Ni-InGaAs Source and Drain, ECS Electrochem. Solid-State Lett., Vol. 14, pp. H60-H62, 2011 https://doi.org/10.1149/1.3516213
  9. S. Mehari et al.: Measurement of the Schottky barrier height between Ni-InGaAs alloy and In0.53Ga0.47As, Appl. Phys. Lett., Vol. 101, p. 072103, 2012 https://doi.org/10.1063/1.4746254
  10. S. Subramanian: Source/Drain Engineering In InGaAs N-MOSFETs For Logic Device Applications, National University of Singapore, pp. 24-26, 2014
  11. A. H. Edwards: Interaction of H and H2 with the silicon dangling orbital at the (111) Si/SiO2 interface, Phys. Rev. B, Vol. 44, pp. 1832-1838, 1991 https://doi.org/10.1103/PhysRevB.44.1832
  12. J. H. Klootwijk, et al.: Proc. of IEEE 2004 International Conference o Microelectronic Test Structures, Awaji Yumebutai, Japan, 2004, p. 247-252
  13. S. J. Pearton: Hydrogen in crystalline semiconductors, Springer Science & Business Media, pp. 27, 52-54, 2013
  14. S. J. Pearton, et al.: Dopant-type effect on the diffusion of deuterium in GaAs, Phys. Rev. B, Vol. 36, pp. 4260-4264, 1987 https://doi.org/10.1103/PhysRevB.36.4260
  15. P. G. LeComber, et al. : Amorphous-silicon field-effect device and possible application, Electron. Lett., Vol. 15, pp. 179-181, 1979 https://doi.org/10.1049/el:19790126