• Title/Summary/Keyword: Scan test

Search Result 852, Processing Time 0.035 seconds

Efficient Test Data Compression Method (효율적인 테스트 데이터 압축 방법)

  • Jung, Jun-Mo
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2012.05a
    • /
    • pp.690-692
    • /
    • 2012
  • This pape presents the efficient test data compression method considering test power dissipation in scan test of IP core. There are many researches about test data compression using scan slice selective encoding except power dissipation. We present the new algorithm that assigns the don't care value to be a minimal hamming distance between adjacent slices. Experimental results show that the power dissipation is reduced.

  • PDF

New IEEE 1149.1 Boundary Scan Architecture for Multi-drop Multi-board System (멀티 드롭 멀티 보드 시스템을 위한 새로운 IEEE 1149.1 경계 주사 구조)

  • Bae, Sang-Min;Song, Dong-Sup;Kang, Sung-Ho;Park, Young-Ho
    • The Transactions of the Korean Institute of Electrical Engineers D
    • /
    • v.49 no.11
    • /
    • pp.637-642
    • /
    • 2000
  • IEEE 1149.1 boundary scan architecture is used as a standard in board-level system testing. The simplicity of this architecture is an advantage in system testing, but at the same time, it it makes a limitation of applications. Because of several problems such as 3-state net conflicts, or ambiguity issues, interconnect testing for multi-drop multi-board systems is more difficult than that of single board systems. A new approach using IEEE 1149.1 boundary scan architecture for multi-drop multi-board systems is developed in this paper. Adding boundary scan cells on backplane bus lines, each board has a complete scan-chain for interconnect test. This new scan-path insertion method on backplane bus using limited 1149.1 test bus less area overhead and mord efficient than previous approaches.

  • PDF

Reduction of Test Data and Power in Scan Testing for Digital Circuits using the Code-based Technique (코드 기반 기법을 이용한 디지털 회로의 스캔 테스트 데이터와 전력단축)

  • Hur, Yong-Min;Shin, Jae-Heung
    • 전자공학회논문지 IE
    • /
    • v.45 no.3
    • /
    • pp.5-12
    • /
    • 2008
  • We propose efficient scan testing method capable of reducing the test data and power dissipation for digital logic circuits. The proposed testing method is based on a hybrid run-length encoding which reduces test data storage on the tester. We also introduce modified Bus-invert coding method and scan cell design in scan cell reordering, thus providing increased power saving in scan in operation. Experimental results for ISCAS'89 benchmark circuits show that average power of 96.7% and peak power of 84% are reduced on the average without fault coverage degrading. We have obtained a high reduction of 78.2% on the test data compared the existing compression methods.

On-line Bus Monitoring of a System Using Bondary-Scan (경계스캔 구조를 사용한 시스템의 온라인 버스 모니터링)

  • Song, Dong-Sup;Bae, Sang-Min;Kang, Sung-Ho;Park, Young-Ho
    • The Transactions of the Korean Institute of Electrical Engineers D
    • /
    • v.49 no.12
    • /
    • pp.675-682
    • /
    • 2000
  • When a system is composed of multi-boards, an efficient bus arbitration method for the data transfer bus must be provided for guaranteeing proper operations. In this paper, a new test methodology is developed which is used for testing on-line bus arbitration. In the new test methodology, events that are occurred during bus arbitration are defined, and expected signals during fault-free bus arbitration are compared with the signals captured during on-line bus arbitration using boundary-scan cells. For this, a new test architecture is proposed which is efficient for the maintenance and the repair of multi-board systems. In addition, the new methodology can be used with off-line interconnect test using boundary-scan.

  • PDF

An Extended Scan Path Architecture Based on IEEE 1149.1 (IEEE 1149.1을 이용한 확장된 스캔 경로 구조)

  • Son, U-Jeong;Yun, Tae-Jin;An, Gwang-Seon
    • The Transactions of the Korea Information Processing Society
    • /
    • v.3 no.7
    • /
    • pp.1924-1937
    • /
    • 1996
  • In this paper, we propose a ESP(Extended Scan Path) architecture for multi- board testing. The conventional architectures for board testing are single scan path and multi-scan path. In the single scan path architecture, the scan path for test data is just one chain. If the scan path is faulty due to short or open, the test data is not valid. In the multi-scan path architecture, there are additional signals in multi-board testing. So conventional architectures are not adopted to multi-board testing. In the case of the ESP architecture, even though scan paths either short or open, it doesn't affect remaining other scan paths. As a result of executing parallel BIST and IEEE 1149.1 boundary scan test by using, he proposed ESP architecture, we observed to the test time is short compared with the single scan path architecture. Because the ESP architecture uses the common bus, there are not additional signals in multi-board testing. By comparing the ESP architecture with conventional one using ISCAS '85 bench mark circuit, we showed that the architecture has improved results.

  • PDF

A Design of New Real Time Monitoring Embedded Controller using Boundary Scan Architecture (경계 주사 구조를 이용한 새로운 실시간 모니터링 실장 제어기 설계)

  • 박세현
    • Journal of Korea Multimedia Society
    • /
    • v.4 no.6
    • /
    • pp.570-578
    • /
    • 2001
  • Boundary scan architecture test methodology was introduced to facilitate the testing of complex printed circuit board. The boundary scan architecture has a tremendous potential for real time monitoring of the operational status of a system without interference of normal system operation. In this paper, a new type of embedded controller for real time monitoring of the operational status of a system is proposed and designed by using boundary scan architecture. The proposed real time monitoring embedded controller consists of test access port controller and an embedded controller proposed real time monitoring embedded controller using boundary scan architecture can save the hard-wire resource and can easily interface with boundary scan architecture chip. Experimental results show that the real time monitoring using proposed embedded controller is more effective then the real time monitoring using host computer.

  • PDF

A Study on Efficient Test Data Compression Method for Test-per-clock Scan (Test-per-clock 스캔 방식을 위한 효율적인 테스트 데이터 압축 기법에 관한 연구)

  • Park, Jae-Heung;Yang, Sun-Woong;Chang, Hoon
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.39 no.9
    • /
    • pp.45-54
    • /
    • 2002
  • This paper proposes serial test data compression, a novel DFT scheme for embedded cores in SOC. To reduce test data amounts, share bit compression and fault undetectable fault pattern compression techniques was used. A Circuits using serial test data compression method are derived from a scan DFT method including a test-per-clock technique. For an experiment of the proposed compression method, full scan versions of ISCASS85 and ISCASS89 were used. ATALANTA has been used for ATPG and fault simulation. The amount of test data has been reduced by maximum 98% comparing with original data.

Low Power Scan Testing and Test Data Compression for System-On-a-Chip (System-On-a-Chip(SOC)에 대한 효율적인 테스트 데이터 압축 및 저전력 스캔 테스트)

  • 정준모;정정화
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.39 no.12
    • /
    • pp.1045-1054
    • /
    • 2002
  • We present a new low power scan testing and test data compression mothod lot System-On-a-Chip (SOC). The don't cares in unspecified scan vectors are mapped to binary values for low Power and encoded by adaptive encoding method for higher compression. Also, the scan-in direction of scan vectors is determined for low power. Experimental results for full - scanned versions of ISCAS 89 benchmark circuits show that the proposed method has both low power and higher compression.

The Scan-Based BIST Architecture for Considering 2-Pattern Test (2-패턴 테스트를 고려한 스캔 기반 BIST 구조)

  • 손윤식;정정화
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.40 no.10
    • /
    • pp.45-51
    • /
    • 2003
  • In this paper, a scan-based low power BIST (Built-In Self-Test) architecture is proposed. The proposed architecture is based on STUMPS, which uses a LFSR (Linear Feedback Shift Register) as the test generator, a MISR(Multiple Input Shift Register) as the reponse compactor, and SRL(Shift Register Latch) channels as multiple scan paths. In the proposed BIST a degenerate MISR structure is used for every SRL channel; this offers reduced area overheads and has less impact on performance than the STUMPS techniques. The proposed BIST is designed to support both test-per-clock and test-per-scan techniques, and in test-per-scan the total power consumption of the circuit can be reduced dramatically by suppressing the effects of scan data on the circuits. Results of the experiments on ISCAS 89 benchmark circuits show that this architecture is also suitable for detecting path delay faults, when the hamming distance of the data in the SRL channel is considered.

Does the palatal vault form have an influence on the scan time and accuracy of intraoral scans of completely edentulous arches? An in-vitro study

  • Osman, Reham;Alharbi, Nawal
    • The Journal of Advanced Prosthodontics
    • /
    • v.14 no.5
    • /
    • pp.294-304
    • /
    • 2022
  • PURPOSE. The purpose of this study was to evaluate the influence of different palatal vault configurations on the accuracy and scan speed of intraoral scans (IO) of completely edentulous arches. MATERIALS AND METHODS. Three different virtual models of a completely edentulous maxillary arch with different palatal vault heights- Cl I moderate (U-shaped), Cl II deep (steep) and Cl III shallow (flat)-were digitally designed using CAD software (Meshmixer; Autodesk, USA) and 3D-printed using SLA-based 3D-printer (XFAB; DWS, Italy) (n = 30; 10 specimens per group). Each model was scanned using intraoral scanner (Trios 3; 3ShapeTM, Denmark). Scanning time was recorded for all samples. Scanning accuracy (trueness and precision) were evaluated using digital subtraction technique using Geomagic Control X v2020 (Geomagic; 3DSystems, USA). One-way analysis of variance (ANOVA) test was used to detect differences in scanning time, trueness and precision among the test groups. Statistical significance was set at α = .05. RESULTS. The scan process could not be completed for Class II group and manufacturer's recommended technique had to be modified. ANOVA revealed no statistically significant difference in trueness and precision values among the test groups (P=.959 and P=.658, respectively). Deep palatal vault (Cl II) showed significantly longer scan time compared to Cl I and III. CONCLUSION. The selection of scan protocol in complex cases such as deep palatal vault is of utmost importance. The modified, adopted longer path scan protocol of deep vault cases resulted in increased scan time when compared to the other two groups.