• Title/Summary/Keyword: FinFETs

Search Result 26, Processing Time 0.023 seconds

Trend and issues of the bulk FinFET (벌크 FinFET의 기술 동향 및 이슈)

  • Lee, Jong-Ho;Choi, Kyu-Bong
    • Vacuum Magazine
    • /
    • v.3 no.1
    • /
    • pp.16-21
    • /
    • 2016
  • FinFETs are able to be scaled down to 22 nm and beyond while suppressing effectively short channel effect, and have superior performance compared to 2-dimensional (2-D) MOSFETs. Bulk FinFETs are built on bulk Si wafers which have less defect density and lower cost than SOI(Silicon-On-Insulator) wafers. In contrast to SOI FinFETs, bulk FinFETs have no floating body effect and better heat transfer rate to the substrate while keeping nearly the same scalability. The bulk FinFET has been developed at 14 nm technology node, and applied in mass production of AP and CPU since 2015. In the development of the bulk FinFETs at 10 nm and beyond, self-heating effects (SHE) is becoming important. Accurate control of device geometry and threshold voltage between devices is also important. The random telegraph noise (RTN) would be problematic in scaled FinFET which has narrow fin width and small fin height.

Self Heating Effects in Sub-nm Scale FinFETs

  • Agrawal, Khushabu;Patil, Vilas;Yoon, Geonju;Park, Jinsu;Kim, Jaemin;Pae, Sangwoo;Kim, Jinseok;Cho, Eun-Chel;Junsin, Yi
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.33 no.2
    • /
    • pp.88-92
    • /
    • 2020
  • Thermal effects in bulk and SOI FinFETs are briefly reviewed herein. Different techniques to measure these thermal effects are studied in detail. Self-heating effects show a strong dependency on geometrical parameters of the device, thereby affecting the reliability and performance of FinFETs. Mobility degradation leads to 7% higher current in bulk FinFETs than in SOI FinFETs. The lower thermal conductivity of SiO2 and higher current densities due to a reduction in device dimensions are the potential reasons behind this degradation. A comparison of both bulk and SOI FinFETs shows that the thermal effects are more dominant in bulk FinFETs as they dissipate more heat because of their lower lattice temperature. However, these thermal effects can be minimized by integrating 2D materials along with high thermal conductive dielectrics into the FinFET device structure.

Performance Optimization Study of FinFETs Considering Parasitic Capacitance and Resistance

  • An, TaeYoon;Choe, KyeongKeun;Kwon, Kee-Won;Kim, SoYoung
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.14 no.5
    • /
    • pp.525-536
    • /
    • 2014
  • Recently, the first generation of mass production of FinFET-based microprocessors has begun, and scaling of FinFET transistors is ongoing. Traditional capacitance and resistance models cannot be applied to nonplanar-gate transistors like FinFETs. Although scaling of nanoscale FinFETs may alleviate electrostatic limitations, parasitic capacitances and resistances increase owing to the increasing proximity of the source/drain (S/D) region and metal contact. In this paper, we develop analytical models of parasitic components of FinFETs that employ the raised source/drain structure and metal contact. The accuracy of the proposed model is verified with the results of a 3-D field solver, Raphael. We also investigate the effects of layout changes on the parasitic components and the current-gain cutoff frequency ($f_T$). The optimal FinFET layout design for RF performance is predicted using the proposed analytical models. The proposed analytical model can be implemented as a compact model for accurate circuit simulations.

Design Consideration of Body-Tied FinFETs (${\Omega}$ MOSFETs) Implemented on Bulk Si Wafers

  • Han, Kyoung-Rok;Choi, Byung-Gil;Lee, Jong-Ho
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.4 no.1
    • /
    • pp.12-17
    • /
    • 2004
  • The body-tied FinFETs (bulk FinFETs) implemented on bulk Si substrate were characterized through 3-dimensional device simulation. By controlling the doping profile along the vertical fin body, the bulk FinFETs can be scaled down to sub-30 nm. Device characteristics with the body shape were also shown. At a contact resistivity of $1{\times}10^{-7}\;{\Omega}\;cm^2$, the device with side metal contact of fin source/drain showed higher drain current by about two. The C-V results were also shown for the first time.

Device Optimization for Suppression of Short-Channel Effects in Bulk FinFET with Vacuum Gate Spacer (진공 게이트 스페이서를 지니는 Bulk FinFET의 단채널효과 억제를 위한 소자구조 최적화 연구)

  • Yeon, Ji-Yeong;Lee, Khwang-Sun;Yoon, Sung-Su;Yeon, Ju-Won;Bae, Hagyoul;Park, Jun-Young
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.35 no.6
    • /
    • pp.576-580
    • /
    • 2022
  • Semiconductor devices have evolved from 2D planar FETs to 3D bulk FinFETs, with aggressive device scaling. Bulk FinFETs make it possible to suppress short-channel effects. In addition, the use of low-k dielectric materials as a vacuum gate spacer have been suggested to improve the AC characteristics of the bulk FinFET. However, although the vacuum gate spacer is effective, correlation between the vacuum gate spacer and the short-channel-effects have not yet been compared or discussed. Using a 3D TCAD simulator, this paper demonstrates how to optimize bulk FinFETs including a vacuum gate spacer and to suppress short-channel effects.

Dynamic Self-Heating Effects of Bulk and SOI FinFET with Realistic Device Structure (실제적 구조를 가진 벌크 및 SOI FinFET에서 발생하는 동적 self-heating 효과)

  • Ryu, Heesang;Chung, Hayun Cecillia;Yang, Ji-Woon
    • Journal of the Institute of Electronics and Information Engineers
    • /
    • v.52 no.10
    • /
    • pp.64-69
    • /
    • 2015
  • Self-heating effects of bulk and SOI FinFETs on device structure are examined with TCAD simulation. The degradation of drive current in SOI FinFET is severer than that of bulk one in steady-state condition as expected. However, it is shown that the dynamic self-heating effects of SOI FinFETs are comparable to those of bulk FinFETs for high speed logic operation, especially in realistic device structure.

Fabrication of p-type FinFETs with a 20 nm Gate Length using Boron Solid Phase Diffusion Process

  • Cho, Won-Ju
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.6 no.1
    • /
    • pp.16-21
    • /
    • 2006
  • A simple doping method to fabricate a very thin channel body of the p-type FinFETs with a 20 nm gate length by solid-phase-diffusion (SPD) process was developed. Using the poly-boron-films (PBF) as a novel diffusion source of boron and the rapid thermal annealing (RTA), the p-type sourcedrain extensions of the FinFET devices with a threedimensional structure were doped. The junction properties of boron doped regions were investigated by using the $p^+-n$ junction diodes which showed excellent electrical characteristics. Single channel and multi-channel p-type FinFET devices with a gate length of 20-100 nm was fabricated by boron diffusion process using PBF and revealed superior device scalability.

Triple-gate Tunnel FETs Encapsulated with an Epitaxial Layer for High Current Drivability

  • Lee, Jang Woo;Choi, Woo Young
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.17 no.2
    • /
    • pp.271-276
    • /
    • 2017
  • The triple-gate tunnel FETs encapsulated with an epitaxial layer (EL TFETs) is proposed to lower the subthreshold swing of the TFETs. Furthermore, the band-to-band tunneling based on the maximum electric-field can occur thanks to the epitaxial layer wrapping the Si fin. The performance and mechanism of the EL TFETs are compared with the previously proposed TFET based on simulation.

Comparative Study on the Structural Dependence of Logic Gate Delays in Double-Gate and Triple-Gate FinFETs

  • Kim, Kwan-Young;Jang, Jae-Man;Yun, Dae-Youn;Kim, Dong-Myong;Kim, Dae-Hwan
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.10 no.2
    • /
    • pp.134-142
    • /
    • 2010
  • A comparative study on the trade-off between the drive current and the total gate capacitance in double-gate (DG) and triple-gate (TG) FinFETs is performed by using 3-D device simulation. As the first result, we found that the optimum ratio of the hardmask oxide thickness ($T_{mask}$) to the sidewall oxide thickness ($T_{ox}$) is $T_{mask}/T_{ox}$=10/2 nm for the minimum logic delay ($\tau$) while $T_{mask}/T_{ox}$=5/1~2 nm for the maximum intrinsic gate capacitance coupling ratio (ICR) with the fixed channel length ($L_G$) and the fin width ($W_{fin}$) under the short channel effect criterion. It means that the TG FinFET is not under the optimal condition in terms of the circuit performance. Second, under optimized $T_{mask}/T_{ox}$, the propagation delay ($\tau$) decreases with the increasing fin height $H_{fin}$. It means that the FinFET-based logic circuit operation goes into the drive current-dominant regime rather than the input gate load capacitance-dominant regime as $H_{fin}$ increases. In the end, the sensitivity of $\Delta\tau/{\Delta}H_{fin}$ or ${{\Delta}I_{ON}}'/{\Delta}H_{fin}$ decreases as $L_G/W_{fin}$ is scaled-down. However, $W_{fin}$ should be carefully designed especially in circuits that are strongly influenced by the self-capacitance or a physical layout because the scaling of $W_{fin}$ is followed by the increase of the self-capacitance portion in the total load capacitance.

FinFET for Terabit Era

  • Choi, Yang-Kyu
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.4 no.1
    • /
    • pp.1-11
    • /
    • 2004
  • A FinFET, a novel double-gate device structure is capable of scaling well into the nanoelectronics regime. High-performance CMOS FinFETs , fully depleted silicon-on-insulator (FDSOI) devices have been demonstrated down to 15 nm gate length and are relatively simple to fabricate, which can be scaled to gate length below 10 nm. In this paper, some of the key elements of these technologies are described including sub-lithographic pattering technology, raised source/drain for low series resistance, gate work-function engineering for threshold voltage adjustment as well as metal gate technology, channel roughness on carrier mobility, crystal orientation effect, reliability issues, process variation effects, and device scaling limit.