Browse > Article
http://dx.doi.org/10.5573/JSTS.2014.14.5.525

Performance Optimization Study of FinFETs Considering Parasitic Capacitance and Resistance  

An, TaeYoon (Department of Semiconductor Systems Engineering, College of Information and Communication Engineering, Sungkyunkwan University)
Choe, KyeongKeun (Department of Semiconductor Systems Engineering, College of Information and Communication Engineering, Sungkyunkwan University)
Kwon, Kee-Won (Department of Semiconductor Systems Engineering, College of Information and Communication Engineering, Sungkyunkwan University)
Kim, SoYoung (Department of Semiconductor Systems Engineering, College of Information and Communication Engineering, Sungkyunkwan University)
Publication Information
JSTS:Journal of Semiconductor Technology and Science / v.14, no.5, 2014 , pp. 525-536 More about this Journal
Abstract
Recently, the first generation of mass production of FinFET-based microprocessors has begun, and scaling of FinFET transistors is ongoing. Traditional capacitance and resistance models cannot be applied to nonplanar-gate transistors like FinFETs. Although scaling of nanoscale FinFETs may alleviate electrostatic limitations, parasitic capacitances and resistances increase owing to the increasing proximity of the source/drain (S/D) region and metal contact. In this paper, we develop analytical models of parasitic components of FinFETs that employ the raised source/drain structure and metal contact. The accuracy of the proposed model is verified with the results of a 3-D field solver, Raphael. We also investigate the effects of layout changes on the parasitic components and the current-gain cutoff frequency ($f_T$). The optimal FinFET layout design for RF performance is predicted using the proposed analytical models. The proposed analytical model can be implemented as a compact model for accurate circuit simulations.
Keywords
Cutoff frequency; fin field-effect transistors (FinFETs); fringe capacitance; number of gate fingers; number of fins; parasitic resistance; radio frequency (RF);
Citations & Related Records
연도 인용수 순위
  • Reference
1 V. Subramanian, A. Mercha, B. Parvias, M. Dehan, G. Groeseneken, W. Sansen, and S. Decoutere, "Identifying the bottlenecks to the RF performance of FinFETs," in Proc. 23rd Int. Conf. VLSI Design, pp. 111-116, 2010.
2 The International Technology Roadmap for Semiconductors (ITRS), 2013.
3 B. K. Choi, K. R. Han, K. H. Park, Y. M. Kim, and J. H. Lee, "Study on Electrical Characteristics of Ideal Double-Gate Bulk FinFETs," Journal of Semiconductor Technology and Science, vol. 43, no. 11, 2005.
4 E. J. Nowak, Ingo Aller, Thomas Ludwig, KeunWon Kim, Rajiv V. Joshi, ChingTe Chuang, Jerry Bernstein, and Ruchir Puri., "Turning silicon on its edge [double gate MOS/ FinFET technology]," IEEE Circuits and Devices Magazine, vol. 20, no.1, pp. 20-31, 2004.   DOI
5 K. W. Lee, S. S. Noh, N. H. Kim, K.-W. Kwon, and S. Y. Kim, "Comparative study of analog performance of multiple fin tri-gate FinFETs," International Conference on Electronics, Information and Communication, 2012.
6 C. R. Manoj, A. B. Sachid, F. Yuan, C. Y. Chang, and V. R. Rao, "Impact of fringe capacitance on the performance of nanoscale FinFETs," IEEE Electron Device Lett., vol. 31, no. 1, pp. 83-85, 2010.   DOI
7 A. Dixit, A. Kottantharayil, N. Collaert, M. Goodwin, M. Jurczak, and K. D. Meyer, "Analysis of the parasitic S/D resistance in multiple-gate FETs," IEEE Trans. Electron Devices, vol. 52, no. 6, pp. 1132-1140, 2005.   DOI   ScienceOn
8 D. Tekleab, S. Samavedam, and P. Zeitzoff, "Modeling and Analysis of Parasitic Resistance in Double-Gate FinFETs," IEEE Trans. Electron Devices, vol. 56, no. 10, pp. 2291-2296, 2009.   DOI   ScienceOn
9 W. Wu and M. Chan, "Analysis of Geometry-Dependent Parasitics in Multifin Double-Gate FinFETs," IEEE Trans. Electron Devices, vol. 54, no. 4, pp. 692-698, 2007.   DOI
10 J. Lacord and F. Boeuf, "Comprehensive and Accurate Parasitic Capacitance Models for Twoand Three-Dimensional CMOS Device Structures," IEEE Trans. Electron Devices, vol. 59, no. 5, pp. 1332-1344, 2012.   DOI
11 A. Bansal, B. C. Paul, and K. Roy, "Modeling and optimization of fringe capacitance of nanoscale DGMOS devices," IEEE Trans. Electron Devices, vol. 52, no. 2, pp. 256-262, Feb. 2005.   DOI
12 S. Kalaivani, K.K. Nagarajan, and Dr. R. Srinivasan, "Sensitivity of 30 nm Gatelength FinFET based LNA to Various Geometrical parameters," in Proc. ICETECT, pp. 571-576, 2011.
13 J. D. Anderson, Fundamentals of Aerodynamics, 2nd ed. New York: McGraw Hill, 1991
14 J. C. Tinoco, S. S. Rodriguez and et. al., "Impact of Extrinsic Capacitances on FinFET RF performance," IEEE trans. vol. 61, no. 2, pp. 833-840, Feb. 2013.
15 A. Bansal, B. C. Paul, and K. Roy, "An analytical fringe capacitance model for interconnects using conformal mapping," IEEE Trans.Computer-Aided Design Integr. Circuits Syst., vol. 25, no. 12, pp.2765-2774, Dec. 2006.   DOI
16 TCAD Raphael User's Guide, Synopsys.
17 D. James,Chipworks, "Intel Ivy Bridge unveiled-the first commercial tri-gate, high-k, metal-gate CPU," IEEE CICC, pp. 1-4, 2012.
18 M. N. O. Sadiku, Elements of Electromagnetics.: Oxford Univ. Press, 2011.
19 BSIM-CMG [Online]. Available : wwwdevice.eecs.berkeley.edu/bsim
20 TCAD Sentaurus User's Guide, Synopsys.
21 Y. Taur and T. H. Ning, Fundamentals of Modern VLSI Devices. Cambridge, U. K.: Cambridge Univ. Press, 2009.
22 H. H. Berger, "Contact resistance on diffused resistors," in Proc. ISSCC, pp. 162-163, 1969.
23 C. Auth, et al., "A 22nm High Performance and Low-Power CMOS Technology Featuring Fully-Depleted Tri-Gate Transistors, Self-Aligned Contacts and High Density MIM Capacitors," Proceeding of Sym. on VLSI Tech., pp. 131-132, 2012.