• Title/Summary/Keyword: magnetic random access memory(MRAM)

Search Result 48, Processing Time 0.031 seconds

Technology of MRAM (Magneto-resistive Random Access Memory) Using MTJ(Magnetic Tunnel Junction) Cell

  • Park, Wanjun;Song, I-Hun;Park, Sangjin;Kim, Teawan
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.2 no.3
    • /
    • pp.197-204
    • /
    • 2002
  • DRAM, SRAM, and FLASH memory are three major memory devices currently used in most electronic applications. But, they have very distinct attributes, therefore, each memory could be used only for limited applications. MRAM (Magneto-resistive Random Access Memory) is a promising candidate for a universal memory that meets all application needs with non-volatile, fast operational speed, and low power consumption. The simplest architecture of MRAM cell is a series of MTJ (Magnetic Tunnel Junction) as a data storage part and MOS transistor as a data selection part. To be a commercially competitive memory device, scalability is an important factor as well. This paper is testing the actual electrical parameters and the scaling factors to limit MRAM technology in the semiconductor based memory device by an actual integration of MRAM core cell. Electrical tuning of MOS/MTJ, and control of resistance are important factors for data sensing, and control of magnetic switching for data writing.

MTJ based MRAM Core Cell

  • Park, Wanjun
    • Journal of Magnetics
    • /
    • v.7 no.3
    • /
    • pp.101-105
    • /
    • 2002
  • MRAM (Magnetoresistive Random Access Memory) is a promising candidate for a universal memory that meets all application needs with non-volatile, fast operational speed, and low power consumption. The simplest architecture of MRAM cell is a series of MTJ (Magnetic Tunnel Junction) as a data storage part and MOS transistor as a data selection part. This paper is for testing the actual electrical parameters to adopt MRAM technology in the semiconductor based memory device. The discussed topics are an actual integration of MRAM core cell and its properties such as electrical tuning of MOS/MTJ for data sensing and control of magnetic switching for data writing. It will be also tested that limits of the MRAM technology for a high density memory.

SPIN ENGINEERING OF FERROMAGNETIC FILMS VIA INVERSE PIEZOELECTRIC EFFECT

  • Lee, Jeong-Won;Shin, Sung-Chul;Kim, Sang-Koog
    • Proceedings of the Korean Magnestics Society Conference
    • /
    • 2002.12a
    • /
    • pp.188-189
    • /
    • 2002
  • One of the current goals in memory device developments is to realize a nonvolatile memory, i.e., the stored information maintains even when the power is turned off. The representative candidates for nonvolatile memories are magnetic random access memory (MRAM) and ferroelectric random access memory (FRAM). In order to achieve a high density memory in MRAM device, the external magnetic field should be localized in a tiny cell to control the direction of spontaneous magnetization. (omitted)

  • PDF

Macro-Model of Magnetic Tunnel Junction for STT-MRAM including Dynamic Behavior

  • Kim, Kyungmin;Yoo, Changsik
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.14 no.6
    • /
    • pp.728-732
    • /
    • 2014
  • Macro-model of magnetic tunnel junction (MTJ) for spin transfer torque magnetic random access memory (STT-MRAM) has been developed. The macro-model can describe the dynamic behavior such as the state change of MTJ as a function of the pulse width of driving current and voltage. The statistical behavior has been included in the model to represent the variation of the MTJ characteristic due to process variation. The macro-model has been developed in Verilog-A.

MRAM Technology for High Density Memory Application

  • Kim, Chang-Shuk;Jang, In-Woo;Lee, Kye-Nam;Lee, Seaung-Suk;Park, Sung-Hyung;Park, Gun-Sook;Ban, Geun-Do;Park, Young-Jin
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.2 no.3
    • /
    • pp.185-196
    • /
    • 2002
  • MRAM(magnetic random access memory) is a promising candidate for a universal memory with non-volatile, fast operation speed and low power consumption. The simplest architecture of MRAM cell is a combination of MTJ(magnetic tunnel junction) as a data storage part and MOS transistor as a data selection part. This article will review the general development status of MRAM and discuss the issues. The key issues of MRAM technology as a future memory candidate are resistance control and low current operation for small enough device size. Switching issues are controllable with a choice of appropriate shape and fine patterning process. The control of fabrication is rather important to realize an actual memory device for MRAM technology.

Patent Analysis of MRAM Technology (차세대 자기저항메모리 MRAM 기술의 특허동향 분석)

  • Noh, S.J.;Lee, J.S.;Cho, J.U.;Kim, D.K.;Kim, Y.K.;Yoo, Y.M.;Ha, M.Y.;Seo, J.W.
    • Journal of the Korean Magnetics Society
    • /
    • v.19 no.1
    • /
    • pp.35-42
    • /
    • 2009
  • Among the next generation memory, MRAM (Magnetic Random Access Memory) is worthy of notice for substituting the preexisting memory thanks to its non-volatile property and other advantages. Recently perpendicular MRAM and spin transfer torque MRAM techniques are under active investigation to realize a high density and low power consumption. As a result, there are increasing of patents applications for high density, low current density for magnetization switching and high thermal stability. In this paper, we analyze the trend of patent applications and registrations about MRAM and propose a direction of future investigation.

Technology Trend of Spin-Transfer-Torque Magnetoresistive Random Access Memory (STT-MRAM) (스핀전달토크형 자기저항메모리(STT-MRAM) 기술개발 동향)

  • Kim, D.K.;Cho, J.U.;Noh, S.J.;Kim, Y.K.
    • Journal of the Korean Magnetics Society
    • /
    • v.19 no.1
    • /
    • pp.22-27
    • /
    • 2009
  • Reduction of the critical current density ($J_c$) for STT magnetization switching is most important issue of magnetic tunnel junctions (MTJs) based MRAM. This report describes how to decrease the Jc and will introduce the recent research progresses of STT-MRAM devices with material engineering and structural improvement, respectively.

S-parameter Analysis for Read and Write Line of MRAM (MRAM read와 write line의 S-parameter 해석)

  • Park, S.;Jo, S.
    • Journal of the Korean Magnetics Society
    • /
    • v.13 no.5
    • /
    • pp.216-220
    • /
    • 2003
  • In this work, transmission characteristics of read and write signal were calculated when a MRAM (magnetic random access memory) cell is operated up to 10 GHz. Test device having long read and write lines was modeled in 3 dimensions to perform a simulation. The simulation was divided into two parts, read and write operations, and S-parameters were computed utilizing FEM (finite element method) algorithm. Transmission coefficients, S$\sub$21/, for read and write operations of MRAM device which was designed for a single cell test configuration were analyzed from DC to 1 GHz and DC to 10 GHz, respectively. When the insulator thickness between the bit and sense lines was increased from 500 to 1500 ${\AA}$, 3 dB attenuation frequency was increased by 3.3 times, from 135 to 430 MHz. The length of the bit and sense lines were 600 ${\mu}$m. In addition, access time was estimated by calculating the propagation delay utilizing S-parameters.