• Title/Summary/Keyword: Asynchronous circuit

Search Result 95, Processing Time 0.025 seconds

Self-Power Gating Technique For Low Power Asynchronous Circuit

  • Mai, Kim-Ngan Thi;Vo, Huan Minh
    • Journal of IKEEE
    • /
    • v.22 no.3
    • /
    • pp.548-557
    • /
    • 2018
  • In this paper, Asynchronous Self-Power Gating technique (ASPG) is used to reduce consumption power in asynchronous digital watch application. The power gating control signal is automatically generated by internal system operation characteristics instead of using replica circuit delay or four-phase handshaking protocol. Isolation cell is designed to insert it between power gating domain and normal operation domain. By using self-power gating circuit, asynchronous digital watch application consumes very low power and maintains data during sleep mode. The comparison results show the proposed ASPG technique saves leakage power up to 40.47% and delay time is reduced to 71% compared to the conventional circuit.

A Static Latched DCVSL Circuits for Asynchronous Pipeline Scheme (비동기 파이프라인 구조를 위한 정적 래치 DCVSL 회로)

  • 김영우;김수원
    • Proceedings of the IEEK Conference
    • /
    • 1998.10a
    • /
    • pp.759-762
    • /
    • 1998
  • In this paper, a SL-DCVSL (static latched differential cascode voltage switch logic) circuit for the asynchronous pipeline is proposed. The proposed SL-DCVSL circuit is a slightly modified version of the DCVSL circuit, and used to improve the storage capability of the precharged functional blocks. The proposed SL-DCVSL has more robust storage characteristics compared to the conventional LDCVSL (latched DCVSL〔2〕). The operation of the proposed circuit is verified by simulating the asynchronous FIFO (First-In First-Out) structure.

  • PDF

Architectural Design Issues in a Clockless 32-Bit Processor Using an Asynchronous HDL

  • Oh, Myeong-Hoon;Kim, Young Woo;Kwak, Sanghoon;Shin, Chi-Hoon;Kim, Sung-Nam
    • ETRI Journal
    • /
    • v.35 no.3
    • /
    • pp.480-490
    • /
    • 2013
  • As technology evolves into the deep submicron level, synchronous circuit designs based on a single global clock have incurred problems in such areas as timing closure and power consumption. An asynchronous circuit design methodology is one of the strong candidates to solve such problems. To verify the feasibility and efficiency of a large-scale asynchronous circuit, we design a fully clockless 32-bit processor. We model the processor using an asynchronous HDL and synthesize it using a tool specialized for asynchronous circuits with a top-down design approach. In this paper, two microarchitectures, basic and enhanced, are explored. The results from a pre-layout simulation utilizing 0.13-${\mu}m$ CMOS technology show that the performance and power consumption of the enhanced microarchitecture are respectively improved by 109% and 30% with respect to the basic architecture. Furthermore, the measured power efficiency is about 238 ${\mu}W$/MHz and is comparable to that of a synchronous counterpart.

Corrective Control of Asynchronous Sequential Circuits with Faults from Total Ionizing Dose Effects in Space (총이온화선량에 의한 고장이 존재하는 비동기 순차 회로의 교정 제어)

  • Yang, Jung-Min;Kwak, Seong-Woo
    • Journal of Institute of Control, Robotics and Systems
    • /
    • v.17 no.11
    • /
    • pp.1125-1131
    • /
    • 2011
  • This paper presents a control theoretic approach to realizing fault tolerance in asynchronous sequential circuits. The considered asynchronous circuit is assumed to work in space environment and is subject to faults caused by total ionizing dose (TID) effects. In our setting, TID effects cause permanent changes in state transition characteristics of the asynchronous circuit. Under a certain condition of reachability redundancy, it is possible to design a corrective controller so that the closed-loop system can maintain the normal behavior despite occurrences of TID faults. As a case study, the proposed control scheme is applied to an asynchronous arbiter implemented in FPGA.

A Study on the Interface Circuit Creation Algorithm using the Flow Chart (흐름도를 이용한 인터페이스 회로 생성 알고리즘에 관한 연구)

  • 우경환;이천희
    • Journal of the Korea Society for Simulation
    • /
    • v.10 no.1
    • /
    • pp.25-34
    • /
    • 2001
  • In this paper, we describe the generation method of interface logic which replace between IP & IP handshaking signal with asynchronous logic circuit. Especially, we suggest the new asynchronous sequential "Waveform to VHDL" code creation algorithm by flow chart conversion : Wave2VHDL - if only mixed asynchronous timing waveform is presented the level type input and pulse type input for handshaking, we convert waveform to flowchart and then replace with VHDL code according to converted flowchart. Also, we confirmed that asynchronous electronic circuits are created by applying extracted VHDL source code from suggest algorithm to conventional domestic/abroad CAD Tool, Finally, we assured the simulation result and the suggest timing diagram are identical.

  • PDF

A new interfacing circuit for low power asynchronous design in sensor systems (센서시스템에서의 저전력 비동기 설계를 위한 인터페이싱 회로)

  • Ryu, Jeong Tak;Hong, Won Kee;Kang, Byung Ho;Kim, Kyung Ki
    • Journal of Korea Society of Industrial Information Systems
    • /
    • v.19 no.1
    • /
    • pp.61-67
    • /
    • 2014
  • Conventional synchronous circuits in low power required systems such as sensor systems cannot only satisfy the timing requirement of the low voltage digital systems, but also they may generate wrong outputs under the influence of PVT variations and aging effects. Therefore, in the reliable ultra-low power design, asynchronous circuits have recently been reconsidered as a solution for scaling issues. However, it is not easy to totally replace synchronous circuits with asynchronous circuits in the digital systems, so the interfacing between the synchronous and asynchronous circuits is indispensable for the digital systems. This paper presents a new design for interfacing between asynchronous circuits and synchronous circuits, and the interface circuits are applied to a $4{\times}4$ multiplier logic designed using 0.11um technology.

Transformation from asynchronous finite state machines to signal transition graphs for speed-independent circuit synthesis (속도 독립 회로 합성을 위한 비동기 유한 상태기로부터 신호전이 그래프로의 변환)

  • 정성태
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.33A no.10
    • /
    • pp.195-204
    • /
    • 1996
  • We suggest a transform method form asynchronous finite state machines (AFSMs) into signal transition graphs (STGs) for speed-independent circuit synthesis. Existing works synthesize nodes in the state graph increases exponentially as the number of input and output signals increases. To overcome the problem of the exponential data complexity, we transform AFSMs into STGs so that the previous synthesis algorihtm form STGs can be applied.Accoridng to the experimental results, it turns out that our synthesis method produces more efficient circuit than the previous synthesis methods.

  • PDF

Delay Insensitive Asynchronous Circuit Design Based on New High-Speed NCL Cells (새로운 고속의 NCL 셀 기반의 지연무관 비동기 회로 설계)

  • Kim, Kyung Ki
    • Journal of Korea Society of Industrial Information Systems
    • /
    • v.19 no.6
    • /
    • pp.1-6
    • /
    • 2014
  • The delay-insensitive Null Convention Logic (NCL) asynchronous design as one of innovative asynchronous logic design methodologies has many advantages of inherent robustness, power consumption, and easy design reuses. However, transistor-level structures of conventional NCL gate cells have weakness of low speed, high area overhead or high wire complexity. Therefore, this paper proposes a new high-speed NCL gate cells designed at transistor level for high-speed, low area overhead, and low wire complexity. The proposed NCL gate cells have been compared to the conventional NCL gates in terms of circuit delay, area and power consumption.

Fine-Grain Pipeline Control Circuit for High Performance Microprocessors (고성능 마이크로프로세서를 위한 파이프라인 제어로직)

  • 배상태;김홍국
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2004.04a
    • /
    • pp.931-933
    • /
    • 2004
  • In a SoC environment, asynchronous design techniques offer solutions for problems of synchronous design techniques. Asynchronous FIFOs have the advantages of easier interconnection methods and higher throughput than synchronous ones. Low latency and high throughput are two imp ortant standards in asynchronous FIFOs. We present low latency asynchronous FIFO in the paper, which optimizes GasP[6]. Pre-layout of HSPICE simulations of a 8-stage FIFO on 1-bit datapath using Anam's 0.25$\mu\textrm{m}$ technology indicates 17% lower latency than GasP.

  • PDF

RZ/NRZ Mixture mode Data Transmission to reduce Signal Transition in the Asynchronous Circuits (비동기 회로의 신호천이 감소를 위한 RZ/NRZ 혼합 2선식 데이터 전송 방식)

  • 이원철;이제훈;조경록
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.41 no.9
    • /
    • pp.57-64
    • /
    • 2004
  • In this paper, we propose a RZ/HRZ mixture data transmission method for the asynchronous circuit design to reduce Power consumption. The dual-rail data with Rf decoding scheme is used to design asynchronous circuit, and it is easy to get a completion signal of the data validity from the native data as contrasted with sin91e-rail. However, the dual-rail scheme suffers from large chip area and increasing of Power consumption from all signals by the switching of the return-to-zero. We need to diminish number of circuit switching. The proposed RZ/HRZ data transmission reduces a switching activity to about 50% and it shows 23% lower power consumption than the conventional dual-rail coding with RZ's.