• Title/Summary/Keyword: memory trap

Search Result 111, Processing Time 0.023 seconds

Switching characteristics of the Scaled MONOS Nonvolatile Memory Devices (Scaled MONOS 비휘발성 기억소자의 스위칭 특성)

  • 이상배;김선주;이성배;강창수;서광열
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1995.05a
    • /
    • pp.54-57
    • /
    • 1995
  • This study is to investigate the switching charac-teritics in the5V-programmable scaled MONOS nonvolatile memory devices, Modified Folwer-Nordheim tunneling mechanism become important when the electric field in the tunneling oxide is 6 MV/cm for E$\_$OT/ <6MV/cm the trap-assisted tunneling mechanism is dominant, The density of nitride bulk trap is found to be N$\_$T/=7.7${\times}$10$\^$18/ cm$\^$-3/ and the energy level of trap is determined to be ø$\_$T/=0.65 eV.

  • PDF

Effect of Annealing Atmosphere on the La2O3 Nanocrystallite Based Charge Trap Memory

  • Tang, Zhenjie;Zhao, Dongqiu;Hu, Huiping;Li, Rong;Yin, Jiang
    • Transactions on Electrical and Electronic Materials
    • /
    • v.15 no.2
    • /
    • pp.73-76
    • /
    • 2014
  • $Pt/Al_2O_3/La_2Si_5O_x/SiO_2/Si$ charge trap memory capacitors were prepared, in which the $La_2Si_5O_x$ film was used as the charge trapping layer, and the effects of post annealing atmospheres ($NH_3$ and $N_2$) on their memory characteristics were investigated. $La_2O_3$ nanocrystallites, as the storage nodes, precipitated from the amorphous $La_2Si_5O_x$ film during rapid thermal annealing. The $NH_3$ annealed memory capacitor showed higher charge storage performances than either the capacitor without annealing or the capacitor annealed in $N_2$. The memory characteristics were enhanced because more nitrogen was incorporated at the $La_2Si_5O_x/SiO_2$ interface and interfacial reaction was suppressed after the $NH_3$ annealing treatment.

Disturbance Minimization by Stress Reduction During Erase Verify for NAND Flash Memory (반복된 삭제/쓰기 동작에서 스트레스로 인한 Disturbance를 최소화하는 플래쉬 메모리 블록 삭제 방법)

  • Seo, Juwan;Choi, Min
    • KIPS Transactions on Computer and Communication Systems
    • /
    • v.5 no.1
    • /
    • pp.1-6
    • /
    • 2016
  • This paper focuses on algorithm innovation of NAND Flash Memory for enhancing cell lifetime. During flash memory read/write/erase, the voltage of a specific cell should be a valid voltage level. If not, we cannot read the data correctly. This type of interference/disturbance tends to be serious when program and erase operation will go on. This is because FN tunneling results in tunnel oxide damage due to increased trap site on repetitive high biased state. In order to resolve this problem, we make the cell degradation by reducing the amount of stress in terms of erase cell, resulting in minimizing the cell disturbance on erase verify.

The Characteristics of p-channel SONOS Transistor for the NAND Charge-trap Flash Memory (NAND 전하트랩 플래시메모리를 위한 p채널 SONOS 트랜지스터의 특성)

  • Kim, Byung-Cheul;Kim, Joo-Yeon
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.22 no.1
    • /
    • pp.7-11
    • /
    • 2009
  • In this study, p-channel silicon-oxide-nitride-oxide-silicon(SONOS) transistors are fabricated and characterized as an unit cell for NAND flash memory. The SONOS transistors are fabricated by $0.13{\mu}m$ low power standard logic process technology. The thicknesses of gate insulators are 2.0 nm for the tunnel oxide, 1.4 nm for the nitride layer, and 4.9 nm for the blocking oxide. The fabricated SONOS transistors show low programming voltage and fast erase speed. However, the retention and endurance of the devices show poor characteristics.

The Analysis of Lateral Charge Migration at 3D-NAND Flash Memory by Tapering and Ferroelectric Polarization (Tapering과 Ferroelectric Polarization에 의한 3D NAND Flash Memory의 Lateral Charge Migration 분석)

  • Lee, Jaewoo;Lee, Jongwon;Kang, Myounggon
    • Journal of IKEEE
    • /
    • v.25 no.4
    • /
    • pp.770-773
    • /
    • 2021
  • In this paper, the retention characteristics of 3D NAND flash memory applied with tapering and ferroelectric (HfO2) structure were analyzed after programming operation. Electrons trapped in nitride are affected by lateral charge migration over time. It was confirmed that more lateral charge migration occurred in the channel thickened by tapering of the trapped electrons. In addition, the Oxide-Nitride-Ferroelectric (ONF) structure has better lateral charge migration due to polarization, so the change in threshold voltage (Vth) is reduced compared to the Oxide-Nitride-Oxide (ONO) structure.

Organic-Inorganic Nanohybrid Structure for Flexible Nonvolatile Memory Thin-Film Transistor

  • Yun, Gwan-Hyeok;Kalode, Pranav;Seong, Myeong-Mo
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.118-118
    • /
    • 2011
  • The Nano-Floating Gate Memory(NFGM) devices with ZnO:Cu thin film embedded in Al2O3 and AlOx-SAOL were fabricated and the electrical characteristics were evaluated. To further improve the scaling and to increase the program/erase speed, the high-k dielectric with a large barrier height such as Al2O3 can also act alternatively as a blocking layer for high-speed flash memory device application. The Al2O3 layer and AlOx-SAOL were deposited by MLD system and ZnO:Cu films were deposited by ALD system. The tunneling layer which is consisted of AlOx-SAOL were sequentially deposited at $100^{\circ}C$. The floating gate is consisted of ZnO films, which are doped with copper. The floating gate of ZnO:Cu films was used for charge trap. The same as tunneling layer, floating gate were sequentially deposited at $100^{\circ}C$. By using ALD process, we could control the proportion of Cu doping in charge trap layer and observe the memory characteristic of Cu doping ratio. Also, we could control and observe the memory property which is followed by tunneling layer thickness. The thickness of ZnO:Cu films was measured by Transmission Electron Microscopy. XPS analysis was performed to determine the composition of the ZnO:Cu film deposited by ALD process. A significant threshold voltage shift of fabricated floating gate memory devices was obtained due to the charging effects of ZnO:Cu films and the memory windows was about 13V. The feasibility of ZnO:Cu films deposited between Al2O3 and AlOx-SAOL for NFGM device application was also showed. We applied our ZnO:Cu memory to thin film transistor and evaluate the electrical property. The structure of our memory thin film transistor is consisted of all organic-inorganic hybrid structure. Then, we expect that our film could be applied to high-performance flexible device.----못찾겠음......

  • PDF

Charge trapping characteristics of high-k $HfO_2$ layer for tunnel barrier engineered nonvolatile memory application (엔지니어드 터널베리어 메모리 적용을 위한 $HfO_2$ 층의 전하 트랩핑 특성)

  • You, Hee-Wook;Kim, Min-Soo;Park, Goon-Ho;Oh, Se-Man;Jung, Jong-Wan;Lee, Young-Hie;Chung, Hong-Bay;Cho, Won-Ju
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.133-133
    • /
    • 2009
  • It is desirable to choose a high-k material having a large band offset with the tunneling oxide and a deep trapping level for use as the charge trapping layer to achieve high PIE (Programming/erasing) speeds and good reliability, respectively. In this paper, charge trapping and tunneling characteristics of high-k hafnium oxide ($HfO_2$) layer with various thicknesses were investigated for applications of tunnel barrier engineered nonvolatile memory. A critical thickness of $HfO_2$ layer for suppressing the charge trapping and enhancing the tunneling sensitivity of tunnel barrier were developed. Also, the charge trap centroid and charge trap density were extracted by constant current stress (CCS) method. As a result, the optimization of $HfO_2$ thickness considerably improved the performances of non-volatile memory(NVM).

  • PDF

A Study on the Memory Trap Analysis and Programming Characteristics of Reoxidized Nitrided Oxide (재산화 질화산화막의 기억트랩 분석과 프로그래밍 특성)

  • 남동우;안호명;한태현;이상은;서광열
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.15 no.7
    • /
    • pp.576-582
    • /
    • 2002
  • Nonvolatile semiconductor memory devices with reoxidized nitrided oxide(RONO) gate dielectrics were fabricated, and nitrogen distribution and bonding species which contribute to memory characteristics were analyzed. Also, memory characteristics of devices depending on the anneal temperatures were investigated. The devices were fabricated by retrograde twin well CMOS processes with $0.35\mu m$ design rule. The processes could be simple by in-situ process in growing dielectric. The nitrogen distribution and bonding states of gate dielectrics were investigated by Dynamic Secondary Ion Mass Spectrometry(D-SIMS), Time-of-Flight Secondary Ion Mass Spectrometry(ToF-SIMS), and X-ray Photoelectron Spectroscopy(XPS). As the nitridation temperature increased, nitrogen concentration increased linearly, and more time was required to form the same reoxidized layer thickness. ToF-SIMS results showed that SiON species were detected at the initial oxide interface which had formed after NO annealing and $Si_2NO$ species within the reoxidized layer formed after reoxidation. As the anneal temperatures increased, the device showed worse retention and degradation properties. It could be said that nitrogen concentration near initial interface is limited to a certain quantity, so the excess nitrogen is redistributed within reoxidized layer and contribute to electron trap generation.

A Study on the Memory Trap Analysis and Programming Characteristics of Reoxidized Nitrided Oxide (재산화 질화산화막의 기억트랩 분석과 프로그래밍 특성)

  • 남동우;안호명;한태현;서광열;이상은
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11a
    • /
    • pp.17-20
    • /
    • 2001
  • Nonvolatile semiconductor memory devices with reoxidized nitrided oxide(RONO) gate dielectric were fabricated, and nitrogen distribution and bonding species which contributing memory characteristics were analyzed. Also, memory characteristics of devices according to anneal temperatures were investigated. The devices were fabricated by 0.35$\mu\textrm{m}$ retrograde twin well CMOS processes. The processes could be simple by in-situ process of nitridation anneal and reoxidation. The nitrogen distribution and bonding state of gate dielectric were investigated by Dynamic Secondary Ion Mass Spectrometry(D-SIMS), Time-of-Flight Secondary ton Mass Spectrometry(ToF-SIMS), and X-ray Photoelectron Spectroscopy(XPS). Nitrogen concentrations are proportional to nitridation anneal temperatures and the more time was required to form the same reoxidized layer thickness. ToF-SIMS results show that SiON species are detected at the initial oxide interface and Si$_2$NO species near the new Si-SiO$_2$ interface that formed after reoxidation. As the anneal temperatures increased, the device showed worse retention and degradation properties. These could be said that nitrogen concentration near initial interface is limited to a certain quantity, so excess nitrogen are redistributed near the Si-SiO$_2$ interface and contributed to electron trap generation.

  • PDF

Nanoscale NAND SONOS memory devices including a Seperated double-gate FinFET structure

  • Kim, Hyun-Joo;Kim, Kyeong-Rok;Kwack, Kae-Dal
    • Journal of Applied Reliability
    • /
    • v.10 no.1
    • /
    • pp.65-71
    • /
    • 2010
  • NAND-type SONOS with a separated double-gate FinFET structure (SDF-Fin SONOS) flash memory devices are proposed to reduce the unit cell size of the memory device and increase the memory density in comparison with conventional non volatile memory devices. The proposed memory device consists of a pair of control gates separated along the direction of the Fin width. There are two unique alternative technologies in this study. One is a channel doping method and the other is an oxide thickness variation method, which are used to operate the SDF-Fin SONOS memory device as two-bit. The fabrication processes and the device characteristics are simulated by using technology comuter-adided(TCAD). The simulation results indicate that the charge trap probability depends on the different channel doping concentration and the tunneling oxide thickness. The proposed SDG-Fin SONOS memory devices hold promise for potential application.