• 제목/요약/키워드: Wafer Stacking

검색결과 56건 처리시간 0.023초

Overview of High Performance 3D-WLP

  • Kim, Eun-Kyung
    • 한국재료학회지
    • /
    • 제17권7호
    • /
    • pp.347-351
    • /
    • 2007
  • Vertical interconnect technology called 3D stacking has been a major focus of the next generation of IC industries. 3D stacked devices in the vertical dimension give several important advantages over conventional two-dimensional scaling. The most eminent advantage is its performance improvement. Vertical device stacking enhances a performance such as inter-die bandwidth improvements, RC delay mitigation and geometrical routing and placement advantages. At present memory stacking options are of great interest to many industries and research institutes. However, these options are more focused on a form factor reduction rather than the high performance improvements. In order to improve a stacked device performance significantly vertical interconnect technology with wafer level stacking needs to be much more progressed with reduction in inter-wafer pitch and increases in the number of stacked layers. Even though 3D wafer level stacking technology offers many opportunities both in the short term and long term, the full performance benefits of 3D wafer level stacking require technological developments beyond simply the wafer stacking technology itself.

SiO$_2$ 박막을 이용한 SOI 직접접합공정 및 특성 (Processing and Characterization of a Direct Bonded SOI using SiO$_2$ Thin Film)

  • 유연혁;최두진
    • 한국세라믹학회지
    • /
    • 제36권8호
    • /
    • pp.863-870
    • /
    • 1999
  • SOI(silicon on insulafor) was fabricated through the direct bonding using (100) Si wafer and 4$^{\circ}$off (100) Si wafer to investigate the stacking faults in silicon at the Si/SiO2 oxidized and bonded interface. The treatment time of wafer surface using MSC-1 solution was varied in order to observe the effect of cleaning on bonding characteristics. As the MSC-1 treating time increased surface hydrophilicity was saturated and surface microroughness increased. A comparison of surface hydrophilicity and microroughness with MSC-1 treating time indicates that optimum surface modified condition for time was immersed in MSC-1 for 2 min. The SOI structure directly bonded using (100) Si wafer and 4$^{\circ}$off (100) Si wafer at the room temperature were annealed at 110$0^{\circ}C$ for 30 min. Then the stacking faults at the bonding and oxidation interface were examined after the debonding. The results show that there were anomalies in the gettering of the stacking faults at the bonded region.

  • PDF

A New Smart Stacking Technology for 3D-LSIs

  • Koyanagi Mitsu
    • 한국마이크로전자및패키징학회:학술대회논문집
    • /
    • 한국마이크로전자및패키징학회 2005년도 ISMP
    • /
    • pp.89-110
    • /
    • 2005
  • A new 3D integration technology using wafer-to-wafer and chip-to-wafer stacking method was described. It was demonstrated that 3D microprocessor, 3D shared memory, 3D image processing chip and 3D artificial retina chip fabricated using 3D integration technology were successfully operated. The possibility of applying 3D image processing chip and 3D artificial retina chip to Robot's eye was investigated. The possibility of implanting 3D artificial retina chip into human eye was investigated.

  • PDF

Fabrication and Challenges of Cu-to-Cu Wafer Bonding

  • Kang, Sung-Geun;Lee, Ji-Eun;Kim, Eun-Sol;Lim, Na-Eun;Kim, Soo-Hyung;Kim, Sung-Dong;Kim, Sarah Eun-Kyung
    • 마이크로전자및패키징학회지
    • /
    • 제19권2호
    • /
    • pp.29-33
    • /
    • 2012
  • The demand for 3D wafer level integration has been increasing significantly. Although many technical challenges of wafer stacking are still remaining, wafer stacking is a key technology for 3D integration due to a high volume manufacturing, smaller package size, low cost, and no need for known good die. Among several new process techniques Cu-to-Cu wafer bonding is the key process to be optimized for the high density and high performance IC manufacturing. In this study two main challenges for Cu-to-Cu wafer bonding were evaluated: misalignment and bond quality of bonded wafers. It is demonstrated that the misalignment in a bonded wafer was mainly due to a physical movement of spacer removal step and the bond quality was significantly dependent on Cu bump dishing and oxide erosion by Cu CMP.

반도체 3차원 칩 적층을 위한 미세 범프 조이닝 기술 (Micro-bump Joining Technology for 3 Dimensional Chip Stacking)

  • 고영기;고용호;이창우
    • 한국정밀공학회지
    • /
    • 제31권10호
    • /
    • pp.865-871
    • /
    • 2014
  • Paradigm shift to 3-D chip stacking in electronic packaging has induced a lot of integration challenges due to the reduction in wafer thickness and pitch size. This study presents a hybrid bonding technology by self-alignment effect in order to improve the flip chip bonding accuracy with ultra-thin wafer. Optimization of Cu pillar bump formation and evaluation of various factors on self-alignment effect was performed. As a result, highly-improved bonding accuracy of thin wafer with a $50{\mu}m$ of thickness was achieved without solder bridging or bump misalignment by applying reflow process after thermo-compression bonding process. Reflow process caused the inherently-misaligned micro-bump to be aligned due to the interface tension between Si die and solder bump. Control of solder bump volume with respect to the chip dimension was the critical factor for self-alignment effect. This study indicated that bump design for 3D packaging could be tuned for the improvement of micro-bonding quality.

Warpage Simulation by the CTE mismatch in Blanket Structured Wafer Level 3D packaging

  • Kim, Seong Keol;Jang, Chong-Min;Hwang, Jung-Min;Park, Man-Chul
    • 한국생산제조학회지
    • /
    • 제22권1호
    • /
    • pp.168-172
    • /
    • 2013
  • In 3D wafer-stacking technology, one of the major issues is wafer warpage. Especially, The important reason of warpage has been known due to CTE(Coefficient of Thermal Expansion) mismatch between materials. It was too hard to choose how to make the FE model for blanket structured wafer level 3D packaging, because the thickness of each layer in wafer level 3D packaging was too small (micro meter or nano meter scale) comparing with diameter of wafer (6 or 8 inches). In this study, the FE model using the shell element was selected and simulated by the ANSYS WorkBench to investigate effects of the CTE on the warpage. To verify the FE model, it was compared by experimental results.

3D 패키지용 관통 전극 형성에 관한 연구 (Fabrication of Through-hole Interconnect in Si Wafer for 3D Package)

  • 김대곤;김종웅;하상수;정재필;신영의;문정훈;정승부
    • Journal of Welding and Joining
    • /
    • 제24권2호
    • /
    • pp.64-70
    • /
    • 2006
  • The 3-dimensional (3D) chip stacking technology is a leading technology to realize a high density and high performance system in package (SiP). There are several kinds of methods for chip stacking, but the stacking and interconnection through Cu filled through-hole via is considered to be one of the most advanced stacking technologies. Therefore, we studied the optimum process of through-hole via formation and Cu filling process for Si wafer stacking. Through-hole via was formed with DRIE (Deep Reactive ion Etching) and Cu filling was realized with the electroplating method. The optimized conditions for the via formation were RE coil power of 200 W, etch/passivation cycle time of 6.5 : 6 s and SF6 : C4F8 gas flow rate of 260 : 100 sccm. The reverse pulsed current of 1.5 A/dm2 was the most favorable condition for the Cu electroplating in the via. The Cu filled Si wafer was chemically and mechanically polished (CMP) for the following flip chip bumping technology.

웨이퍼 레벨 3D Integration을 위한 Ti/Cu CMP 공정 연구 (Ti/Cu CMP process for wafer level 3D integration)

  • 김은솔;이민재;김성동;김사라은경
    • 마이크로전자및패키징학회지
    • /
    • 제19권3호
    • /
    • pp.37-41
    • /
    • 2012
  • Cu 본딩을 이용한 웨이퍼 레벨 적층 기술은 고밀도 DRAM 이나 고성능 Logic 소자 적층 또는 이종소자 적층의 핵심 기술로 매우 중요시 되고 있다. Cu 본딩 공정을 최적화하기 위해서는 Cu chemical mechanical polishing(CMP)공정 개발이 필수적이며, 본딩층 평탄화를 위한 중요한 핵심 기술이라 하겠다. 특히 Logic 소자 응용에서는 ultra low-k 유전체와 호환성이 좋은 Ti barrier를 선호하는데, Ti barrier는 전기화학적으로 Cu CMP 슬러리에 영향을 받는 경우가 많다. 본 연구에서는 웨이퍼 레벨 Cu 본딩 기술을 위한 Ti/Cu 배선 구조의 Cu CMP 공정 기술을 연구하였다. 다마싱(damascene) 공정으로 Cu CMP 웨이퍼 시편을 제작하였고, 두 종류의 슬러리를 비교 분석 하였다. Cu 연마율(removal rate)과 슬러리에 대한 $SiO_2$와 Ti barrier의 선택비(selectivity)를 측정하였으며, 라인 폭과 금속 패턴 밀도에 대한 Cu dishing과 oxide erosion을 평가하였다.

IoT 적용을 위한 다종 소자 전자패키징 기술 (Heterogeneous Device Packaging Technology for the Internet of Things Applications)

  • 김사라은경
    • 마이크로전자및패키징학회지
    • /
    • 제23권3호
    • /
    • pp.1-6
    • /
    • 2016
  • IoT 적용을 위해서는 다종 소자를 높은 connectivity 밀도로 집적화시키는 전자패키징 기술이 매우 중요하다. FOWLP 기술은 입출력 밀도가 높고, 소자의 집적화가 우수하고, 디자인 유연성이 우수하여, 최근 개발이 집중되고 있는 기술이다. 웨이퍼나 패널 기반의 FOWLP 기술은 초미세 피치 RDL 공정 기술과 몰딩 기술 개발이 최적화 되어야 할 것이다. 3D stacking 기술 특히 웨이퍼 본딩 후 TSV를 제조하는 방법(via after bonding)은 가격을 낮추면서 connectivity를 높이는데 매우 효과적이라 하겠다. 하지만 저온 웨이퍼 본딩이나 TSV etch stop 공정과 같이 아직 해결해야할 단위 공정들이 있다. Substrate 기술은 두께를 줄이고 가격을 낮추는 공정 개발이 계속 주목되겠지만, 칩과 PCB와의 통합설계(co-design)가 더욱 중요하게 될 것이다.

산화 적층 결합의 생성, 성장 및 소멸에 관한 연구 - 제1부:산화 적층 결함의 생성과 열적 거동 (A Study on Nucleation, Growth and Shrinkage of Oxidation Induced Stacking Faults (OSF) -Part 1: Nucleation and Thermal Behavior of Oxidation Induced Stacking Faults(OSF))

  • 김용태;김선근;민석기
    • 대한전자공학회논문지
    • /
    • 제25권7호
    • /
    • pp.759-766
    • /
    • 1988
  • the effect of heat treatment in oxygen ambient on the nucleation and growth of oxidation induced stacking faults(OSF) in n-type(100)silicon wafer has been investigated. The growth of OSF is determind as a function of oxygen concentration in silicon wafer, heat treatment time and temperature, and the activation energy for the growth of OSF can be obtained from the growth kinetics. The activation energies are respectively 2.66 eV for dry oxidation and 2.37 eV for wet oxidation. In this paper, we have also studied the structural feature of OSF with the comparison of optical microscopic morphology and crystalline structure.

  • PDF