• 제목/요약/키워드: Scan based testing

검색결과 73건 처리시간 0.026초

A New Scan Partition Scheme for Low-Power Embedded Systems

  • Kim, Hong-Sik;Kim, Cheong-Ghil;Kang, Sung-Ho
    • ETRI Journal
    • /
    • 제30권3호
    • /
    • pp.412-420
    • /
    • 2008
  • A new scan partition architecture to reduce both the average and peak power dissipation during scan testing is proposed for low-power embedded systems. In scan-based testing, due to the extremely high switching activity during the scan shift operation, the power consumption increases considerably. In addition, the reduced correlation between consecutive test patterns may increase the power consumed during the capture cycle. In the proposed architecture, only a subset of scan cells is loaded with test stimulus and captured with test responses by freezing the remaining scan cells according to the spectrum of unspecified bits in the test cubes. To optimize the proposed process, a novel graph-based heuristic to partition the scan chain into several segments and a technique to increase the number of don't cares in the given test set have been developed. Experimental results on large ISCAS89 benchmark circuits show that the proposed technique, compared to the traditional full scan scheme, can reduce both the average switching activities and the average peak switching activities by 92.37% and 41.21%, respectively.

  • PDF

테스트 시간과 테스트 전력 감소를 위한 선택적 세그먼트 바이패스 스캔 구조 (Selective Segment Bypass Scan Architecture for Test Time and Test Power Reduction)

  • 양명훈;김용준;박재석;강성호
    • 대한전자공학회논문지SD
    • /
    • 제46권5호
    • /
    • pp.1-8
    • /
    • 2009
  • 스캔 기반 테스트 방법은 큰 순차 회로를 테스트하기 위한 매우 효율적이며 널리 사용되는 방법이다. 그러나 스캔 기반 테스트 방법은 테스트 패턴을 긴 스캔 체인을 통해서 순차적으로 인가해야 하기 때문에 긴 테스트 인가 시간을 필요로 한다. 또한, 스캔 쉬프트 동작이 정상 동작과 비교할 때 전력 소모를 급격히 증가시킨다. 이러한 문제점을 해결하기 위해서, 본 논문에서는 테스트 패턴 인가 시간과 테스트시의 전력 소모를 줄이기 위한 새로운 스캔 구조를 제안한다. 제안하는 스캔 구조는 스캔 체인을 여러 개의 세그먼트로 분할하고 specified bit를 포함하지 않는 세그먼트들을 바이패스 한다. 바이패스 되는 스캔 세그먼트들은 테스트 패턴 인가 동작에서 제외되기 때문에 테스트 패턴 인가 시간과 테스트시의 소모 전력이 상당히 줄어들게 된다.

코드 기반 기법을 이용한 디지털 회로의 스캔 테스트 데이터와 전력단축 (Reduction of Test Data and Power in Scan Testing for Digital Circuits using the Code-based Technique)

  • 허용민;신재흥
    • 전자공학회논문지 IE
    • /
    • 제45권3호
    • /
    • pp.5-12
    • /
    • 2008
  • 디지털 논리회로의 테스트 데이터와 전력소비를 단축시킬 수 있는 효율적인 테스트 방법을 제안한다. 제안 하는 테스트 방법은 테스트장비내의 테스트 데이터 저장 공간을 줄이는 하이브리드 run-length 인코딩 벙법에 기초하고, 수정된 Bus-invert 코딩 방법과 스캔 셀 설계를 제안하여, 스캔 동작시의 개선된 전력 단축효과를 가져온다. ISCAS'89 벤치마크 회로의 실험결과 고장 검출율의 저하 없이 평균 전력은 96.7%, 피크전력은 84%의 단축을 보이며 테스트 데이터는 기존 방법보다 78.2%의 압축을 갖는다.

Efficient Parallel Scan Test Technique for Cores on AMBA-based SoC

  • Song, Jaehoon;Jung, Jihun;Kim, Dooyoung;Park, Sungju
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제14권3호
    • /
    • pp.345-355
    • /
    • 2014
  • Today's System-on-a-Chip (SoC) is designed with reusable IP cores to meet short time-to-market requirements. However, the increasing cost of testing becomes a big burden in manufacturing a highly integrated SoC. In this paper, an efficient parallel scan test technique is introduced to minimize the test application time. Multiple scan enable signals are adopted to implement scan architecture to achieve optimal test application time for the test patterns scheduled for concurrent scan test. Experimental results show that testing times are considerably reduced with little area overhead.

IEEE 1149.1을 이용한 확장된 스캔 경로 구조 (An Extended Scan Path Architecture Based on IEEE 1149.1)

  • 손우정;윤태진;안광선
    • 한국정보처리학회논문지
    • /
    • 제3권7호
    • /
    • pp.1924-1937
    • /
    • 1996
  • 본 논문에서는 다중 보드를 시험하기 위한 새로운 구조인 확장된 스캔 경로 (ESP: Exlended Scan Path)와 절차를 제안한다. 보드률 시험하기 위한 기존의 구조로는 단일 스캔 경로와 다중 스캔 경로가 있다. 단일 스캔 경로 구조는 시험 데이자의 전송 경로 인 스캔 경로가 하나로 연결되므로 스캔 경로가 단락이나 개방으로 결함이 생기면 나머지 스캔 경로에 올바른 시험 데이타를 입력할 수 없다. 다중 스캔 경로 구조는 다중 보드 시험 시보드마다 별도의 신호선이 추가된다. 그러므로 기존의 주 구조는 다중 보드 시험에는 부적절하다. 제안된 ESP구조를 단일 스캔 경로 구조와 비교하면, 스캔 경로 상에 결함이 발생하더라도 그 결함은 하나의 스캔 경로에만 한정되어 다른 스캔 경로의 시험 데이타에는 영향을 주지 않는다. 뿐만 아니라, 비스트(BIST: BUILT In Self Test)와 IEEE 1149.1 경계면 스캔 시험을 병렬로 수행함으로써 시험에 소요되는 시간을 단축한다. 또한 ESP 구조를 다중 스캔 경로 구조와 비교하면, 스캔 경로마다 신호선을 공통으로 사용함으로써 다중 보드 시험 시 추가되는 신호선이 없다. 본 논문 에서는 제안한 ESP 구조와 기존 시험 구조의 성능을 비교하기 위해서, ISCAS '85벤치 마크 회로를 대상으로 각 구조의 시험 수행 시간을 비교하여 우수함을 보였다.

  • PDF

AMBA 기반 SoC 테스트를 위한 접근 메커니즘 설계 (Design of Test Access Mechanism for AMBA based SoC)

  • 민필재;송재훈;이현빈;박성주
    • 대한전자공학회논문지SD
    • /
    • 제43권10호
    • /
    • pp.74-79
    • /
    • 2006
  • Advanced Microcontroller Bus Architecture (AMBA) 기반 System-on-Chip (SoC)에서는 기능적 테스트를 위해 ARM사의 Test Interface Controller (TIC)를 사용한다. 따라서 구조적 스캔 테스트 패턴도 TIC와 AMBA 버스를 통해 인가하면서 스캔입력과 출력을 동시에 수행할 수 없다는 단점이 있다. 본 논문에서는 ARM 코어를 사용하는 SoC 테스트를 위한 AMBA based Test Access Mechanism (ATAM)을 제안한다. 기존 TIC와의 호환성을 유지하고 스캔 입력과 출력을 동시에 할 수 있으므로 고가의 Automatic Test Equipment (ATE)를 통한 테스트 시간을 대폭 절감할 수 있다.

회로분할과 테스트 입력 벡터 제어를 이용한 저전력 Scan-based BIST 설계 (Design for Lour pouter Scan-based BIST Using Circuit Partition and Control Test Input Vectors)

  • 신택균;손윤식;정정화
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2001년도 하계종합학술대회 논문집(2)
    • /
    • pp.125-128
    • /
    • 2001
  • In this paper, we propose a low power Scan-based Built-ln Self Test based on circuit partitioning and pattern suppression using modified test control unit. To partition a CUT(Circuit Under Testing), the MHPA(Multilevel Hypergraph Partition Algorithm) is used. As a result of circuit partition, we can reduce the total length of test pattern, so that power consumptions are decreased in test mode. Also, proposed Scan-based BIST architecture suppresses a redundant test pattern by inserting an additional decoder in BIST control unit. A decoder detects test pattern with high fault coverage, and applies it to partitioned circuits. Experimental result on the ISCAS benchmark circuits shows the efficiency of proposed low power BIST architecture.

  • PDF

IEEE 1149.1 표준에 근거한 다중 클럭을 이용한 단일 캡쳐 스캔 설계에 적용되는 경계 주사 테스트 기법에 관한 연구 (Test Methodology for Multiple Clocks Single Capture Scan Design based on JTAG IEEE1149.1 Standard)

  • 김인수;민형복
    • 전기학회논문지
    • /
    • 제56권5호
    • /
    • pp.980-986
    • /
    • 2007
  • Boundary scan test structure(JTAG IEEE 1149.1 standard) that supports an internal scan chain is generally being used to test CUT(circuit under test). Since the internal scan chain can only have a single scan-in port and a single scan-out port; however, existing boundary test methods can not be used when multiple scan chains are present in CUT. Those chains must be stitched to form a single scan chain as shown in this paper. We propose an efficient boundary scan test structure that adds a circuit called Clock Group Register(CGR) for multiple clocks testing within the design of multiple scan chains. The proposed CGR has the function of grouping clocks. By adding CGR to a previously existing boundary scan design, the design is modified. This revised scan design overcomes the limitation of supporting a single scan-in port and out port, and it bolsters multiple scan-in ports and out ports. Through our experiments, the effectiveness of CGR is proved. With this, it is possible to test more complicated designs that have high density with a little effort. Furthermore, it will also benefit in designing those complicated circuits.

Low Power Scan Chain Reordering Method with Limited Routing Congestion for Code-based Test Data Compression

  • Kim, Dooyoung;Ansari, M. Adil;Jung, Jihun;Park, Sungju
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제16권5호
    • /
    • pp.582-594
    • /
    • 2016
  • Various test data compression techniques have been developed to reduce the test costs of system-on-a-chips. In this paper, a scan chain reordering algorithm for code-based test data compression techniques is proposed. Scan cells within an acceptable relocation distance are ranked to reduce the number of conflicts in all test patterns and rearranged by a positioning algorithm to minimize the routing overhead. The proposed method is demonstrated on ISCAS '89 benchmark circuits with their physical layout by using a 180 nm CMOS process library. Significant improvements are observed in compression ratio and test power consumption with minor routing overhead.

A-scan 방식을 응용한 초음파 비파괴 검사 장치 (An Ultrasonic NDT System using Modified A-scan Method)

  • 김건;서호선;차일환
    • 한국음향학회:학술대회논문집
    • /
    • 한국음향학회 1985년도 학술발표회 논문집
    • /
    • pp.47-49
    • /
    • 1985
  • In most of ultrasonic NDT(Non-Destructive Testing) equipments using A-scan display technic, it is one of the inconveniences that the user must be proficient in reading the displayed signals for the accurate decisions. In this study, a simple microprocessorized NDT machine for the flaw detection was developed. The operation of system is based on the conventional NDT system. The microprocessor detects the time delay between transmitted pulse and echos by counter-measure method. Then according to the scanning position, the location of flaw orthe other side of testing object is plotted on the CRT. The main advantages of the developed system are simplicity in handling, recording capability of measured data, and low cost.

  • PDF