• 제목/요약/키워드: Low temperature annealing

검색결과 686건 처리시간 0.029초

TTIP가 첨가된 저온소성용 TiO2 Paste를 이용한 DSSC의 효율 특성 (Efficiency Characteristics of DSSC Using TiO2 Paste for Low Temperature Annealing with TTIP)

  • 권성열;심창수;양욱
    • 한국전기전자재료학회논문지
    • /
    • 제32권1호
    • /
    • pp.53-57
    • /
    • 2019
  • Recently, the application field of solar panels is increasing. Accordingly, the demand for flexible devices is also steadily increasing. It is therefore necessary to develop $TiO_2$ paste for low-temperature annealing for flexible DSSC fabrication. In this study, the $TiO_2$ paste for low-temperature annealing with varying molar ratio of titanium isopropoxide (TTIP) was prepared, and DSSC was fabricated and its characteristics were compared. As a result, there was no deformation of the particles on the surface in the SEM data. However, the highest open circuit voltage, short circuit current, and fill factor were measured in the DSSC unit cell prepared by adding 0.5 mol of TTIP to the $TiO_2$ paste, and the highest efficiency was 4.148%.

Low Temperature Annealing Effect of PFO-Poss Emission Layer on the Properties of Polymer Light Emitting Diodes

  • Gong, Su-Cheol;Chang, Ho-Jung
    • 한국재료학회지
    • /
    • 제19권6호
    • /
    • pp.313-318
    • /
    • 2009
  • Polymer Light Emitting Diodes (PLEDs) with an ITO/PEDOT:PSS/PVK/PFO-poss/LiF/Al structure were prepared on plasma-treated ITO/glass substrates using spin-coating and thermal evaporation methods. The annealing effects of the PFO-poss film when it acts as the emission layer were investigated by using electrical and optical property measurements. The annealing conditions of the PFO-poss emission film were 100 and $200^{\circ}C$ for 1, 2 and 3 hours, respectively. The luminance increased and the turn-on voltage decreased when the annealing temperature and treatment time increased. After examining the Luminance-Voltage (L-V) properties of the PLED, the maximum luminance was found to be 1497 cd/$m^2$ at 11 V for the device when it was annealed at $200^{\circ}C$ for 3 hours. The peak intensity of the PLED emission spectra at approximately 525 nm in wavelength increased when the annealing temperature and time of the PFO-poss film increased. These results suggest that the light emission color shifted from blue to green.

저온공정 실리콘 산화막의 질소 패시베이션 효과 (Passivation of Silicon Oxide Film Deposited at Low Temperature by Annealing in Nitrogen Ambient)

  • 김준식;정호균;최병덕;이기용;이준신
    • 한국전기전자재료학회논문지
    • /
    • 제19권4호
    • /
    • pp.334-338
    • /
    • 2006
  • Poly silicon TFT requires high quality dielectric film; conventional method of growing silicon dioxide needs highly hazardous chemicals such as silane. We have grown high quality dielectric film of silicon dioxide using non-hazardous chemical such as TFOS and ozone as reaction gases by APCVD. The films grown were characterized through C-V curves of MOS structures. Conventional APCVD requires high temperature processing where as in the process of current study, we developed a low temperature process. Interface trap density was substantially decreased in the silicon surface coated with the silicon dioxide film after annealing in nitrogen ambient. The interface with such low trap density could be used for poly silicon TFT fabrication with cheaper cost and potentially less hazards.

Thin Film Deposition of Tb3Al5O12:Ce by Pulsed Laser Ablation and Effects of Low-temperature Post-annealing

  • Kim, Kang Min;Chung, Jun Ho;Ryu, Jeong Ho
    • Journal of the Optical Society of Korea
    • /
    • 제16권1호
    • /
    • pp.76-79
    • /
    • 2012
  • $Tb_3Al_5O_{12}:Ce$ (TAG:Ce) thin films were successfully deposited by a pulsed laser ablation method on a quartz substrate, and low-temperature post-annealing effects on luminescent properties were investigated in detail. TAG:Ce thin films were analyzed by X-ray diffraction, scanning electron microscopy, X-ray photoelectron spectroscopy, and photoluminescence spectroscopy. The as-deposited films were amorphous, and post-annealing above $700^{\circ}C$ was required for crystallization. The post-annealed TAG:Ce thin films showed strong and broad emission bands around 542 nm and excitations at 451 nm, which all corresponded to transitions between the 4f ground level to the $5d^1$ excited levels of Ce ion.

새로운 저온 열처리 공정으로 제조된 SrBi2Ta2O9 박막의 결정성 및 전기적 특성 (The Crystallinity and Electrical Properties of SrBi2Ta2O9 Thin Films Fabricated by New Low Temperature Annealing)

  • 이관;최훈상;장유민;최인훈
    • 한국재료학회지
    • /
    • 제12권5호
    • /
    • pp.382-386
    • /
    • 2002
  • We studied growth and characterization of $SrBi_2Ta_2O_9$ (SBT) thin films fabricated by low temperature process under vacuum and/or oxygen ambient. A metal organic decomposition (MOD) method based on a spin-on technique and annealing process using a rapid thermal annealing (RTA) method was used to prepare the SBT films. The crystallinity of a ferroelectric phase of SBT thin films is related to the oxygen partial pressure during RTA process. Under an oxygen partial pressure higher than 30 Torr, the crystallization temperature inducing the ferroelectric SBT phase can be lowered to $650^{\circ}C$. Those films annealed at $650^{\circ}C$ in vacuum and oxygen ambient showed good ferroelectric properties, that is, the memory window of 0.5~0.9 V at applied voltage of 3~7 V and the leakage current density of 1.80{\times}10^{-8}$ A/$\textrm{cm}^2$ at an applied voltage of 5V. In comparison with the SBT thin films prepared at 80$0^{\circ}C$ in $O_2$ ambient by furnace annealing process, the SBT thin films prepared at $650^{\circ}C$ in vacuum and oxygen ambient using the RTA process showed a good crystallization and electrical properties which would be able to apply to the virtul device fabrication precess.

새로운 압연Process 구축을 통한 연화소둔 열처리생략강개발 (Development of Low Annealing treatment omission steel by new rolling process)

  • 김병홍;최규성;허춘열;김경원
    • 한국소성가공학회:학술대회논문집
    • /
    • 한국소성가공학회 2004년도 제5회 압연심포지엄 신 시장 개척을 위한 압연기술
    • /
    • pp.27-36
    • /
    • 2004
  • Contemporary objectives for steel rod rolling processing are increasingly complex and often contrasting i.e. obtaining a desired product with optimum combination of properties such as strength, toughness and formability at lower cost. Low-alloy steel rods have been produced with several heat treatments for drawing and forging processes at room temperature. In order to reduce these heat treatments much of the researches concerning of high temperature mechanical behavior of steel rods have been conducted at wire rod mill of POSCO. In this present work, optimizations of rolling temperature and cooling rate for JS-SCM435 are performed to eliminate softening heat treatment(Low Temperature Annealing) for drawing process. The results from the optimization changed the microstructure of rods after rod rolling from Bainite with high tensile strength of 1000Mpa to Pearlite and Ferrite with appropriate strength of 750Mpa that is equivalent tensile strength after softening heat treatment.

  • PDF

Generation and Detection of Terahertz Waves Using Low-Temperature-Grown GaAs with an Annealing Process

  • Moon, Kiwon;Choi, Jeongyong;Shin, Jun-Hwan;Han, Sang-Pil;Ko, Hyunsung;Kim, Namje;Park, Jeong-Woo;Yoon, Young-Jong;Kang, Kwang-Yong;Ryu, Han-Cheol;Park, Kyung Hyun
    • ETRI Journal
    • /
    • 제36권1호
    • /
    • pp.159-162
    • /
    • 2014
  • In this letter, we present low-temperature grown GaAs (LTG-GaAs)-based photoconductive antennas for the generation and detection of terahertz (THz) waves. The growth of LTG-GaAs and the annealing temperatures are systematically discussed based on the material characteristics and the properties of THz emission and detection. The optimum annealing temperature depends on the growth temperature, which turns out to be $540^{\circ}C$ to $580^{\circ}C$ for the initial excess arsenic density of $2{\times}10^{19}/cm^3$ to $8{\times}10^{19}/cm^3$.

Laser Thermal Processing System for Creation of Low Temperature Polycrystalline Silicon using High Power DPSS Laser and Excimer Laser

  • Kim, Doh-Hoon;Kim, Dae-Jin
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2006년도 6th International Meeting on Information Display
    • /
    • pp.647-650
    • /
    • 2006
  • Low temperature polycrystalline silicon (LTPS) technology using a high power laser have been widely applied to thin film transistors (TFTs) for liquid crystal, organic light emitting diode (OLED) display, driver circuit for system on glass (SOG) and static random access memory (SRAM). Recently, the semiconductor industry is continuing its quest to create even more powerful CPU and memory chips. This requires increasing of individual device speed through the continual reduction of the minimum size of device features and increasing of device density on the chip. Moreover, the flat panel display industry also need to be brighter, with richer more vivid color, wider viewing angle, have faster video capability and be more durable at lower cost. Kornic Systems Co., Ltd. developed the $KORONA^{TM}$ LTP/GLTP series - an innovative production tool for fabricating flat panel displays and semiconductor devices - to meet these growing market demands and advance the volume production capabilities of flat panel displays and semiconductor industry. The $KORONA^{TM}\;LTP/GLTP$ series using DPSS laser and XeCl excimer laser is designed for the new generation of the wafer & FPD glass annealing processing equipment combining advanced low temperature poly-silicon (LTPS) crystallization technology and object-oriented software architecture with a semistandard graphical user interface (GUI). These leading edge systems show the superior annealing ability to the conventional other method. The $KORONA^{TM}\;LTP/GLTP$ series provides technical and economical benefits of advanced annealing solution to semiconductor and FPD production performance with an exceptional level of productivity. High throughput, low cost of ownership and optimized system efficiency brings the highest yield and lowest cost per wafer/glass on the annealing market.

  • PDF

하이브리드 태양전지 제작에 있어서 유기물의 후열처리 온도에 따른 단락전류밀도의 변화 (The Changes of Short Circuit Current Density according to the Post-annealing Temperature of Organic Materials in the Hybrid Photovoltaics)

  • 권동오;신민정;안형수;이삼녕
    • Journal of Advanced Marine Engineering and Technology
    • /
    • 제39권1호
    • /
    • pp.81-85
    • /
    • 2015
  • 본 연구에서는 Poly (3-hexylthiophene-2,5-diyl) (P3HT):[6,6]-Phenyl C61 butyric acid methyl ester (PCBM)과 GaN를 이용하여 유무기 하이브리드 광전변환소자를 제작함에 있어서, P3HT:PCBM 활성층의 열처리가 소자의 단락전류밀도에 미치는 영향을 알아보았으며 이때 유기물의 농도와 혼합비율을 달리 하였다. 유기물 각각의 층을 코팅하여 층을 만들 때마다 열처리 한 경우, 즉 pre-annealing샘플과 pre-annealing 과정을 거쳐 제작된 소자 전체를 한 번 더 열처리하여 즉 post-annealing까지 행한 샘플을 비교하였다. 그 결과 post-annealing한 샘플이 더 높은 단락전류밀도의 값을 가졌고 이때 P3HT와 PCBM은 1wt%와 1:1 혼합비율에서 좋은 열처리 효과를 나타내었다.

Effect of thermal annealing on low-energy C-ion irradiated MgB2 thin films

  • Jung, Soon-Gil;Son, Seung-Ku;Pham, Duong;Lim, W.C.;Song, J.;Kang, W.N.;Park, T.
    • 한국초전도ㆍ저온공학회논문지
    • /
    • 제21권3호
    • /
    • pp.13-17
    • /
    • 2019
  • We investigate the effect of thermal annealing on $MgB_2$ thin films with thicknesses of 400 and 800 nm, irradiated by 350 keV C-ions with a dose of $1{\times}10^{15}atoms/cm^2$. Irradiation by low-energy C-ions produces atomic lattice displacement in $MgB_2$ thin films, improving magnetic field performance of critical current density ($J_c$) while reducing the superconducting transition temperature ($T_c$). Interestingly, the lattice displacement and the $T_c$ are gradually restored to the original values with increasing thermal annealing temperature. In addition, the magnetic field dependence of $J_c$ also returns to that of the pristine state together with the restoration of $T_c$. Because $J_c$(H) is sensitive to the type and density of the disorder, i.e. vortex pinning, the recovery of $J_c$(H) in irradiated $MgB_2$ thin films by thermal annealing indicates that low-energy C-ion irradiation on $MgB_2$ thin films primarily causes lattice displacement. These results provide new insights into the application of low-energy irradiation in strategically engineering critical properties of superconductors.