• 제목/요약/키워드: 반도체 패키지

Search Result 160, Processing Time 0.025 seconds

반도체 플립칩 몰드 설계를 위한 가압식 Underfilling 수치해석에 관한 연구

  • 차재원;김광선;서화일
    • Proceedings of the Korean Society Of Semiconductor Equipment Technology
    • /
    • 2003.05a
    • /
    • pp.88-93
    • /
    • 2003
  • IC 패키지 기술중 Underfilling 은 칩과 기판사이에 Encapsulant의 표면장력을 이용하여 주입하고 경화시킴으로써 전기적 기계적 보강력을 제공하는 기술로서 시스템 칩의 발전과 함께 차세대 패키징 기술중의 하나이다. 본 연구에서는 기존의 Underfilling 공정을 개선하여 충전시간을 획기적으로 줄일 수 있는 가압식 Underfilling 공정을 이용하여 차세대 반도체 패키징에 적용할 수 있는 가능성을 파악하였다. 이를 위하여 칩과 기판사이에 주입되고 경화되는 Encapsulant의 유동특성을 파악하였다. 가압식 Underfilling기술은 아직까지 상용화되지 않은 미래기술로써 효율적인 몰드 설계를 위하여 Encapsulant 종류에 따라 Gate 위치, Bump Pattern 및 개수, 칩과 기판 사이의 거리, Side Region에 따른 유동특성등의 파악이 중요하다. 본 연구에서는 $DEXTER^{TM}(US)$의 Encapsulant FP4511 을 사용하여 Cavity 내에 Void 를 없앨 수 있는 주입조건을 찾아내고 Underfilling 시간을 감소시킬 수 있는 모사를 진행하였다.

  • PDF

Technology Intelligence based on the Co-evolution Analysis : Semiconductor Package Process Case (공진화 분석기반 기술 인텔리전스 : 반도체 패키지공정 사례)

  • Lee, Byungjoon;Shin, Juneseuk
    • Journal of Technology Innovation
    • /
    • v.28 no.4
    • /
    • pp.63-93
    • /
    • 2020
  • We suggest a new way of specifying the co-evolution of product and process technologies, and integrating it into one of the well-received technology intelligence tools - a technology radar. Cross impact analysis enables us to identify the core technologies of product-process co-evolution. Combining expert judgment with its results, we can clarify the technological co-evolution trajectory with mainstream as well as emerging core technologies. Reflecting these in the assessment process of a technology radar, we could improve reliance of the technology assessment process and technology portfolio. From the academic perspective, our research provides a point where the co-evolution theory encouners technology intelligence methods. Practically, strategic capability of future-preparedness and strategic management could improve by adopting our method based on our example of co-evolution of semiconductor product and process technologies.

Numerical Analysis of Warpage Induced by Thermo-Compression Bonding Process of Cu Pillar Bump Flip Chip Package (수치해석을 이용한 구리기둥 범프 플립칩 패키지의 열압착 접합 공정 시 발생하는 휨 연구)

  • Kwon, Oh Young;Jung, Hoon Sun;Lee, Jung Hoon;Choa, Sung-Hoon
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.41 no.6
    • /
    • pp.443-453
    • /
    • 2017
  • In flip chip technology, the conventional solder bump has been replaced with a copper (Cu) pillar bump owing to its higher input/output (I/O) density, finer pitch, and higher reliability. However, Cu pillar bump technology faces several issues, such as interconnect shorting and higher low-k stress due to stiffer Cu pillar structure when the conventional reflow process is used. Therefore, the thermal compression bonding (TCB) process has been adopted in the flip chip attachment process in order to reduce the package warpage and stress. In this study, we investigated the package warpage induced during the TCB process using a numerical analysis. The warpage of the TCB process was compared with that of the reflow process.

A Fracture Mechanics Approach on Delamination and Package Crack in Electronic Packaging(ll) - Package Crack - (반도체패키지에서의 층간박리 및 패키지균열에 대한 파괴역학적 연구 (2) - 패키지균열-)

  • 박상선;반용운;엄윤용
    • Transactions of the Korean Society of Mechanical Engineers
    • /
    • v.18 no.8
    • /
    • pp.2158-2166
    • /
    • 1994
  • In order to understand the package crack emanating from the edge of leadframe after the delamination between leadframe and epoxy molding compound in an electronic packaging of surface mounting type, the M-integral and J-integral in fracture mechanics are obtained. The effects of geometry, material properties and molding process temperature on the package crack are investigated taking into account the temperature dependence of the material properties, which simulates a more realistic condition. If the temperature dependence of the material properties is considered the result of analysis conforms with observations that the crack is kinked at between 50 and 65 degree. However, in case of constant material properties at the room temperature it is found that the J-integral is underestimated and the kink crack angle is different form the observation. The effects of the material properties and molding process temperature on J-integral and crack angle are less significant that the chip size for the cases considered here. It is suggested that the geometric factors such as ship size, leadframe size are to be well designed in order to prevent(or control) the occurrence and propagation of the package crack.

Signal-Based Fault Detection and Diagnosis on Electronic Packaging and Applications of Artificial Intelligence Techniques (시그널 기반 전자패키지 결함검출진단 기술과 인공지능의 응용)

  • Tae Yeob Kang;Taek-Soo Kim
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.30 no.1
    • /
    • pp.30-41
    • /
    • 2023
  • With the aggressive down-scaling of advanced integrated circuits (ICs), electronic packages have become the bottleneck of both reliability and performance of whole electronic systems. In order to resolve the reliability issues, Institute of Electrical and Electronics Engineers (IEEE) laid down a roadmap on fault detection and diagnosis (FDD), thrusting the digital twin: a combination of reliability physics and artificial intelligence (AI). In this paper, we especially review research works regarding the signal-based FDD approaches on the electronic packages. We also discuss the research trend of FDD utilizing AI techniques.

Numerical Analysis for Thermal-deformation Improvement in TSOP(Thin Small Outline Package) by Anti-deflection Adhesives (TSOP(Thin Small Outline Package) 열변형 개선을 위한 전산모사 분석)

  • Kim, Sang-Woo;Lee, Hai-Joong;Lee, Hyo-Soo
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.20 no.3
    • /
    • pp.31-35
    • /
    • 2013
  • TSOP(Thin Small Outline Package) is the IC package using lead frame, which is the type of low cost package for white electronics, auto mobile, desktop PC, and so on. Its performance is not excellent compared to BGA or flip-chip CSP, but it has been used mostly because of low price of TSOP package. However, it has been issued in TSOP package that thermal deflection of lead frame occurs frequently during molding process and Au wire between semiconductor die and pad is debonded. It has been required to solve this problem through substituting materials with low CTE and improving structure of lead frame. We focused on developing the lead frame structure having thermal stability, which was carried out by numerical analysis in this study. Thermal deflection of lead frame in TSOP package was simulated with positions of anti-deflection adhesives, which was ranging 198 um~366 um from semiconductor die. It was definitely understood that thermal deflection of TSOP package with anti-deflection adhesives was improved as 30.738 um in the case of inside(198 um), which was compared to that of the conventional TSOP package. This result is caused by that the anti-deflection adhesives is contributed to restrict thermal expansion of lead frame. Therefore, it is expected that the anti-deflection adhesives can be applied to lead frame packages and enhance their thermal deflection without any change of substitutive materials with low CTE.

Delamination Prediction of Semiconductor Packages through Finite Element Analysis Reflecting Moisture Absorption and Desorption according to the Temperature and Relative Humidity (유한요소 해석을 통해 온도와 상대습도에 따른 수분 흡습 및 탈습을 반영한 반도체 패키지 구조의 박리 예측)

  • Um, Hui-Jin;Hwang, Yeon-Taek;Kim, Hak-sung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.29 no.3
    • /
    • pp.37-42
    • /
    • 2022
  • Recently, the semiconductor package structures are becoming thinner and more complex. As the thickness decrease, interfacial delamination due to material mismatch can be further maximized, so the reliability of interface is a critical issue in industry field. Especially, the polymers, which are widely used in semiconductor packaging, are significantly affected by the temperature and moisture. Therefore, in this study, the delamination prediction at the interface of package structure was performed through finite element analysis considering the moisture absorption and desorption under the various temperature conditions. The material properties such as diffusivity and saturated moisture content were obtained from moisture absorption test. The hygro-swelling coefficients of each material were analyzed through TMA and TGA after the moisture absorption. The micro-shear test was conducted to evaluate the adhesion strength of each interface at various temperatures considering the moisture effect. The finite element analysis of interfacial delamination was performed that considers both deformation due to temperature and moisture absorption. Consequently, the interfacial delamination was successfully predicted in consideration of the in-situ moisture desorption and temperature behavior during the reflow process.

Effect of High Filler Loading on the Reliability of Epoxy Holding Compound for Microelectronic Packaging (반도체 패키지 봉지재용 에폭시 수지 조성물의 신뢰특성에 미치는 실리카 고충전 영향)

  • 정호용;문경식;최경세
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.6 no.3
    • /
    • pp.51-63
    • /
    • 1999
  • The effects of high filler loading technique on the reliability of epoxy molding compound (EMC) as a microelectronic encapsulant was investigated. The method of high filler loading was established by the improvement of maximum packing fraction using the simplified packing model proposed by Ouchiyama, et al. With the maximum packing fraction of filler, the viscosity of EMC wart lowered and the flowability was improved. As the amount of filler in EMC increased, several properties such as internal stress and moisture absorption were improved. However, the adhesive strength with the alloy 42 leadframe decreased when the filler content was beyond the critical value. It was found that the appropriate content of filler was important to improve the reilability of EMC, and the optimum filler combination should be selected to obtain high reliable EMC filled with high volume fraction of filler.

  • PDF

Thermophysical Properties of Epoxy Molding Compound for Microelectronic Packaging (반도체 패키지 EMC의 열물성 연구)

  • 이상현;도중광;송현훈
    • Journal of the Semiconductor & Display Technology
    • /
    • v.3 no.4
    • /
    • pp.33-37
    • /
    • 2004
  • As the high speed and high integration of semiconductor devices and the generation of heat increases resulted in the effective heat dissipation influences on the performance and lifetime of semiconductor devices. The heat resistance or heat spread function of EMC(epoxy molding compound) which protects these devices became one of very important factors in the evaluation of semiconductor chips. Recently, silica, alumina, AlN(aluminum nitride) powders are widely used as the fillers of EMC. The filler loading in encapsulants was high up to about 80 vol%. A high loading of filler was improved low water absorption, low stress, high strength, better flowability and high thermal conductivity. In this study, the thermal properties were investigated through thermal, mechanical and microstructure. Thermophysical properties were investigated by laser flash and differential scanning calorimeter(DSC). For detailed inspection of materials, the samples were examined by SEM.

  • PDF