• Title/Summary/Keyword: wafer level stacking

Search Result 13, Processing Time 0.022 seconds

Overview of High Performance 3D-WLP

  • Kim, Eun-Kyung
    • Korean Journal of Materials Research
    • /
    • v.17 no.7
    • /
    • pp.347-351
    • /
    • 2007
  • Vertical interconnect technology called 3D stacking has been a major focus of the next generation of IC industries. 3D stacked devices in the vertical dimension give several important advantages over conventional two-dimensional scaling. The most eminent advantage is its performance improvement. Vertical device stacking enhances a performance such as inter-die bandwidth improvements, RC delay mitigation and geometrical routing and placement advantages. At present memory stacking options are of great interest to many industries and research institutes. However, these options are more focused on a form factor reduction rather than the high performance improvements. In order to improve a stacked device performance significantly vertical interconnect technology with wafer level stacking needs to be much more progressed with reduction in inter-wafer pitch and increases in the number of stacked layers. Even though 3D wafer level stacking technology offers many opportunities both in the short term and long term, the full performance benefits of 3D wafer level stacking require technological developments beyond simply the wafer stacking technology itself.

Warpage Simulation by the CTE mismatch in Blanket Structured Wafer Level 3D packaging

  • Kim, Seong Keol;Jang, Chong-Min;Hwang, Jung-Min;Park, Man-Chul
    • Journal of the Korean Society of Manufacturing Technology Engineers
    • /
    • v.22 no.1
    • /
    • pp.168-172
    • /
    • 2013
  • In 3D wafer-stacking technology, one of the major issues is wafer warpage. Especially, The important reason of warpage has been known due to CTE(Coefficient of Thermal Expansion) mismatch between materials. It was too hard to choose how to make the FE model for blanket structured wafer level 3D packaging, because the thickness of each layer in wafer level 3D packaging was too small (micro meter or nano meter scale) comparing with diameter of wafer (6 or 8 inches). In this study, the FE model using the shell element was selected and simulated by the ANSYS WorkBench to investigate effects of the CTE on the warpage. To verify the FE model, it was compared by experimental results.

Ti/Cu CMP process for wafer level 3D integration (웨이퍼 레벨 3D Integration을 위한 Ti/Cu CMP 공정 연구)

  • Kim, Eunsol;Lee, Minjae;Kim, Sungdong;Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.19 no.3
    • /
    • pp.37-41
    • /
    • 2012
  • The wafer level stacking with Cu-to-Cu bonding becomes an important technology for high density DRAM stacking, high performance logic stacking, or heterogeneous chip stacking. Cu CMP becomes one of key processes to be developed for optimized Cu bonding process. For the ultra low-k dielectrics used in the advanced logic applications, Ti barrier has been preferred due to its good compatibility with porous ultra low-K dielectrics. But since Ti is electrochemically reactive to Cu CMP slurries, it leads to a new challenge to Cu CMP. In this study Ti barrier/Cu interconnection structure has been investigated for the wafer level 3D integration. Cu CMP wafers have been fabricated by a damascene process and two types of slurry were compared. The slurry selectivity to $SiO_2$ and Ti and removal rate were measured. The effect of metal line width and metal density were evaluated.

Heterogeneous Device Packaging Technology for the Internet of Things Applications (IoT 적용을 위한 다종 소자 전자패키징 기술)

  • Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.23 no.3
    • /
    • pp.1-6
    • /
    • 2016
  • The Internet of Things (IoT) is a new technology paradigm demanding one packaged system of various semiconductor and MEMS devices. Therefore, the development of electronic packaging technology with very high connectivity is essential for successful IoT applications. This paper discusses both fan-out wafer level packaging (FOWLP) and 3D stacking technologies to achieve the integrattion of heterogeneous devices for IoT. FOWLP has great advantages of high I/O density, high integration, and design flexibility, but ultra-fine pitch redistribution layer (RDL) and molding processes still remain as main challenges to resolve. 3D stacking is an emerging technology solving conventional packaging limits such as size, performance, cost, and scalability. Among various 3D stacking sequences wafer level via after bonding method will provide the highest connectivity with low cost. In addition substrates with ultra-thin thickness, ultra-fine pitch line/space, and low cost are required to improve system performance. The key substrate technologies are embedded trace, passive, and active substrates or ultra-thin coreless substrates.

Fabrication and Challenges of Cu-to-Cu Wafer Bonding

  • Kang, Sung-Geun;Lee, Ji-Eun;Kim, Eun-Sol;Lim, Na-Eun;Kim, Soo-Hyung;Kim, Sung-Dong;Kim, Sarah Eun-Kyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.19 no.2
    • /
    • pp.29-33
    • /
    • 2012
  • The demand for 3D wafer level integration has been increasing significantly. Although many technical challenges of wafer stacking are still remaining, wafer stacking is a key technology for 3D integration due to a high volume manufacturing, smaller package size, low cost, and no need for known good die. Among several new process techniques Cu-to-Cu wafer bonding is the key process to be optimized for the high density and high performance IC manufacturing. In this study two main challenges for Cu-to-Cu wafer bonding were evaluated: misalignment and bond quality of bonded wafers. It is demonstrated that the misalignment in a bonded wafer was mainly due to a physical movement of spacer removal step and the bond quality was significantly dependent on Cu bump dishing and oxide erosion by Cu CMP.

Effects of Wafer Warpage on the Misalignment in Wafer Level Stacking Process (웨이퍼 레벨 적층 공정에서 웨이퍼 휘어짐이 정렬 오차에 미치는 영향)

  • Shin, Sowon;Park, Mansoek;Kim, Sarah Eunkyung;Kim, Sungdong
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.20 no.3
    • /
    • pp.71-74
    • /
    • 2013
  • In this study, the effects of wafer warpage on the misalignment during wafer stacking process were investigated. The wafer with $45{\mu}m$ bow height warpage was purposely fabricated by depositing Cu thin film on a silicon wafer and the bonding misalignment after bonding was observed to range from $6{\mu}m$ to $15{\mu}m$. This misalignment could be explained by a combination of $5{\mu}m$ radial expansion and $10{\mu}m$ linear slip. The wafer warpage seemed to be responsible for the slip-induced misalignment instead of radial expansion misalignment.

Wafer Level Packaging of RF-MEMS Devices with Vertical feed-through (Ultra Thin 실리콘 웨이퍼를 이용한 RF-MEMS 소자의 웨이퍼 레벨 패키징)

  • 김용국;박윤권;김재경;주병권
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.16 no.12S
    • /
    • pp.1237-1241
    • /
    • 2003
  • In this paper, we report a novel RF-MEMS packaging technology with lightweight, small size, and short electric path length. To achieve this goal, we used the ultra thin silicon substrate as a packaging substrate. The via holes lot vortical feed-through were fabricated on the thin silicon wafer by wet chemical processing. Then, via holes were filled and micro-bumps were fabricated by electroplating. The packaged RF device has a reflection loss under 22 〔㏈〕 and a insertion loss of -0.04∼-0.08 〔㏈〕. These measurements show that we could package the RF device without loss and interference by using the vertical feed-through. Specially, with the ultra thin silicon wafer we can realize of a device package that has low-cost, lightweight and small size. Also, we can extend a 3-D packaging structure by stacking assembled thin packages.

Wafer Level Bonding Technology for 3D Stacked IC (3D 적층 IC를 위한 웨이퍼 레벨 본딩 기술)

  • Cho, Young Hak;Kim, Sarah Eunkyung;Kim, Sungdong
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.20 no.1
    • /
    • pp.7-13
    • /
    • 2013
  • 3D stacked IC is one of the promising candidates which can keep Moore's law valid for next decades. IC can be stacked through various bonding technologies and they were reviewed in this report, for example, wafer direct bonding and atomic diffusion bonding, etc. As an effort to reduce the high temperature and pressure which were required for high bonding strength in conventional Cu-Cu thermo-compression bonding, surface activated bonding, solid liquid inter-diffusion and direct bonding interface technologies are actively being developed.

Cu/SiO2 CMP Process for Wafer Level Cu Bonding (웨이퍼 레벨 Cu 본딩을 위한 Cu/SiO2 CMP 공정 연구)

  • Lee, Minjae;Kim, Sarah Eunkyung;Kim, Sungdong
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.20 no.2
    • /
    • pp.47-51
    • /
    • 2013
  • Chemical mechanical polishing (CMP) has become one of the key processes in wafer level stacking technology for 3D stacked IC. In this study, two-step CMP process was proposed to polish $Cu/SiO_2$ hybrid bonding surface, that is, Cu CMP was followed by $SiO_2$ CMP to minimize Cu dishing. As a result, Cu dishing was reduced down to $100{\sim}200{\AA}$ after $SiO_2$ CMP and surface roughness was also improved. The bonding interface showed no noticeable dishing or interface line, implying high bonding strength.