• Title/Summary/Keyword: global planarization

Search Result 86, Processing Time 0.028 seconds

Global planarization Characteristic of $WO_3$ ($WO_3$ 박막의 광역평탄화 특성)

  • Lee, Woo-Sun;Ko, Pi-Ju;Choi, Gwon-Woo;Kim, Tae-Wan;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.04b
    • /
    • pp.89-92
    • /
    • 2004
  • Chemical mechanical polishing (CMP) process has been widely used to planarize dielectric layers, which can be applied to the integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of in the defect-free inter-level dielectrics (ILD). we investigated the performance of $WO_3$ CMP used silica slurry, ceria slurry, tungsten slurry. In this paper, the effects of addition oxidizer on the $WO_3$ CMP characteristics were investigated to obtain the higher removal rate and lower non-uniformity.

  • PDF

Global planarization Characteristic of $WO_3$ CMP ($WO_3$ CMP의 광역평탄화 특성)

  • Lee, Woo-Sun;Ko, Pi-Ju;Choi, Kwon-Woo;Lee, Young-Sik;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.11a
    • /
    • pp.188-191
    • /
    • 2003
  • Chemical mechanical polishing (CMP) process has been widely used to planarize dielectric layers, which can be applied to the integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of in the defect-free inter-level dielectrics (ILD). we investigated the performance of $WO_3$ CMP used silica slurry, ceria slurry, tungsten slurry. In this paper, the effects of addition oxidizer on the $WO_3$ CMP characteristics were investigated to obtain the higher removal rate and lower non-uniformity.

  • PDF

Mechanical Analysis on Uniformity in Copper Chemical Mechanical Planarization (Cu CMP에서의 연마 균일성에 관한 기계적 해석)

  • Lee, Hyun-Seop;Park, Boum-Young;Jeong, Hae-Do;Kim, Hyoung-Jae
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.20 no.1
    • /
    • pp.74-79
    • /
    • 2007
  • Most studies on copper Chemical Mechanical Planarization (CMP) have focused on material removal and its mechanisms. Although many studies have been conducted on the mechanism of Cu CMP, a study on uniformity in Cu CMP is still unknown. Since the aim of CMP is global and local planarization, the approach to various factors related to uniformity in Cu CMP is essential to elucidate the Cu CMP mechanism as well. The main purpose of the experiment reported here was to investigate and mechanically analyze the roles of slurry components in the formation of the uniformity in Cu CMP. In this paper, Cu CMP was performed using citric acid($C_{6}H_{8}O_{7}$), hydrogen peroxide($H_{2}O_{2}$), colloidal silica, and benzotriazole($BTA,\;C_{6}H_{4}N_{3}H$) as a complexing agent, an oxidizer, an abrasive, and a corrosion inhibitor, respectively. All the results of this study showed that within-wafer non-uniformity(WIWNU) of Cu CMP could be controlled by the contents of slurry components.

Aging Effects of Silica Slurry and Oxide CMP Characteristics (실리카 슬러리의 에이징 효과 및 산화막 CMP 특성)

  • 이우선;고필주;이영식;서용진;홍광준
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.2
    • /
    • pp.138-143
    • /
    • 2004
  • CMP (Chemical Mechanical Polishing) technology for global planarization of multilevel interconnection structure has been widely studied for the next generation devices. Among the consumables for CMP process, especially, slurry and their chemical compositions play a very important role in the removal rates and within-wafer non-uniformity (WIWNU) for global planarization ability of CMP process. However, CMP slurries contain abrasive particles exceeding 1 ${\mu}{\textrm}{m}$ size, which can cause micro-scratch on the wafer surface after CMP process. Such a large size particle in these slurries may be caused by particle agglomeration in slurry supply-line. In this work, to investigate the effects of agglomeration on the performance of oxide CMP slurry, we have studied an aging effect of silica slurry as a function of particle size distribution and aging time during one month. We Prepared and compared the self-developed silica slurry by adding of alumina powders. Also, we have investigated the oxide CMP characteristics. As an experimental result, we could be obtained the relatively stable slurry characteristics comparable to aging effect of original silica slurry. Consequently, we can expect the saving of high-cost slurry.

A Study on ILD(Interlayer Dielectric) Planarization of Wafer by DHF (DHF를 적용한 웨이퍼의 층간 절연막 평탄화에 관한 연구)

  • Kim, Do-Youne;Kim, Hyoung-Jae;Jeong, Hae-Do;Lee, Eun-Sang
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.19 no.5
    • /
    • pp.149-158
    • /
    • 2002
  • Recently, the minimum line width shows a tendency to decrease and the multi-level increases in semiconductor. Therefore, a planarization technique is needed and chemical mechanical polishing(CMP) is considered as one of the most suitable process. CMP accomplishes a high polishing performance and a global planarization of high quality. However there are several defects in CMF, such as micro-scratches, abrasive contaminations and non-uniformity of polished wafer edges. Wet etching process including spin-etching can eliminate the defects of CMP. It uses abrasive-free chemical solution instead of slurry. On this study, ILD(Interlayer-Dielectric) was removed by CMP and wet etching process using DHF(Diluted HF) in order to investigate the possibility of planrization by wet etching mechanism. In the thin film wafer, the results were evaluated from the viewpoint of material removal rate(MRR) and within wafer non-uniformity(WIWNU). And the pattern step heights were also compared for the purpose of planarity characterization of the patterned wafer. Moreover, Chemical polishing process which is the wet etching process with mechanical energy was introduced and evaluated for examining the characteristics of planarization.

Velocity and Friction Force Distribution in Rotary CMP Equipment (회전형 CMP장비의 속도 및 마찰력 분포 해석)

  • Kim, Hyeong Jae;Jeong, Hae Do;Lee, Eung Suk;Sin, Yeong Jae
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.20 no.5
    • /
    • pp.39-39
    • /
    • 2003
  • As the design rules in semiconductor manufacturing process become more and more stringent, the higher degree of planarization of device surface is required for a following lithography process. Also, it is great challenge for chemical mechanical polishing to achieve global planarization of 12” wafer or beyond. To meet such requirements, it is essential to understand the CMP equipment and process itself. In this paper, authors suggest the velocity distribution on the wafer, direction of friction force and the uniformity of velocity distribution of conventional rotary CMP equipment in an analytical method for an intuitive understanding of variation of kinematic variables. To this end, a novel dimensionless variable defined as “kinematic number” is derived. Also, it is shown that the kinematic number could consistently express the velocity distribution and other kinematic characteristics of rotary CMP equipment.

Velocity and Friction Force Distribution in Rotary CMP Equipment (회전형 CMP장비의 속도 및 마찰력 분포 해석)

  • 김형재;정해도;이응숙;신영재
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.20 no.5
    • /
    • pp.29-38
    • /
    • 2003
  • As the design rules in semiconductor manufacturing process become more and more stringent, the higher degree of planarization of device surface is required for a following lithography process. Also, it is great challenge for chemical mechanical polishing to achieve global planarization of 12” wafer or beyond. To meet such requirements, it is essential to understand the CMP equipment and process itself. In this paper, authors suggest the velocity distribution on the wafer, direction of friction force and the uniformity of velocity distribution of conventional rotary CMP equipment in an analytical method for an intuitive understanding of variation of kinematic variables. To this end, a novel dimensionless variable defined as “kinematic number” is derived. Also, it is shown that the kinematic number could consistently express the velocity distribution and other kinematic characteristics of rotary CMP equipment.

Planarization characteristics as a function of polishing time of STI-CMP process (STI CMP 공정의 연마시간에 따른 평탄화 특성)

  • 김철복;서용진;김상용;이우선;장의구
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.07a
    • /
    • pp.33-36
    • /
    • 2001
  • Chemical mechanical polishing(CMP) process has been widely used to planarize dielectric layers, which can be applied to the integrated circuits for deep sub-micron technology. The rise throughput and the stability in the device fabrication can be obtained by applying of CMP process to STI structure in 0.18$\mu\textrm{m}$ m semiconductor device. The reverse moat process has been added to employ in of each thin films in STI-CMP was not equal, hence the devices must to be effected, that is, the damage was occurred in the device area for the case of excessive CMP process and the nitride film was remained on the device area for the case of insufficient CMP process, and than, these defects affect the device characteristics. Also, we studied the High Selectivity Slurry(HSS) to perform global planarization without reverse moat step.

  • PDF

Oxide Planarization of Trench Structure using Chemical Mechanical Polishing(CMP) (기계화학적 연마를 이용한 트렌치 구조의 산화막 평탄화)

  • 김철복;김상용;서용진
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.15 no.10
    • /
    • pp.838-843
    • /
    • 2002
  • Chemical mechanical polishing(CMP) process has been widely used to planarize dielectric layers, which can be applied to the integrated circuits for deep sub-micron technology. The reverse moat etch process has been used for the shallow trench isolation(STI)-chemical mechanical polishing(CMP) process with conventional low selectivity slurries. Thus, the process became more complex, and the defects were seriously increased. In this paper, we studied the direct STI-CMP process without reverse moat etch step using high selectivity slurry(HSS). As our experimental results show, it was possible to achieve a global planarization without the complicated reverse moat process, the STI-CMP process could be dramatically simplified, and the defect level was reduced. Therefore the throughput, yield, and stability in the ULSI semiconductor device fabrication could be greatly improved.

Alternative Optimization Techniques for Shallow Trench Isolation and Replacement Gate Technology Chemical Mechanical Planarization

  • Stefanova, Y.;Cilek, F.;Endres, R.;Schwalke, U.
    • Transactions on Electrical and Electronic Materials
    • /
    • v.8 no.1
    • /
    • pp.1-4
    • /
    • 2007
  • This paper discusses two approaches for pre-polishing optimization of oxide chemical mechanical planarization (CMP) that can be used as alternatives to the commonly applied dummy structure insertion in shallow trench isolation (STI) and replacement gate (RG) technologies: reverse nitride masking (RNM) and oxide etchback (OEB). Wafers have been produced using each optimization technique and CMP tests have been performed. Dishing, erosion and global planarity have been investigated with the help of conductive atomic force microscopy (C-AFM). The results demonstrate the effectiveness of both techniques which yield excellent planarity without dummy structure related performance degradation due to capacitive coupling.