• Title/Summary/Keyword: TSV

Search Result 220, Processing Time 0.023 seconds

High Speed Cu Filling Into TSV by Pulsed Current for 3 Dimensional Chip Stacking (3차원 실장용 TSV의 펄스전류 파형을 이용한 고속 Cu도금 충전)

  • Kim, In Rak;Park, Jun Kyu;Chu, Yong Cheol;Jung, Jae Pil
    • Korean Journal of Metals and Materials
    • /
    • v.48 no.7
    • /
    • pp.667-673
    • /
    • 2010
  • Copper filling into TSV (through-silicon-via) and reduction of the filling time for the three dimensional chip stacking were investigated in this study. A Si wafer with straight vias - $30\;{\mu}m$ in diameter and $60\;{\mu}m$ in depth with $200\;{\mu}m$ pitch - where the vias were drilled by DRIE (Deep Reactive Ion Etching) process, was prepared as a substrate. $SiO_2$, Ti and Au layers were coated as functional layers on the via wall. In order to reduce the time required complete the Cu filling into the TSV, the PPR (periodic pulse reverse) wave current was applied to the cathode of a Si chip during electroplating, and the PR (pulse-reverse) wave current was also applied for a comparison. The experimental results showed 100% filling rate into the TSV in one hour was achieved by the PPR electroplating process. At the interface between the Cu filling and Ti/ Au functional layers, no defect, such as a void, was found. Meanwhile, the electroplating by the PR current showed maximum 43% filling ratio into the TSV in an hour. The applied PPR wave form was confirmed to be effective to fill the TSV in a short time.

Characterization of Backside Passivation Process for Through Silicon via Wafer (TSV 웨이퍼 공정용 Si3N4 후막 스트레스에 대한 공정특성 분석)

  • Kang, Dong Hyun;Gu, Jung Mo;Ko, Young-Don;Hong, Sang Jeen
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.27 no.3
    • /
    • pp.137-140
    • /
    • 2014
  • With the recent advent of through silicon via (TSV) technology, wafer level-TSV interconnection become feasible in high volume manufacturing. To increase the manufacturing productivity, it is required to develop equipment for backside passivation layer deposition for TSV wafer bonding process with high deposition rate and low film stress. In this research, we investigated the relationship between process parameters and the induced wafer stress of PECVD silicon nitride film on 300 mm wafers employing statistical and artificial intelligence modeling. We found that the film stress increases with increased RF power, but the pressure has inversely proportional to the stress. It is also observed that no significant stress change is observed when the gas flow rate is low.

TSV Formation using Pico-second Laser and CDE (피코초 레이저 및 CDE를 이용한 TSV가공기술)

  • Shin, Dong-Sig;Suh, Jeong;Cho, Yong-Kwon;Lee, Nae-Eung
    • Laser Solutions
    • /
    • v.14 no.4
    • /
    • pp.14-20
    • /
    • 2011
  • The advantage of using lasers for through silicon via (TSV) drilling is that they allow higher flexibility during manufacturing because vacuums, lithography, and masks are not required; furthermore, the lasers can be applied to metal and dielectric layers other than silicon. However, conventional nanosecond lasers have disadvantages including that they can cause heat affection around the target area. In contrast, the use of a picosecond laser enables the precise generation of TSVs with a smaller heat affected zone. In this study, a comparison of the thermal and crystallographic defect around laser-drilled holes when using a picosecond laser beam with varing a fluence and repetition rate was conducted. Notably, the higher fluence and repetition rate picosecond laser process increased the experimentally recast layer, surface debris, and dislocation around the hole better than the high fluence and repetition rate. These findings suggest that even the picosecond laser has a heat accumulation effect under high fluence and short pulse interval conditions. To eliminate these defects under the high speed process, the CDE (chemical downstream etching) process was employed and it can prove the possibility to applicate to the TSV industry.

  • PDF

3D IC에서의 인터페이스 기술

  • Kim, So-Yeong
    • The Magazine of the IEIE
    • /
    • v.36 no.9
    • /
    • pp.61-69
    • /
    • 2009
  • 본 기고에서는 반도체 3D IC에서 저전력, 고속 신호 전송을 가능하게 하는 다양한 인터페이스 기술에 대하여 알아보았다. Micro-bump나 TSV와 같이 유선으로 신호를 전송하는 방법과, capacitance나 inductance coupling을 이용하여 무선으로 전송하는 기술을 살펴보았다. 최근 TSV 공정 기술이 많이 발전하여, 앞으로 TSV 인터페이스에 기반한 3D IC가 많이 나올 것으로 기대된다. 무선 인터페이스를 사용할 경우, 특히 inductance coupling을 이용한 경우, 낮은 vdd로도 신호전송이 가능하고, pulse width를 줄일 수 있으며, ESD 보호회로가 필요없어, 저전력으로 신호를 전송할 수 있다.

  • PDF

Through-Si-Via(TSV) Filling of Cu with Single Additive (단일 첨가제를 이용한 관통 실리콘 비아의 구리 충진 공정 연구)

  • Jin, Sang-Hyeon;Seo, Seong-Ho;Park, Sang-U;Yu, Bong-Yeong
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2015.11a
    • /
    • pp.191-191
    • /
    • 2015
  • 반도체 소자 성능 향상을 위한 3차원 TSV배선 공정이 연구되었다. 전기도금을 이용한 TSV 공정 시 기존에는 황산 구리 수용액내에 억제제, 가속제, 평탄제등을 첨가한 복잡한 전해질이 사용되었지만 본 연구에서는 억제제만을 이용하여 Cu bottom-up filling에 성공하여 전해질의 조성을 단순화 시켰다.

  • PDF

TSV (Through Silicon Via)plasma etching technology for 3D IC

  • Jeong, Dae-Jin;Kim, Du-Yeong;Lee, Nae-Eung
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2007.11a
    • /
    • pp.173-174
    • /
    • 2007
  • Through Silicon Via ( TSV)는 향후3D integration devices (CMOS image sensors) 와 보다 더 직접화되고 진보된 memory stack에 기여 할 것이다. 이는 한층 더 진보된 microprocessors system 을 구축 하리라 본다. 해서 본문은 TSV plasma etching processing 소개와 특히 Bosch process에 대한 개선 방법을 제시하고자 한다.

  • PDF