• Title/Summary/Keyword: Gate Etch

Search Result 71, Processing Time 0.026 seconds

Dry Etching Characteristics of $YMnO_3$ Thin Films Using Inductively Coupled Plasma (유도결합 플라즈마를 이용한 $YMnO_3$ 박막의 건식 식각 특성 연구)

  • 민병준;김창일;창의구
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.14 no.2
    • /
    • pp.93-98
    • /
    • 2001
  • YMnO$_3$ films are excellent gate dielectric materials of ferroelectric random access memories (FRAMs) with MFSFET (metal -ferroelectric-semiconductor field effect transistor) structure because YMnO$_3$ films can be deposited directly on Si substrate and have a relatively low permittivity. Although the patterning of YMnO$_3$ thin films is the requisite for the fabrication of FRAMs, the etch mechanism of YMnO$_3$ thin films has not been reported. In this study, YMnO$_3$thin films were etched with Cl$_2$/Ar gas chemistries in inductively coupled plasma (ICP). The maximum etch rate of YMnO$_3$ film is 285$\AA$/min under Cl$_2$/(Cl$_2$+Ar) of 1.0, RF power of 600 W, dc-bias voltage of -200V, chamber pressure of 15 mTorr and substrate temperature of $25^{\circ}C$. The selectivities of YMnO$_3$ over CeO$_2$ and $Y_2$O$_3$ are 2.85, 1.72, respectively. The selectivities of YMnO$_3$ over PR and Pt are quite low. Chemical reaction in surface of the etched YMnO$_3$ thin films was investigated with X-ray photoelectron spectroscopy (XPS) surface of the selected YMnO$_3$ thin films was investigated with X-ray photoelectron spectroscopy(XPS) and secondary ion mass spectrometry (SIMS). The etch profile was also investigated by scaning electron microscopy(SEM)

  • PDF

Metal Plasma-Etching Damages of NMOSFETs with Pure and $N{_2}O$ Gate Oxides (게이트 산화막에 따른 nMOSFET의 금속 플라즈마 피해)

  • Jae-Seong Yoon;Chang-Wu Hur
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.3 no.2
    • /
    • pp.471-475
    • /
    • 1999
  • The metal plasma-etch damage immunity of nMOSFET with $N{_2}O$ gate oxide is found to be improved comparing to that with regular pure oxide of similar thickness. With increasing the antenna ratio (AR), the characteristics of nMOSFETs with $N{_2}O$ oxide shows tighter initial distribution and smaller degradation under constant field stress, which is explained by the effect of the nitrogen at the substrate $Si/SiO_2$ interface. Also, if $N{_2}O$ gate oxide is used, the maximum allowable size of metal AAR and PAR may be increased to the much larger values. These improvements of nMOSFETs with $N{_2}O$ gate oxide are attributed to the effect of the interface hardness improved by the nitrogen included at the substrate-Si/$N{_2}O$-oxide interface.

  • PDF

Development of a New Hybrid Silicon Thin-Film Transistor Fabrication Process

  • Cho, Sung-Haeng;Choi, Yong-Mo;Kim, Hyung-Jun;Jeong, Yu-Gwang;Jeong, Chang-Oh;Kim, Shi-Yul
    • Journal of Information Display
    • /
    • v.10 no.1
    • /
    • pp.33-36
    • /
    • 2009
  • A new hybrid silicon thin-film transistor (TFT) fabrication process using the DPSS laser crystallization technique was developed in this study to realize low-temperature poly-Si (LTPS) and a-Si:H TFTs on the same substrate as a backplane of the active-matrix liquid crystal flat-panel display (AMLCD). LTPS TFTs were integrated into the peripheral area of the activematrix LCD panel for the gate driver circuit, and a-Si:H TFTs were used as a switching device of the pixel electrode in the active area. The technology was developed based on the current a-Si:H TFT fabrication process in the bottom-gate, back-channel etch-type configuration. The ion-doping and activation processes, which are required in the conventional LTPS technology, were thus not introduced, and the field effect mobility values of $4\sim5cm^2/V{\cdot}s$ and $0.5cm^2/V{\cdot}s$ for the LTPS and a-Si:H TFTs, respectively, were obtained. The application of this technology was demonstrated on the 14.1" WXGA+(1440$\times$900) AMLCD panel, and a smaller area, lower power consumption, higher reliability, and lower photosensitivity were realized in the gate driver circuit that was fabricated in this process compared with the a-Si:H TFT gate driver integration circuit

Frequency-dependent C-V Characteristic-based Extraction of Interface Trap Density in Normally-off Gate-recessed AlGaN/GaN Heterojunction Field-effect Transistors

  • Choi, Sungju;Kang, Youngjin;Kim, Jonghwa;Kim, Jungmok;Choi, Sung-Jin;Kim, Dong Myong;Cha, Ho-Young;Kim, Hyungtak;Kim, Dae Hwan
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.15 no.5
    • /
    • pp.497-503
    • /
    • 2015
  • It is essential to acquire an accurate and simple technique for extracting the interface trap density ($D_{it}$) in order to characterize the normally-off gate-recessed AlGaN/GaN hetero field-effect transistors (HFETs) because they can undergo interface trap generation induced by the etch damage in each interfacial layer provoking the degradation of device performance as well as serious instability. Here, the frequency-dependent capacitance-voltage (C-V) method (FDCM) is proposed as a simple and fast technique for extracting $D_{it}$ and demonstrated in normally-off gate-recessed AlGaN/GaN HFETs. The FDCM is found to be not only simpler than the conductance method along with the same precision, but also much useful for a simple C-V model for AlGaN/GaN HFETs because it identifies frequency-independent and bias-dependent capacitance components.

InGaAs Nano-HEMT Devices for Millimeter-wave MMICs

  • Kim, Sung-Won;Kim, Dae-Hyun;Yeon, Seong-Jin;Seo, Kwang-Seok
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.6 no.3
    • /
    • pp.162-168
    • /
    • 2006
  • To fabricate nanometer scale InGaAs HEMTs, we have successfully developed various novel nano-patterning techniques, including sidewall-gate process and e-beam resist flowing method. The sidewall-gate process was developed to lessen the final line length, by means of the sequential procedure of dielectric re-deposition and etch-back. The e-beam resist flowing was effective to obtain fine line length, simply by applying thermal excitation to the semiconductor so that the achievable final line could be reduced by the dimension of the laterally migrated e-beam resist profile. Applying these methods to the device fabrication, we were able to succeed in making 30nm $In_{0.7}Ga_{0.3}As$ HEMTs with excellent $f_T$ of 426GHz. Based on nanometer scale InGaAs HEMT technology, several high performance millimeter-wave integrated circuits have been successfully fabricated, including 77GHz MMIC chipsets for automotive radar application.

A Study on the Silicon Nitride for the poly-Si Thin film Transistor (다결정 박막 트랜지스터 적용을 위한 SiNx 박막 연구)

  • 김도영;김치형;고재경;이준신
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.16 no.12S
    • /
    • pp.1175-1180
    • /
    • 2003
  • Transformer Coupled Plasma Chemical Vapor Deposited (TCP-CVD) silicon nitride (SiNx) is widely used as a gate dielectric material for thin film transistors (TFT). This paper reports the SiNx films, grown by TCP-CVD at the low temperature (30$0^{\circ}C$). Experimental investigations were carried out for the optimization o(SiNx film as a function of $N_2$/SiH$_4$ flow ratio varying ,3 to 50 keeping rf power of 200 W, This paper presents the dielectric studies of SiNx gate in terms of deposition rate, hydrogen content, etch rate and leakage current density characteristics lot the thin film transistor applications. And also, this work investigated means to decrease the leakage current of SiNx film by employing $N_2$ plasma treatment. The insulator layers were prepared by two step process; the $N_2$ plasma treatment and then PECVD SiNx deposition with SiH$_4$, $N_2$gases.

Constant Voltage Stress (CVS) and Hot Carrier Injection (HCI) Degradations of Vertical Double-date InGaAs TFETs for Bio Sensor Applications (바이오 센서 적용을 위한 수직형 이중게이트 InGaAs TFET의 게이트 열화 현상 분석)

  • Baek, Ji-Min;Kim, Dae-Hyun
    • Journal of Sensor Science and Technology
    • /
    • v.31 no.1
    • /
    • pp.41-44
    • /
    • 2022
  • In this study, we have fabricated and characterized vertical double-gate (DG) InGaAs tunnel field-effect-transistors (TFETs) with Al2O3/HfO2 = 1/5 nm bi-layer gate dielectric by employing a top-down approach. The device exhibited excellent characteristics including a minimum subthreshold swing of 60 mV/decade, a maximum transconductance of 141 µS/㎛, and an on/off current ratio of over 103 at 20℃. Although the TFETs were fabricated using a dry etch-based top-down approach, the values of DIBL and hysteresis were as low as 40 mV/V and below 10 mV, respectively. By evaluating the effects of constant voltage and hot carrier injection stress on the vertical DG InGaAs TFET, we have identified the dominant charge trapping mechanism in TFETs.

Fabrication of Organic Thin-Film Transistors with Polymer Gate Insulators on Plastic Substrate

  • Ahn, Seong-Deok;Kang, Seung-Youl;Oh, Ji-Young;You, In-Kyu;Kim, Gi-Heon;Baek, Kyu-Ha;Kim, Chul-Am;Suh, Kyung-Soo
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2006.08a
    • /
    • pp.1170-1173
    • /
    • 2006
  • Active layer patterned OTFT was obtained on a plastic substrate using the optimal growth condition of pentancene thin films as active layer and parylene thin films as passivation layer. Tranditional photolithography was performed to use a dry etch to pattern the material stack. The pentacene thin film and parylene thin film were deposited onto a plastic substrate using PC-OVD and CVD, respectively.

  • PDF

Dependence of deep submicron CMOSFET characteristics on shallow source/drain junction depth (얕은 소오스/드레인 접합깊이가 deep submicron CMOSFET 소자 특성에 미치는 영향)

  • 노광명;고요환;박찬광;황성민;정하풍;정명준
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.33A no.4
    • /
    • pp.112-120
    • /
    • 1996
  • With the MOsES (mask oxide sidewall etch scheme)process which uses the conventional i-line stepper and isotropic wet etching, CMOSFET's with fine gate pattern of 0.1.mu.m CMOSFET device, the screening oxide is deposited before the low energy ion implantation for source/drain extensions and two step sidewall scheme is adopted. Through the characterization of 0.1.mu.m CMOSFET device, it is found that the screening oxide deposition sheme has larger capability of suppressing the short channel effects than two step sidewall schem. In cse of 200.angs.-thick screening oxide deposition, both NMOSFET and PMOSFET maintain good subthreshold characteristics down to 0.1.mu.m effective channel lengths, and show affordable drain saturation current reduction and low impact ionization rates.

  • PDF

A Study on the Hump Characteristics of the MOSFETs (MOSFET의 험프 특성에 관한 연구)

  • Kim, Hyeon-Ho;Lee, Yong-Hui;Yi, Jae-Young;Yi, Cheon-Hee
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2002.04a
    • /
    • pp.631-634
    • /
    • 2002
  • In this paper we improved that hump occurrence by increased oxidation thickness, and control field oxide recess$(\leq20nm)$, wet oxidation etch time(19HF, 30sec), STI nitride wet cleaning time(99 HF, 60sec + P 90min) and gate pre-oxidation cleaning time(U10min+19HF, 60sec) to prevent hump occurring at STI channel edge.

  • PDF