• Title/Summary/Keyword: Deep reactive ion etching

Search Result 68, Processing Time 0.027 seconds

Fabrication of Hollow-type Silicon Microneedle Array Using Microfabrication Technology (반도체 미세공정 기술을 이용한 Hollow형 실리콘 미세바늘 어레이의 제작)

  • Kim, Seung-Kook;Chang, Jong-Hyeon;Kim, Byoung-Min;Yang, Sang-Sik;Hwang, In-Sik;Pak, Jung-Ho
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.56 no.12
    • /
    • pp.2221-2225
    • /
    • 2007
  • Hollow-type microneedle array can be used for painless, continuous and stable drug delivery through a human skin. The needles must be sharp and have sufficient length in order to penetrate the epidermis. An array of hollow-type silicon microneedles was fabricated by using deep reactive ion etching and HNA wet etching with two oxide masks. Isotropic etching was used to create tapered tips of the needles, and anisotropic etching of Bosch process was used to make the extended length and holes of microneedles. The microneedles were formed by three steps of isotropic, anisotropic, and isotropic etching in order. The holes were made by one anisotropic etching step. The fabricated microneedles have $170{\mu}m$ width, $40{\mu}m$ hole diameter and $230{\mu}m$ length.

Optimization of Etching Profile in Deep-Reactive-Ion Etching for MEMS Processes of Sensors

  • Yang, Chung Mo;Kim, Hee Yeoun;Park, Jae Hong
    • Journal of Sensor Science and Technology
    • /
    • v.24 no.1
    • /
    • pp.10-14
    • /
    • 2015
  • This paper reports the results of a study on the optimization of the etching profile, which is an important factor in deep-reactive-ion etching (DRIE), i.e., dry etching. Dry etching is the key processing step necessary for the development of the Internet of Things (IoT) and various microelectromechanical sensors (MEMS). Large-area etching (open area > 20%) under a high-frequency (HF) condition with nonoptimized processing parameters results in damage to the etched sidewall. Therefore, in this study, optimization was performed under a low-frequency (LF) condition. The HF method, which is typically used for through-silicon via (TSV) technology, applies a high etch rate and cannot be easily adapted to processes sensitive to sidewall damage. The optimal etching profile was determined by controlling various parameters for the DRIE of a large Si wafer area (open area > 20%). The optimal processing condition was derived after establishing the correlations of etch rate, uniformity, and sidewall damage on a 6-in Si wafer to the parameters of coil power, run pressure, platen power for passivation etching, and $SF_6$ gas flow rate. The processing-parameter-dependent results of the experiments performed for optimization of the etching profile in terms of etch rate, uniformity, and sidewall damage in the case of large Si area etching can be summarized as follows. When LF is applied, the platen power, coil power, and $SF_6$ should be low, whereas the run pressure has little effect on the etching performance. Under the optimal LF condition of 380 Hz, the platen power, coil power, and $SF_6$ were set at 115W, 3500W, and 700 sccm, respectively. In addition, the aforementioned standard recipe was applied as follows: run pressure of 4 Pa, $C_4F_8$ content of 400 sccm, and a gas exchange interval of $SF_6/C_4F_8=2s/3s$.

Deep RIE(reactive ion etching)를 이용한 가스 유량센서 제작

  • Lee, Yeong-Tae;An, Gang-Ho;Gwon, Yong-Taek;Takao, Hidekuni;Ishida, Makoto
    • Proceedings of the Korean Society Of Semiconductor Equipment Technology
    • /
    • 2006.10a
    • /
    • pp.198-201
    • /
    • 2006
  • In this paper, we fabricated drag force type and pressure difference type gas flow sensor with dry etching technology which used Deep RIE(reactive ion etching) and etching stop technology which used SOI(silicon-on-insulator). we fabricated four kinds of sensor, which are cantilever, paddle type, diaphragm, and diaphragm with orifice type. Both cantilever and paddle type flow sensors have similar sensitivity as 0.03mV/V kPa. Sensitivity of the fabricated diaphragm and diaphragm with orifice type sensor were relatively high as about 3.5mV/V kPa, 1.5mV/V kPa respectively.

  • PDF

Development of MEMS-based Micro Turbomachinery (MEMS-based 마이크로 터보기계의 개발)

  • Park, Kun-Joong;Min, Hong-Seok;Jeon, Byung-Sun;Song, Seung-Jin;Joo, Young-Chang;Min, Kyoung-Doug;You, Seung-Mun
    • Proceedings of the KSME Conference
    • /
    • 2001.06e
    • /
    • pp.169-174
    • /
    • 2001
  • This paper reports on the development of high aspect ratio structure and 3-D integrated process for MEMS-based micro gas turbines. To manufacture high aspect ratio structures, Deep Reactive Ion Etching (DRIE) process have been developed and optimized. Specially, in this study, structures with aspect ratios greater than 10 were fabricated. Also, wafer direct bonding and Infra-Red (IR) camera bonding inspection systems have been developed. Moreover, using glass/silicon wafer direct bonding, we optimized the 3-D integrated process.

  • PDF

Fabrication of 3-dimensional microstructures for bulk micromachining (블크 마이크로 머신용 미세구조물의 제작)

  • 최성규;남효덕;정연식;류지구;정귀상
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.07a
    • /
    • pp.741-744
    • /
    • 2001
  • This paper described on the fabrication of microstructures by DRIE(Deep Reactive Ion Etching). SOI(Si-on-insulator) electric devices with buried cavities are fabricated by SDB technology and electrochemical etch-stop. The cavity was fabricated the upper handling wafer by Si anisotropic etch technique. SDB process was performed to seal the fabricated cavity under vacuum condition at -760 mm Hg. In the SDB process, captured air and moisture inside of the cavities were removed by making channels towards outside. After annealing(1000$^{\circ}C$, 60 min.), the SDB SOI structure was thinned by electrochemical etch-stop. Finally, it was fabricated microstructures by DRIE as well as a accurate thickness control and a good flatness.

  • PDF

The Fabrication of SOB SOI Structures with Buried Cavity for Bulk Micro Machining Applications

  • Kim, Jae-Min;Lee, Jong-Chun;Chung, Gwiy-Sang
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.07b
    • /
    • pp.739-742
    • /
    • 2002
  • This paper described on the fabrication of microstructures by DRIE(deep reactive ion etching). SOI(Si-on-insulator) electric devices with buried cavities are fabricated by SDB technology and electrochemical etch-stop. The cavity was fabricated the upper handling wafer by Si anisotropic etch technique. SDB process was performed to seal the fabricated cavity under vacuum condition at -760 mmHg. In the SDB process, captured air and moisture inside of the cavities were removed by making channels towards outside. After annealing($1000^{\circ}C$, 60 min.), The SDB SOI structure was thinned by electrochemical etch-stop. Finally, it was fabricated microstructures by DRIE as well as an accurate thickness control and a good flatness.

  • PDF

Effect of Process Parameters on TSV Formation Using Deep Reactive Ion Etching (DRIE 공정 변수에 따른 TSV 형성에 미치는 영향)

  • Kim, Kwang-Seok;Lee, Young-Chul;Ahn, Jee-Hyuk;Song, Jun Yeob;Yoo, Choong D.;Jung, Seung-Boo
    • Korean Journal of Metals and Materials
    • /
    • v.48 no.11
    • /
    • pp.1028-1034
    • /
    • 2010
  • In the development of 3D package, through silicon via (TSV) formation technology by using deep reactive ion etching (DRIE) is one of the key processes. We performed the Bosch process, which consists of sequentially alternating the etch and passivation steps using $SF_6$ with $O_2$ and $C_4F_8$ plasma, respectively. We investigated the effect of changing variables on vias: the gas flow time, the ratio of $O_2$ gas, source and bias power, and process time. Each parameter plays a critical role in obtaining a specified via profile. Analysis of via profiles shows that the gas flow time is the most critical process parameter. A high source power accelerated more etchant species fluorine ions toward the silicon wafer and improved their directionality. With $O_2$ gas addition, there is an optimized condition to form the desired vertical interconnection. Overall, the etching rate decreased when the process time was longer.

Fabrication of Microwire Arrays for Enhanced Light Trapping Efficiency Using Deep Reactive Ion Etching

  • Hwang, In-Chan;Seo, Gwan-Yong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.454-454
    • /
    • 2014
  • Silicon microwire array is one of the promising platforms as a means for developing highly efficient solar cells thanks to the enhanced light trapping efficiency. Among the various fabrication methods of microstructures, deep reactive ion etching (DRIE) process has been extensively used in fabrication of high aspect ratio microwire arrays. In this presentation, we show precisely controlled Si microwire arrays by tuning the DRIE process conditions. A periodic microdisk arrays were patterned on 4-inch Si wafer (p-type, $1{\sim}10{\Omega}cm$) using photolithography. After developing the pattern, 150-nm-thick Al was deposited and lifted-off to leave Al microdisk arrays on the starting Si wafer. Periodic Al microdisk arrays (diameter of $2{\mu}m$ and periodic distance of $2{\mu}m$) were used as an etch mask. A DRIE process (Tegal 200) is used for anisotropic deep silicon etching at room temperature. During the process, $SF_6$ and $C_4F_8$ gases were used for the etching and surface passivation, respectively. The length and shape of microwire arrays were controlled by etching time and $SF_6/C_4F_8$ ratio. By adjusting $SF_6/C_4F_8$ gas ratio, the shape of Si microwire can be controlled, resulting in the formation of tapered or vertical microwires. After DRIE process, the residual polymer and etching damage on the surface of the microwires were removed using piranha solution ($H_2SO_4:H_2O_2=4:1$) followed by thermal oxidation ($900^{\circ}C$, 40 min). The oxide layer formed through the thermal oxidation was etched by diluted hydrofluoric acid (1 wt% HF). The surface morphology of a Si microwire arrays was characterized by field-emission scanning electron microscopy (FE-SEM, Hitachi S-4800). Optical reflection measurements were performed over 300~1100 nm wavelengths using a UV-Vis/NIR spectrophotometer (Cary 5000, Agilent) in which a 60 mm integrating sphere (Labsphere) is equipped to account for total light (diffuse and specular) reflected from the samples. The total reflection by the microwire arrays sample was reduced from 20 % to 10 % of the incident light over the visible region when the length of the microwire was increased from $10{\mu}m$ to $30{\mu}m$.

  • PDF

The Effect of Micro Nano Multi-Scale Structures on the Surface Wettability (초소수성 표면 개질에 미치는 마이크로 나노 복합구조의 영향)

  • Lee, Sang-Min;Jung, Im-Deok;Ko, Jong-Soo
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.32 no.5
    • /
    • pp.424-429
    • /
    • 2008
  • Surface wettability in terms of the size of the micro nano structures has been examined. To evaluate the influence of the nano structures on the contact angles, we fabricated two different kinds of structures: squarepillar-type microstructure with nano-protrusions and without nano-protrusions. Microstructure and nanostructure arrays were fabricated by deep reactive ion etching (DRIE) and reactive ion etching (RIE) processes, respectively. And plasma polymerized fluorocarbon (PPFC) was finally deposited onto the fabricated structures. Average value of the measured contact angles from microstructures with nanoprotrusions was $6.37^{\circ}$ higher than that from microstructures without nano-protrusions. This result indicates that the nano-protrusions give a crucial effect to increase the contact angle.

Design and fabrication of condenser microphone with rigid backplate and vertical acoustic holes using DRIE and wafer bonding technology (기판접합기술을 이용한 두꺼운 백플레이트와 수직음향구멍을 갖는 정전용량형 마이크로폰의 설계와 제작)

  • Kwon, Hyu-Sang;Lee, Kwang-Cheol
    • Journal of Sensor Science and Technology
    • /
    • v.16 no.1
    • /
    • pp.62-67
    • /
    • 2007
  • This paper presents a novel MEMS condenser microphone with rigid backplate to enhance acoustic characteristics. The MEMS condenser microphone consists of membrane and backplate chips which are bonded together by gold-tin (Au/Sn) eutectic solder bonding. The membrane chip has 2.5 mm${\times}$2.5 mm, $0.5{\mu}m$ thick low stress silicon nitride membrane, 2 mm${\times}$2 mm Au/Ni/Cr membrane electrode, and $3{\mu}m$ thick Au/Sn layer. The backplate chip has 2 mm${\times}$2 mm, $150{\mu}m$ thick single crystal silicon rigid backplate, 1.8 mm${\times}$1.8 mm backplate electrode, and air gap, which is fabricated by bulk micromachining and silicon deep reactive ion etching. Slots and $50-60{\mu}m$ radius circular acoustic holes to reduce air damping are also formed in the backplate chip. The fabricated microphone sensitivity is $39.8{\mu}V/Pa$ (-88 dB re. 1 V/Pa) at 1 kHz and 28 V polarization voltage. The microphone shows flat frequency response within 1 dB between 20 Hz and 5 kHz.