Browse > Article

Fabrication of Hollow-type Silicon Microneedle Array Using Microfabrication Technology  

Kim, Seung-Kook (고려대 공대 전기공학과)
Chang, Jong-Hyeon (고려대 공대 전기공학과)
Kim, Byoung-Min (고려대 공대 전기공학과)
Yang, Sang-Sik (아주대 전자공학과)
Hwang, In-Sik (케이엠에이치(주) 중앙연구소)
Pak, Jung-Ho (고려대 공대 전기공학과)
Publication Information
The Transactions of The Korean Institute of Electrical Engineers / v.56, no.12, 2007 , pp. 2221-2225 More about this Journal
Abstract
Hollow-type microneedle array can be used for painless, continuous and stable drug delivery through a human skin. The needles must be sharp and have sufficient length in order to penetrate the epidermis. An array of hollow-type silicon microneedles was fabricated by using deep reactive ion etching and HNA wet etching with two oxide masks. Isotropic etching was used to create tapered tips of the needles, and anisotropic etching of Bosch process was used to make the extended length and holes of microneedles. The microneedles were formed by three steps of isotropic, anisotropic, and isotropic etching in order. The holes were made by one anisotropic etching step. The fabricated microneedles have $170{\mu}m$ width, $40{\mu}m$ hole diameter and $230{\mu}m$ length.
Keywords
Hollow-type silicon micronedle array; Deep reactive ion etching; HNA wet etching; Isotropic etching; Anisotropic etching;
Citations & Related Records

Times Cited By SCOPUS : 0
연도 인용수 순위
  • Reference
1 B. Stoeber, D. Liepmann, 'Arrays of hollow out-of-plane microneedles for drug delivery,' Journal of Microelectromechanical Systems, Vol. 14, No.3, pp. 472-479, 2005   DOI   ScienceOn
2 K. Richter, M. Orfert, H. Schuhrer, 'Variation of etch profile and surface properties during patterning of silicon substrates,' Surface and Coatings Technology Vol. 142-144, pp. 797-802, 2001
3 K. R. Williams, 'Etch rates for micromachining processing-Part II,' Journal of Microelectromechanical Systems, Vol. 12, No. 6, pp. 761-778, 2003   DOI   ScienceOn
4 S. A. McAuley, H. Ashraf, L. Atabo, A. Chambers, S. Hall, J. Hopkins, G. Nicholls, 'Silicon micromachining using a high-density plasma source,' Journal of Physics D: Applied Physics, Vol. 34, pp. 2769-2774, 2001   DOI   ScienceOn
5 M. J. Madou, Fundamentals of Microfabrication : The Science of Miniaturization, 2nd Ed., CRC Press, USA, p. 208, 2002
6 C. Chang, Y.-F. Wang, Y. Kanamori, J.-J. Shih, Y. Kawai, C.-K. Lee, K.-C. Wu, M. Esashi, 'Etching submicrometer trenches by using the Bosch process and its application to the fabrication of antireflection structures,' Journal of Micromechanics and Microengineerning, Vol. 15, pp. 580-585, 2005   DOI   ScienceOn
7 E. V. Mukerjee, S. D. Collins, R. R. Isseroff, R. L. Smith, 'Microneedle array for transdermal biological fluid extraction and in situ analysis,' Sensors and Actuators A, Vol. 114, pp, 267-275, 2004   DOI   ScienceOn
8 K. Ishihara, C.-F. Yung, A. A. Ayon, 'An inertial sensor technology using DRIE and wafer bonding with interconnecting capability,' Journal of Microelectromechanical Systems, Vol. 8, No. 4, pp. 403-408, 2003   DOI   ScienceOn
9 R, R. Seeley, T. D. Stephens, and P. Tate, Anatomy & Physiology, 6th Ed., McGraw-Hill, USA, p, 144, 2003
10 박근우, '펄스 이온토포레시스를 이용한 마취제 펜타닐의 약물전달시스템 구현에 관한 연구', 석사학위논문, 고려대학교, 2006
11 J. Zhang, Q.-A. Huang, W.-H. Li, 'Simulations for surface evolvement and footing effect in ICP DRIE fabrications,' Journal of Physics: Conference Series 34, pp. 522-526, 2006   DOI   ScienceOn
12 H. J. G. E, Gardeniers, R. Luttge, E. J. W. Berenschot, M. J. de Boer, S. Y. Yeshurun, M. V. Hefetz, R. Oever, A. V. D. Berg, 'Silicon micromachined hollow microneedles for transdermal liquid transport,' Journal of Microelectromechanical Systems, Vol. 12, No. 16, pp. 855-862, 2005   DOI   ScienceOn