• 제목/요약/키워드: 건식 식각

검색결과 292건 처리시간 0.029초

강유전체 $YMno_{3}$ 박막의 건식식각 특성연구 (Study of dry etching chrateristics of freeoelectric $YMnO_{3}$ thin films)

  • 김인표;박재화;김경태;김창일;장의구;엄준철
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2002년도 추계학술대회 논문집 Vol.15
    • /
    • pp.159-162
    • /
    • 2002
  • Ferroelectric $YMnO_{3}$ thin films were etched with $Ar/Cl_{2}$ and $CF_{4}/Cl_{2}$ inductivly coupled plasma (ICP). The maximum etch rate of $YMnO_{3}$ thin film was $300{\AA}/min$ at a $Ar/Cl_{2}$ gas mixing ratio of 2/8, a RF power of 800 W, a dc bias of 200 V, a chamber pressure of 15 mTorr, and a substrate temperature of ${30^{\circ}C}$. From the X-ray photoelectron spectroscopy (XPS) analysis , yttrium not only etched by chemical reactions with Cl radicals, but also assisted by Ar ion bombardments in $Ar/Cl_{2}$ plasma. In $CF_{4}/Cl_{2}$ plasma, yttrium are remained on the etched surface of $YMnO_{3}$ and formed of nonvolatile YFx compounds Manganese etched effectively by chemical reactions with Cl and F radicals. From the X-ray diffraction (XRD) analysis, the (0004) diffraction peak intensity of the $YMnO_{3}$ thin film etched in $Ar/Cl_{2}$ plasma shows lower value than that in $CF_{4}/Cl_{2}$ plasma. It is indicates that the crystallinty of $YMnO_{3}$ thin film is more easily damaged by the Ar ion bombardment than the changes of stoichiometry due to nonvolatile etch by-products.

  • PDF

전류인가 방법이 3D-SiP용 Through Via Hole의 Filling에 미치는 영향 (The Effects of Current Types on Through Via Hole Filling for 3D-SiP Application)

  • 장근호;이재호
    • 마이크로전자및패키징학회지
    • /
    • 제13권4호
    • /
    • pp.45-50
    • /
    • 2006
  • 3D package의 SiP에서 구리의 via filling은 매우 중요한 사항으로 package밀도가 높아짐에 따라 via의 크기가 줄어들며 전기도금법을 이용한 via filling이 연구되어왔다. Via filling시 via 내부에 결함이 발생하기 쉬운데 전해액 내에 억제제, 가속제등 첨가제를 첨가하고 펄스-역펄스(PRC)의 전류파형을 인가하여 결함이 없는 via의 filling이 가능하다. 본 연구에서는 건식 식각 방법 중 하나인 DRIE법을 이용하여 깊이 $100{\sim}190\;{\mu}m$, 직경이 각각 $50{\mu}m,\;20{\mu}m$인 2가지 형태의 via을 형성하였다. DRIE로 via가 형성된 Si wafer위에 IMP System으로 Cu의 Si으로 확산을 막기 위한 Ta층과 전해도금의 씨앗층인 Cu층을 형성하였다. Via시편은 직류, 펄스-역펄스의 전류 파형과 억제제, 가속제, 억제제의 첨가제를 모두 사용하여 filling을 시도하였고, 공정 후 via의 단면을 경면 가공하여 SEM으로 관찰하였다.

  • PDF

패턴 된 기판 위에 형성된 메조포러스 $TiO_2$막 형성 기구 및 미세구조 연구

  • 안흥배;남우현;이정용;김영헌
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.469-469
    • /
    • 2011
  • 고효율 염료감응형 태양전지(DSSC, Dye-Sensitized Solar Cell)의 구현을 위해서 유용한 방법중 하나는 정렬된 기공 (pore)을 $TiO_2$막 내에 형성시키는 것이다. 메조포러스 (mesoporous) $TiO_2$막은 dip coating이나 spin coating과 같은 방법으로 주로 증착되고 있으며, P123이나 F127과 같은 amphiphilic triblock copolymer를 메조포러스 구조를 만들기 위한 뼈대로 사용하고 있다. 또한, 이렇게 생성된 구조에서 amphiphilic triblock copolymer는 열처리 공정을 통하여 쉽게 제거될 수 있다. 고효율 태양전지를 구현하는 또 다른 방법으로는 패턴 된 기판을 사용하는 것이다. 패턴 된 기판은 빛의 반사를 억제하여 흡수율을 높이는 역할을 한다. 그러나 패턴 된 기판 위에서 메조포러스 $TiO_2$막의 형성에 관한 연구는 부족한 실정이다. 본 연구에서는 spin coating 방법으로 패턴 된 Si (111) 기판 위에 메조포러스 $TiO_2$를 성장하고 그 미세구조를 분석하였다. 패턴 된 기판은 nanosphere lithography(NSL) 법으로 mask를 증착한 후 건식 식각 (dry etching) 공정을 통해서 제작되었으며, 마스크와 불순물 등 은 초음파 세척 등으로 제거되었다. 메조포러스 $TiO_2$막은 1-propanol, P123, titanium isopropoxide와 HCl을 섞어 만든 용액으로 1 cm${\times}$1 cm 기판 위에 3000 rpm과 4000 rpm으로 각각 증착하였으며, 5일 동안 4도에서 에이징한 후 350도에서 3시간 열처리하였다. 이렇게 형성한 메조포러스 막의 형상과 미세구조적 특성이 주사전자현미경(SEM, scanning electron microscope), X-선 회절(XRD, X-ray diffraction) 등을 이용하여 연구되었다. 특히, 증착 조건에 따른 메조포러스 $TiO_2$박막의 형성 기구에 관한 고찰이 진행되었다. 나아가, $TiO_2$박막과 패턴 사이에 형성되는 계면 구조에 관한 연구를 투과전자현미경을 이용하여 진행하였다.

  • PDF

BCl3 평판형 유도결합 플라즈마를 이용한 GaAs 건식식각 (Dry Etching of GaAs in a Planar Inductively Coupled BCl3 Plasma)

  • 임완태;백인규;정필구;이제원;조관식;이주인;조국산
    • 한국재료학회지
    • /
    • 제13권4호
    • /
    • pp.266-270
    • /
    • 2003
  • We studied BCl$_3$ dry etching of GaAs in a planar inductively coupled plasma system. The investigated process parameters were planar ICP source power, chamber pressure, RIE chuck power and gas flow rate. The ICP source power was varied from 0 to 500 W. Chamber pressure, RIE chuck power and gas flow rate were controlled from 5 to 15 mTorr, 0 to 150 W and 10 to 40 sccm, respectively. We found that a process condition at 20 sccm $BCl_3$ 300 W ICP, 100 W RIE and 7.5 mTorr chamber pressure gave an excellent etch result. The etched GaAs feature depicted extremely smooth surface (RMS roughness < 1 nm), vertical sidewall, relatively fast etch rate (> $3000\AA$/min) and good selectivity to a photoresist (> 3 : 1). XPS study indicated a very clean surface of the material after dry etching of GaAs. We also noticed that our planar ICP source was successfully ignited both with and without RIE chuck power, which was generally not the case with a typical cylindrical ICP source, where assistance of RIE chuck power was required for turning on a plasma and maintaining it. It demonstrated that the planar ICP source could be a very versatile tool for advanced dry etching of damage-sensitive compound semiconductors.

Gate-Induced Drain Leakage를 줄인 새로운 구조의 고성능 Elevated Source Drain MOSFET에 관한 분석 (Analysis of a Novel Elevated Source Drain MOSFET with Reduced Gate-Induced Drain Leakage and High Driving Capability)

  • 김경환;최창순;김정태;최우영
    • 대한전자공학회논문지SD
    • /
    • 제38권6호
    • /
    • pp.390-397
    • /
    • 2001
  • GIDL(Gate-Induced Drain-Leakage)을 줄일 수 있는 새로운 구조의 ESD(Elevated Source Drain) MOSFET을 제안하고 분석하였다. 제안된 구조는 SDE(Source Drain Extension) 영역이 들려진 형태를 갖고 있어서 SDE 임플란트시 매우 낮은 에너지 이온주입으로 인한 저활성화(low-activation) 효과를 방지 할 수 있다. 제안된 구조는 건식 식각 및 LAT(Large-Angle-Tilted) 이온주입 방법을 사용하여 소오스/드레인 구조를 결정한다. 기존의 LDD MOSFET과의 비교 시뮬레이션 결과, 제안된 ESD MOSFET은 전류 구동능력은 가장 크면서 GIDL 및 DIBL(Drain Induced Barrier Lowering) 값은 효과적으로 감소시킬 수 있음을 확인하였다. GIDL 전류가 감소되는 원인으로는 최대 전계의 위치가 드레인 쪽으로 이동함에 따라 최대 밴드간 터널링이 일어나는 곳에서의 최대 전계값이 감소되기 때문이다.

  • PDF

고밀도 플라즈마를 이용한 SnO2 박막의 건식 식각 특성 (A Study on Etching Characteristics of SnO2 Thin Films Using High Density Plasma)

  • 김환준;주영희;김승한;우종창;김창일
    • 한국전기전자재료학회논문지
    • /
    • 제26권11호
    • /
    • pp.826-830
    • /
    • 2013
  • In this paper, we carried out the investigations of both etch characteristics and mechanisms for the $SnO_2$ thin films in $O_2/BCl_3/Ar$ plasma. The dry etching characteristics of the $SnO_2$ thin films was studied by varying the $O_2/BCl_3/Ar$ gas mixing ratio. We determined the optimized process conditions that were as follows: a RF power of 700 W, a DC-bias voltage of - 150 V, and a process pressure of 2 Pa. The maximum etch rate was 509.9 nm/min in $O_2/BCl_3/Ar$=(3:4:16 sccm) plasma. From XPS analysis, the etch mechanism of the $SnO_2$ thin films in the $O_2/BCl_3/Ar$ plasma can be identified as the ion-assisted chemical reaction while the role of ion bombardment includes the destruction of the metal-oxide bonds as well as the cleaning of the etched surface form the reaction products.

마이크로-나노 구조가 있는 표면에서의 액적 계면 거동 현상에 대한 연구 (Interfacial Behavior of Water Droplet on Micro-Nano Structured Surfaces)

  • 곽호재;유동인;김무환;박현선;키요후미 모리야마;안호선;김동억
    • 대한기계학회논문집B
    • /
    • 제39권5호
    • /
    • pp.449-453
    • /
    • 2015
  • 최근 표면개질을 통한 젖음성 향상을 위하여, 마이크로와 나노 구조가 계층적(hierarchical)으로 존재하는 표면에 대한 연구가 공학 및 다양한 연구 분야에서 활발하게 진행되고 있다. 계층적구조가 존재하는 표면에서 초친수성(super-hydrophillic)은 대개 물방울(water droplet)의 계면 거동에 의해 그 특성이 확인된다. 따라서, 본 연구에서는 초친수성 표면위에서의 물방울 계면 거동에 대한 실험적 연구를 수행하였다. 포토리소그래피(photo lithography)공정과 건식 식각공정을 이용하여, 정량적으로 표면을 제작하였으며, 실험 표면에서의 계면 거동은 초고속카메라로 가시화하였다. 가시화 자료를 바탕으로, 물방울 계면거동은 표면에 존재하는 마이크로 및 나노구조의 지형학적 특성에 의해 영향을 받음을 확인하였다.

다이아몬드 기판상에 증착된 ZnO 압전박막의 탄성표면파 특성 (Surface Acoustic Wave Properties of ZnO Thin Films Deposited on Diamond Substrate)

  • 김영진;정영호
    • 한국결정학회지
    • /
    • 제7권2호
    • /
    • pp.175-182
    • /
    • 1996
  • ZnO 박막의 증착 거동에 대한 기본 실험을 하기 위하여 우선 코닝 7050 유리 기판을 사용하여 증착 변수에 따른 박막의 성장특성을 규명하였다. 산소가스의 영향을 보면, ZnO 박막의 산소를 주입시키지 않은 경우에는 배향성을 갖지 못하고 있으며, 일정양의 산소가 있어야 (002) 배향성을 가진 ZnO 박막이 증착되고 있음을 알 수 있었다. 또한 실험결과에 의하면 rf 전력과 기판온도에 따라서 ZnO 박막의 결정성 및 성장면의 큰 변화가 관찰되고 있는데, 이들 변수의 크기가 증가할수록 SAW소자에 적합한 양질의 배향성 박막을 얻을 수 있었다. 실험에서 얻은 최적조선은 rf 전력 300W, 기판온도 300℃, Ar/O2=50/50 이다. SAW 특성을 분석하기 위하여 diamond/Si 기판위에 Al 박막을 증착시킨 후 자체 제작한 마스크를 이용하여 건식 식각법에 의한 IDT 제작을 시도하였다. 그 다음 위의 최적 조건에서 ZnO 박막을 증착하고 탄성표면파 특성을 분석하였다. 측정에 사용한 디자인은 λ(파장)는 24μm으로서 측정결과 simulation 값과 실험치가 잘 일치하고 있었다. 측정된 중심주파수는 250MHz이고, 이로부터 계산한 ZnO/diamond 구조의 전단 속도는 약 6000m/s의 값을 나타냈으며, 이 값은 실제 이론치와 거의 일치하고 있었다.

  • PDF

이중 게이트 절연막을 가지는 실리콘 전계방출 어레이 제작 및 특성 (Fabrication and characterization of silicon field emitter array with double gate dielectric)

  • 이진호;강성원;송윤호;박종문;조경의;이상윤;유형준
    • 한국진공학회지
    • /
    • 제6권2호
    • /
    • pp.103-108
    • /
    • 1997
  • 본 연구에서는 2단계 실리콘 건식식각 공정과 게이트 절연막으로 열산화막과 tetraethylorthosilicate(TEOS) 산화막의 이중막을 사용하고, 스핀-온-그래스 (Spin-on-glass:SOG) 에치백(etch-back) 공정에 의하여 게이트를 제작하는 새로운 방법을 통하여 실리콘 전계방출소자를 제작하고 그 특성을 분석하였다. 게이트 절연막의 누설전류 를 감소시키면서 팁과 게이트의 간격을 줄이는 구조인 이중 게이트 절연막을 형성하기 위하 여 팁 첨예화 산화 공정후 낮은 점도의 감광막(photo resist)을 시료에 도포한 후, $O_2$ 플라 즈마 에싱(ashing)하는 공정을 채택하였다. 이러한 공정으로 제작된 에미터 팁의 높이와 팁 반경은 각각 1.1$\mu\textrm{m}$와 100$\AA$정도이었으며, 256개 팁 어레이에서 전계방출의 문턱전압은 40V 이하이었다. 60V의 게이트전압에서 23$\mu\textrm{A}$(즉, 90nA/팁)의 높은 아노드 전류를 얻을 수 있었 다. 이때, 게이트 전류는 아노드전류의 약0.1%이하였다. 개발된 공정기술로 게이트 개구도 크게 감소시켰을 뿐 아니라, 게이트 누설전류를 현저히 감소시켰다.

  • PDF

GaAs/AlGaAs와 GaAs/InGaP의 건식 식각 시 Flourine 이온의 효과 (F Ion-Assisted Effect on Dry Etching of GaAs over AlGaAs and InGaP)

  • 장수욱;박민영;최충기;유승열;이제원;승한정;전민현
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2005년도 하계학술대회 논문집 Vol.6
    • /
    • pp.164-165
    • /
    • 2005
  • The dry etch characteristics of GaAs over both AlGaAs and InGaP in planar inductively coupled $BCl_3$-based plasmas(ICP) with additions of $SF_6$ or $CF_4$ were studied. The additions of flourine gases provided enhanced etch selectivities of GaAs/AlGaAs and GaAs/InGaP. The etch stop reaction involving formation of involatile $AlF_3$ and $InF_3$ (boiling points of etch products: $AlF_3\sim1300^{\circ}C$, $InF_3$ > $1200^{\circ}C$ at atmosphere) were found to be effective under high density inductively coupled plasma condition. Decrease of etch rates of all materials was probably due to strong increase of flourine atoms in the discharge, which blocked the surface of the material against chlorine neutral adsorption. The process parameters were ICP source power (0 - 500 W), RF chuck power (0 - 30 W) and variable gas composition. The process results were characterized in terms of etch rate, selectivities of GaAs over AlGaAs and InGaP, surface morphology, surface roughness and residues after etching.

  • PDF