• Title/Summary/Keyword: Wafer Stacking

Search Result 56, Processing Time 0.024 seconds

Development of Cu CMP process for Cu-to-Cu wafer stacking (Cu-to-Cu 웨이퍼 적층을 위한 Cu CMP 특성 분석)

  • Song, Inhyeop;Lee, Minjae;Kim, Sungdong;Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.20 no.4
    • /
    • pp.81-85
    • /
    • 2013
  • Wafer stacking technology becomes more important for the next generation IC technology. It requires new process development such as TSV, wafer bonding, and wafer thinning and also needs to resolve wafer warpage, power delivery, and thermo-mechanical reliability for high volume manufacturing. In this study, Cu CMP which is the key process for wafer bonding has been studied using Cu CMP and oxide CMP processes. Wafer samples were fabricated on 8" Si wafer using a damascene process. Cu dishing after Cu CMP and oxide CMP was $180{\AA}$ in average and the total height from wafer surface to bump surface was approximately $2000{\AA}$.

Relationships between Carrier Lifetime and Surface Roughness in Silicon Wafer by Mechanical Damage (기계적 손상에 의한 실리콘 웨이퍼의 반송자 수명과 표면 거칠기와의 관계)

  • 최치영;조상희
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.12 no.1
    • /
    • pp.27-34
    • /
    • 1999
  • We investigated the effect of mechanical back side damage in viewpoint of electrical and surface morphological characteristics in Czochralski silicon wafer. The intensity of mechanical damage was evaluated by minority carrier recombination lifetime by laser excitation/microwave reflection photoconductance decay technique, atomic force microscope, optical microscope, wet oxidation/preferential etching methods. The data indicate that the higher the mechanical damage degree, the lower the minority carrier lifetime, and surface roughness, damage depth and density of oxidation induced stacking fault increased proportionally.

  • PDF

Effects of Wafer Warpage on the Misalignment in Wafer Level Stacking Process (웨이퍼 레벨 적층 공정에서 웨이퍼 휘어짐이 정렬 오차에 미치는 영향)

  • Shin, Sowon;Park, Mansoek;Kim, Sarah Eunkyung;Kim, Sungdong
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.20 no.3
    • /
    • pp.71-74
    • /
    • 2013
  • In this study, the effects of wafer warpage on the misalignment during wafer stacking process were investigated. The wafer with $45{\mu}m$ bow height warpage was purposely fabricated by depositing Cu thin film on a silicon wafer and the bonding misalignment after bonding was observed to range from $6{\mu}m$ to $15{\mu}m$. This misalignment could be explained by a combination of $5{\mu}m$ radial expansion and $10{\mu}m$ linear slip. The wafer warpage seemed to be responsible for the slip-induced misalignment instead of radial expansion misalignment.

Processing and Characterization of a Direct Bonded SOI using SiO$_2$ Thin Film (SiO$_2$ 박막을 이용한 SOI 직접접합공정 및 특성)

  • 신동운;최두진;김긍호
    • Journal of the Korean Ceramic Society
    • /
    • v.35 no.6
    • /
    • pp.535-542
    • /
    • 1998
  • SOI(silicon oninsulator) was fabricated through the direct bonding of a hydrophilized single crystal Si wafer and a thermally oxidized SiO2 thin film to investigate the stacking faults in silicon at the Si/SiO2 in-terface. At first the oxidation kinetics of SiO2 thin film and the stacking fault distribution at the oxidation interface were investigated. The stacking faults could be divided into two groups by their size and the small-er ones were incorporated into the larger ones as the oxidation time and temperature increased. The den-sity of the smaller ones based critically lower eventually. The SOI wafers directly bonded at the room temperature were annealed at 120$0^{\circ}C$ for 1 hour. The stacking faults at the bonding and oxidation interface were examined and there were anomalies in the distributions of the stacking faults of the bonded region to arrange in ordered ring-like fashion.

  • PDF

The Study of SF Decrease Effect on the Wafer by the Poly Back-Seal (Poly Back-Seal에 의한 웨이퍼 SF(Stacking Fault)감소 효과 연구)

  • Hong, N.P.;Lee, T.S.;Choi, B.H.;Kim, T.H.;Hong, J.W.
    • Proceedings of the KIEE Conference
    • /
    • 2000.07c
    • /
    • pp.1510-1512
    • /
    • 2000
  • Due to the shrinking of the chip size and increasing of the complexity in the modern electronic devices. the defect of wafer are so important to decide the yield in the device process. The engineers has studied the wafer defects and the characteristics. They published lots of the experimental methods. I did an experiment the gettering effect of the defects due to the high temperature and the long time diffusion. Actually, As the thickness of the wafer backside polysilicon is thicker and the diffusion time is faster. the defects on the wafer are decreased. The polysilicon gram boundaries of the wafer backside played an important part as the defect gettering site.

  • PDF

Non-PR direct bumping for 3D wafer stacking (3차원 실장을 위한 Non-PR 직접범핑법)

  • Jeon, Ji-Heon;Hong, Seong-Jun;Lee, Gi-Ju;Lee, Hui-Yeol;Jeong, Jae-Pil
    • Proceedings of the KWS Conference
    • /
    • 2007.11a
    • /
    • pp.229-231
    • /
    • 2007
  • Recently, 3D-electronic packaging by TSV is in interest. TSV(Through Silicon Via) is a interconnection hole on Si-wafer filled with conducting metal such as Copper. In this research, chips with TSV are connected by electroplated Sn bump without PR. Then chips with TSV are put together and stacked by the methode of Reflow soldering. The stacking was successfully done and had no noticeable defects. By eliminating PR process, entire process can be reduced and makes it easier to apply on commercial production.

  • PDF

A Study on Temperature Characteristics according to Ceramic Material Stacking Sequence of Electrostatic Chuck Surface (정전척 표면의 세라믹물질 적층 순서에 따른 온도 특성에 관한 연구)

  • Jang, Kyungmin;kim, Kwangsun
    • Journal of the Semiconductor & Display Technology
    • /
    • v.16 no.3
    • /
    • pp.116-120
    • /
    • 2017
  • Temperature uniformity of a wafer in a semiconductor process is a very important factor that determines the overall yield. Therefore, it is very important to confirm the temperature characteristics of the chuck surface on which the wafer is lifted. The temperature characteristics of the chuck depend on the external heat source, the shape of the cooling channel inside the chuck, the material on the chuck surface, and so on. In this study, CFD confirms the change of temperature characteristics according to the stacking order of ceramic materials on the chuck surface, and suggests the best lamination method.

  • PDF

Manufacturing yield challenges for wafer-to-wafer integration (Wafer-to-Wafer Integration을 위한 생산수율 챌린지에 대한 연구)

  • Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.20 no.1
    • /
    • pp.1-5
    • /
    • 2013
  • Wafer-to-Wafer (W2W) integration technology is an emerging technology promising many benefits, such as reduced size, improved performance, reduced power, lower cost, and divergent integration. As the maturity of W2W technology progresses, new applications will become more viable. However, at present the cost for W2W integration is still very high and both manufacturing yield and reliability issues have not been resolved yet for high volume manufacturing (HVM). Especially for WTW integration resolving compound yield issue can be a key factor for HVM. To have the full benefits of WTW integration technology more than simple wafer stacking technologies are necessary. In this paper, the manufacturing yield for W2W integration is described and the challenges of WTW integration will be discussed.