• Title/Summary/Keyword: VLSI 테스트

Search Result 52, Processing Time 0.026 seconds

A design of Space Compactor for low overhead in Built-In Self-Test (내장 자체 테스트의 low overhead를 위한 공간 압축기 설계)

  • Jung, Jun-Mo
    • The Transactions of the Korea Information Processing Society
    • /
    • v.5 no.9
    • /
    • pp.2378-2387
    • /
    • 1998
  • This thesis proposes a design algorithm of an efficient space response compactor for Built-In Self-Testing of VLSI circuits. The proposed design algorithm of space compactors can be applied independently from the structure of Circuit Cnder Test. There are high hardware overhead cost in conventional space response compactors and the fault coverage is reduced by aliasing which maps faulty circuit's response to fault-free one. However, the proposed method designs space response compactors with reduced hardware overheads and does not reduce the fault coverage comparing to conventional method. Also, the proposed method can be extended to general N -input logic gate and design the most efficient space response L'Ompactors according to the characteristies of output sequence from CUT. The prolxlsed design algorithm is implemented by C language on a SUN SPARC Workstation, and some experiment results of the simulation applied to ISCAS'85 benchmark circuits with pseudo random patterns generated bv LFSR( Linear Feedback Shift Register) show the efficiency and validity of the proposed design algorithm.

  • PDF

Design of a High Performance Built-In Current Sensor using 0.8$\mu\textrm{m}$ CMOS Technology (0.8$\mu\textrm{m}$ CMOS 공정을 이용한 고성능 내장형 전류감지기의 구현)

  • 송근호;한석붕
    • Journal of the Korean Institute of Telematics and Electronics C
    • /
    • v.35C no.12
    • /
    • pp.13-22
    • /
    • 1998
  • In this paper, we propose a high-performance BICS(built-in current sensor) which is fabricated in 0.8${\mu}{\textrm}{m}$ single-poly two-metal process for IDDQ testing of CMOS VLSI circuit. The CUT(circuit under test) is 4-bit full adder with a bridging fault. Using two nMOSs that have different size, two bridging faults that have different resistance values are injected in the CUT. And controlling a gate node, we experimented various fault effects. The proposed BICS detects the faulty current at the end of the clock period, therefore it can test a CUT that has a much longer critical propagation delay time and larger area than conventional BICSs. As expected in the HSPICE simulation, experimental results of fabricated chip demonstrated that the proposed BICS can exactly detect bridging faults in the circuit.

  • PDF

On the Acceleration of Redundancy Identification for VLSI Logic Optimization (VLSI 논리설계 최적화를 위한 Redundancy 조사 가속화에 관한 연구)

  • Lee, Seong-Bong;Chong, Jong-Wha
    • Journal of the Korean Institute of Telematics and Electronics
    • /
    • v.27 no.3
    • /
    • pp.131-136
    • /
    • 1990
  • In this paper, new methods are proposed which speed up the logical redundancy identification for the gate-level logic optimization. Redundancy indentification, as well as deterministic test pattern generation, can be viewed as a finite space search problem, of which execution time depends on the size of the search space. For the purpose of efficient search, we propose dynamic head line and mandatory assignment. Dynamic head lines are changed dynamically in the process of the redundancy identification. Mandatory assignement can avoid unnecessary assignment. They can reduce the search size efficiently. Especially they can be used even though the circuit is modified in the optimization procedure, that is different from the test pattern generation methods. Some experimental results are presented indicating that the proposed methods are faster than existing methods.

  • PDF

An Efficient Collapsing Algorithm for Current-based Testing Models in CMOS VLSI (CMOS VLSI를 위한 전류 테스팅 기반 고장모델의 효율적인 중첩 알고리즘)

  • Kim Dae lk;Bae Sung Hwan
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.29 no.10A
    • /
    • pp.1205-1214
    • /
    • 2004
  • For tile physical defects occurring in CMOS circuits which are not handled well by voltage-based testing, current testing is remarkable testing technique. Fault models based on defects must accurately describe the behaviour of the circuit containing the defect. In this paper, An efficient collapsing algorithm for fault models often used in current testing is proposed. Experimental results for ISCAS benchmark circuits show the effectiveness of the proposed method in reducing the number of faults that have to be considered by fault collapsing and its usefulness in various current based testing models.

Global Redundancey Check by VLSI Test Theory (VLSI 테스트 이론을 이용한 Global Redundancy 조사)

  • Lee, Seong-Bong;Chong, Jong-Wha
    • Journal of the Korean Institute of Telematics and Electronics
    • /
    • v.26 no.4
    • /
    • pp.138-144
    • /
    • 1989
  • In this paper, a new method is proposed to remove the logical redundancy for the gate-level circuit optimization. In this method, only the fanout-branch signals in the circuits, not all the signals, are examined for redundancy. When a signal is determined to be nonredundant, other nonredundant signals are found out by the efficient procedure, using only the informations which are generated in the course of the redundancy-check. In order to avoid the re-examination of a signal for redudancy, a heuristic method is proposed to determine the redundancy-checking order of signals. The proposed method is heuristic, based on the VLSI test theory. It is much faster than other methods, since it does not reexamine a signal for redundancy.

  • PDF

A Study of Pin-to-pin DC Parametric Test Modeling of VLSI Devices (VLSI 소자의 핀간 DC 파라메터 테스트 모델링 연구)

  • 박용수;송한정;황금주;김철호;유흥균
    • Proceedings of the IEEK Conference
    • /
    • 1999.06a
    • /
    • pp.891-894
    • /
    • 1999
  • According to increasing the integration of the device, there are important consideration about the improvement of the reliability in the product. To improve the reliability of the device, the test parameters and test time are increased. There are no pin-to-pin short test and pin-to-pin leakage test in the present test items to analysis the characteristics and reliability of the device. The purpose of the paper is to model the pin-to-pin phenomenon and propose to modify the test method present and to test the new pin-to-pin DC parameters. These modified and additive test items are applied to product test and confirmed to improve the reliability of product test.

  • PDF

Design of real-time microvision for edge detection with vertical integration structure of LSIs (LSI 수직적층 구조를 가지는 윤곽검출용 실시간 마이크로 비젼의 설계)

  • Yu, Kee-Ho
    • Journal of Institute of Control, Robotics and Systems
    • /
    • v.4 no.3
    • /
    • pp.329-333
    • /
    • 1998
  • 본 논문에서는, LSI 적층 기술을 이용한 실시간 처리 마이크로 비젼의 개발을 소개하고 있다. 새롭게 개발된 LSI 적층기술을 이용하여, 영상신호의 증폭, 변환, 연산처리등의 기본기능을 가지는 다수의 LSI 웨이퍼를 적층한다. 각 층간의 고밀도 수직배선을 통하여 대량의 영상정보를 동시에 전달하므로써, 대규모 동시 병렬처리를 가능하게 하며, 다수의 층에 걸쳐 파이프 라인 처리가 이루어진다. VLSI 설계시스템을 이용하여, 윤곽 검출기능을 가지는 테스트 칩을 설계(2 .mu.m CMOS design rule)하고, 시뮬레이션을 통하여 양호한 동작(처리시간 10 .mu.s)을 확인하고 있다. 시험제작을 위해서는, 새롭게 개발된 LSI 적층기술이 이용된다. 영상처리의 기본회로가 실려있는 웨이퍼의 기반을 30 .mu.m 의 두께까지 연마하고, 개발된 웨이퍼 aligner를 이용하여 수직배선이 형성된 상하 두 개의 웨이퍼를 미세조정하면서 접착한다. 이상의 제작과정을 반복하여 두께 1mm이하의 인공망막과 같은 마이크로 비젼을 제작한다.

  • PDF

Object Oriented Fault Detection for Fault Models of Current Testing (전류 테스팅 고장모델을 위한 객체기반의 고장 검출)

  • Bae, Sung-Hwan;Han, Jong-Kil
    • The Journal of the Korea institute of electronic communication sciences
    • /
    • v.5 no.4
    • /
    • pp.443-449
    • /
    • 2010
  • Current testing is an effective method which offers higher fault detection and diagnosis capabilities than voltage testing. Since current testing requires much longer testing time than voltage testing, it is important to note that a fault is untestable if the two nodes have same values at all times. In this paper, we present an object oriented fault detection scheme for various fault models using current testing. Experimental results for ISCAS benchmark circuits show the effectiveness of the proposed method in reducing the number of faults and its usefulness in various fault models.

P&R Porting & Test-chip implementation Using Standard Cell Libraries (표준 셀 라이브러리 P&R 포팅과 테스트 칩의 설계)

  • Lim, Ho-Min;Kim, Nam-Sub;Kim, Jin-Sang;Cho, Won-Kyung
    • Journal of Advanced Navigation Technology
    • /
    • v.7 no.2
    • /
    • pp.206-210
    • /
    • 2003
  • In this paper, we design standard cell libraries using the 0.18um deep submircom CMOS process, and port them into a P&R (Placement and Routing) CAD tool. A simple test chip has been designed in order to verify the functionalities of the 0.18um standard cell libraries whose technical process was provided by Anam semiconductor. Through these experiments, we have found that the new 0.18um CMOS process can be successfully applied to automatic digital system design.

  • PDF

Implementation of multiple valued squential circuit using decision diagram (결정도에 의한 다치 순차회로 구현)

  • 김성대;김휘진;박춘명;송홍복
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 1999.11a
    • /
    • pp.278-281
    • /
    • 1999
  • In this paper, Squential circuit was implemented by decision diagram that can analyze and test large amount of functions easily. First of all, Memery device of multiple valued squential circuit was used D F/F, implemented with CMOS current mode. The opreation property of this circuit involved by PSPICE simulation. The result of Decision Diagram sequential circuit is simple and regular for selecting wire routing and posesses the property of analyze, testing. so it suitable for VLSI implementation.

  • PDF