• Title/Summary/Keyword: Through-Hole Plating

Search Result 10, Processing Time 0.025 seconds

Development of the Latest High-performance Acid Copper Plating Additives for Via-Filling & PTH

  • Nishiki, Shingo
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.19 no.4
    • /
    • pp.39-43
    • /
    • 2012
  • Via-filling plating and through-hole plating are absolutely imperative for manufacturing of printed-wiring board. This Paper is introducing the latest developments of our company worked on the high-performance of acid copper plating additives for them.

FCCL 제작 시 Cu Sputter 조건에 따른 Through Hole 특성 연구

  • Kim, Sang-Ho;Yun, Yeo-Wan
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2008.11a
    • /
    • pp.15-16
    • /
    • 2008
  • In case manufacturing COF, through hole should be made to be used for a pathway connecting the conductive layers of its both faces. In case Cu-plating inside of through hole with electroless plating way, contact between Cu and PI film gets bad to be fell apart from PI by the impact of applying to the electric devices. Therefore, after sputtering is applying on inner through hole, then a method to perform electroplating process. In this study, after changing sputtering condition to manufacture FCCL, we looked the changeability of the upper PI and inner hole Cu layers. Making use of RF Magnetron sputtering equipment, we coated Cu thin film and Cu-plated on it through electroplating. After cold-mounting the completed FCCL, we examined hole section through an optical microscope. From the result of test, with parameters deposition pressure and deposition time, both the thickness of the hole plated layer and PI plated upper layer increased at regular rate, increasing the thickness of Cu sputter layer. However, from the result of test in increasing RF-power, we could know the increment rate of hole plated layer is considerably greater than that of PI plated upper layer. Therefore, we finally acquired good result; if you want only to increase the plated layer of inner hole, it's much better to increase RF-power.

  • PDF

Development of a Plasma Gun System for Ion Plating with Long Lifetime (이온 플레이팅용 장수명 플라즈마 건 장치의 개발)

  • Choi, Young-Wook
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.57 no.1
    • /
    • pp.78-81
    • /
    • 2008
  • A hollow cathode which has extremely stable discharge characteristic has been developed. This is composed of the two separated lanthanum hexaboride(LaB6) of a disk type in the tube as the electron emitters. The way of design is of great advantage to extend the surface discharge area of the LaB6, which is also useful for optimal fixing of the LaB6. The hollow cathode is capable of producing 30 kW(100 V, 300 A) of power continuously. Because the generated plasma beam with the high temperature(above $3000^{\circ}C$) from the hollow cathode passes through the center hole of the two intermediate electrodes, it is designed with the high temperature material of the tungsten and the suitable structure of the water cooling. The combinations of the hollow cathode and the two intermediate electrodes are practically useful for the ion plating plasma beam source.

Numerical Analysis on the Improvement of Zinc Plating Booth Ventilation System (아연도금 부스 환기시스템 개선에 관한 수치해석)

  • Chin, Do-Hun
    • Journal of the Korean Society of Industry Convergence
    • /
    • v.24 no.1
    • /
    • pp.45-51
    • /
    • 2021
  • The purpose of this study is to suggest the optimal shape for a local air ventilation system for fume removal, which is operated in a zinc galvanizing factory, and to propose the improvement plan for a ventilation system used in a zinc galvanizing factory through flow analysis. A part of the air sprayed by an air curtain goes out. It will be necessary to research the position of an air curtain, its spray angles, and its nozzle shape. In addition, additional research needs to be conducted on the shape of the fan installed before a hood in order to make it easy to induce fume. In a local air ventilation system, air is inhaled from the outside. The higher an inlet negative pressure is, the easier fume is removed. It was found that it was necessary to install an appropriate hole in the wall on the back of a push nozzle in order to reduce an inlet negative pressure.

TSV Filling Technology using Cu Electrodeposition (Cu 전해도금을 이용한 TSV 충전 기술)

  • Kee, Se-Ho;Shin, Ji-Oh;Jung, Il-Ho;Kim, Won-Joong;Jung, Jae-Pil
    • Journal of Welding and Joining
    • /
    • v.32 no.3
    • /
    • pp.11-18
    • /
    • 2014
  • TSV(through silicon via) filling technology is making a hole in Si wafer and electrically connecting technique between front and back of Si die by filling with conductive metal. This technology allows that a three-dimensionally connected Si die can make without a large number of wire-bonding. These TSV technologies require various engineering skills such as forming a via hole, forming a functional thin film, filling a conductive metal, polishing a wafer, chip stacking and TSV reliability analysis. This paper addresses the TSV filling using Cu electrodeposition. The impact of plating conditions with additives and current density on electrodeposition will be considered. There are additives such as accelerator, inhibitor, leveler, etc. suitably controlling the amount of the additive is important. Also, in order to fill conductive material in whole TSV hole, current wave forms such as PR(pulse reverse), PPR(periodic pulse reverse) are used. This study about semiconductor packaging will be able to contribute to the commercialization of 3D TSV technology.

Low Cost Via-Hole Filling Process Using Powder and Solder (파우더와 솔더를 이용한 저비용 비아홀 채움 공정)

  • Hong, Pyo-Hwan;Kong, Dae-Young;Nam, Jae-Woo;Lee, Jong-Hyun;Cho, Chan-Seob;Kim, Bonghwan
    • Journal of Sensor Science and Technology
    • /
    • v.22 no.2
    • /
    • pp.130-135
    • /
    • 2013
  • This study proposed a noble process to fabricate TSV (Through Silicon Via) structure which has lower cost, shorter production time, and more simple fabrication process than plating method. In order to produce the via holes, the Si wafer was etched by a DRIE (Deep Reactive Ion Etching) process. The via hole was $100{\mu}m$ in diameter and $400{\mu}m$ in depth. A dielectric layer of $SiO_2$ was formed by thermal oxidation on the front side wafer and via hole side wall. An adhesion layer of Ti and a seed layer of Au were deposited. Soldering process was applied to fill the via holes with solder paste and metal powder. When the solder paste was used as via hole metal line, sintering state and electrical properties were excellent. However, electrical connection was poor due to occurrence of many voids. In the case of metal powder, voids were reduced but sintering state and electrical properties were bad. We tried the via hole filling process by using mixing solder paste and metal powder. As a consequence, it was confirmed that mixing rate of solder paste (4) : metal powder (3) was excellent electrical characteristics.

Effect of Additives on the Hardness of Copper Electrodeposits in Acidic Sulfate Electrolyte (황산구리 전착에서의 첨가제가 구리전착층의 경도에 미치는 영향)

  • Min, Sung-Ki;Lee, Jeong-Ja;Hwang, Woon-Suk
    • Corrosion Science and Technology
    • /
    • v.10 no.4
    • /
    • pp.143-150
    • /
    • 2011
  • Copper electroplating has been applied to various fields such as decorative plating and through-hole plating. Technical realization of high strength copper preplating for wear-resistant tools and molds in addition to these applications is the aim of this work. Brighters and levelers, such as MPSA, Gelatin, Thiourea, PEG and JGB, were added in copper sulfate electrolyte, and the effects of these organic additives on the hardness were evaluated. All additives in this work were effective in increasing the hardness of copper electrodeposits. Thiourea increased the hardness up to 350 VHN, and was the most effective accelarator in sulfate electrolyte. It was shown from the X-ray diffraction analysis that preferred orientation changed from (200) to (111) with increasing concentration of organic additives. Crystallite size decreased with increasing concentration of additive. Hardness was increased with decreasing crystallite size, and this result is consistent with Hall-Petch relationship, and it was apparent that the hardening of copper electrodeposits results from the grain refining effect.

Thickness Control of Electroplating Layer for Copper Pillar Tin Bump (구리기둥범프 용 전해도금 층 제어)

  • Moon, Dae-Ho;Hong, Sang-Jeen;Park, Jong-Dae;Hwang, Jae-Ryong;Soh, Dea-Wha
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2011.10a
    • /
    • pp.903-906
    • /
    • 2011
  • The electroplating and electro-less plating methods have been applied for the high density chip interconnect of the Copper Pillar Tin Bump (CPTB) preparation. The CPTB was prepared, which had been electroplated about $100{\mu}m$ pitch of copper layer firstly, and then the Tin layer was deposited on the copper pillar surface to protect the oxidation of it. It was also very important to get uniform thickness of electroplated copper layer, though it was difficult and sensitive. In order to control the thickness distribution, it was examined that the current separating disk of Insulating Gate with a hole in the center was installed between electrodes. The current flows through the center hole of the Insulating Gate in the cylindrical electroplating bath and the other parts were blocked to protect current flowing. The main current flowed through the center hole of the Insulating Gate directly to the opposite electrode of wafer disk. As the results, it was verified that the copper layer was thick in the center part of wafer disk with distribution of thinner to the outer part toward edge.

  • PDF

Wafer Level Packaging of RF-MEMS Devices with Vertical Feed-through (수직형 Feed-through 갖는 RF-MEMS 소자의 웨이퍼 레벨 패키징)

  • Park, Yun-Kwon;Lee, Duck-Jung;Park, Heung-Woo;kim, Hoon;Lee, Yun-Hi;Kim, Chul-Ju;Ju, Byeong-Kwon
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.15 no.10
    • /
    • pp.889-895
    • /
    • 2002
  • Wafer level packaging is gain mote momentum as a low cost, high performance solution for RF-MEMS devices. In this work, the flip-chip method was used for the wafer level packaging of RF-MEMS devices on the quartz substrate with low losses. For analyzing the EM (electromagnetic) characteristic of proposed packaging structure, we got the 3D structure simulation using FEM (finite element method). The electric field distribution of CPW and hole feed-through at 3 GHz were concentrated on the hole and the CPW. The reflection loss of the package was totally below 23 dB and the insertion loss that presents the signal transmission characteristic is above 0.06 dB. The 4-inch Pyrex glass was used as a package substrate and it was punched with air-blast with 250${\mu}{\textrm}{m}$ diameter holes. We made the vortical feed-throughs to reduce the electric path length and parasitic parameters. The vias were filled with plating gold. The package substrate was bonded with the silicon substrate with the B-stage epoxy. The loss of the overall package structure was tested with a network analyzer and was within 0.05 dB. This structure can be used for wafer level packaging of not only the RF-MEMS devices but also the MEMS devices.

Cu-Filling Behavior in TSV with Positions in Wafer Level (Wafer 레벨에서의 위치에 따른 TSV의 Cu 충전거동)

  • Lee, Soon-Jae;Jang, Young-Joo;Lee, Jun-Hyeong;Jung, Jae-Pil
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.21 no.4
    • /
    • pp.91-96
    • /
    • 2014
  • Through silicon via (TSV) technology is to form a via hole in a silicon chip, and to stack the chips vertically for three-dimensional (3D) electronics packaging technology. This can reduce current path, power consumption and response time. In this study, Cu-filling substrate size was changed from Si-chip to a 4" wafer to investigate the behavior of Cu filling in wafer level. The electrolyte for Cu filling consisted of $CuSO_4$ $5H_2O$, $H_2SO_4$ and small amount of additives. The anode was Pt, and cathode was changed from $0.5{\times}0.5cm^2$ to 4" wafer. As experimental results, in the case of $5{\times}5cm^2$ Si chip, suitable distance of electrodes was 4cm having 100% filling ratio. The distance of 0~0.5 cm from current supplying location showed 100% filling ratio, and distance of 4.5~5 cm showed 95%. It was confirmed good TSV filling was achieved by plating for 2.5 hrs.