• Title/Summary/Keyword: SoC Test

Search Result 1,414, Processing Time 0.025 seconds

ARM Professor-based programmable BIST for Embedded Memory in SoC (SoC 내장 메모리를 위한 ARM 프로세서 기반의 프로그래머블 BIST)

  • Lee, Min-Ho;Hong, Won-Gi;Song, Jwa-Hee;Chang, Hoon
    • Journal of KIISE:Computer Systems and Theory
    • /
    • v.35 no.6
    • /
    • pp.284-292
    • /
    • 2008
  • The density of Memory has been increased by great challenge for memory technology; therefore, elements of memory become more smaller than before and the sensitivity to faults increases. As a result of these changes, memory testing becomes more complex. In addition, as the number of storage elements per chip increases, the test cost becomes more remarkable as the cost per transistor drops. Recent development in system-on-chip(SoC) technology makes it possible to incorporate large embedded memories into a chip. However, it also complicates the test process, since usually the embedded memories cannot be controlled from the external environment. We present a ARM processor-programmable built-in self-test(BIST) scheme suitable for embedded memory testing in the SoC environment. The proposed BIST circuit can be programmed vis an on-chip microprocessor.

Physical-Aware Approaches for Speeding Up Scan Shift Operations in SoCs

  • Lee, Taehee;Chang, Ik Joon;Lee, Chilgee;Yang, Joon-Sung
    • ETRI Journal
    • /
    • v.38 no.3
    • /
    • pp.479-486
    • /
    • 2016
  • System-on-chip (SoC) designs have a number of flip-flops; the more flip-flops an SoC has, the longer the associated scan test application time will be. A scan shift operation accounts for a significant portion of a scan test application time. This paper presents physical-aware approaches for speeding up scan shift operations in SoCs. To improve the speed of a scan shift operation, we propose a layout-aware flip-flop insertion and scan shift operation-aware physical implementation procedure. The proposed combined method of insertion and procedure effectively improves the speed of a scan shift operation. Static timing analyses of state-of-the-art SoC designs show that the proposed approaches help increase the speeds of scan shift operations by up to 4.1 times that reached under a conventional method. The faster scan shift operation speeds help to shorten scan test application times, thus reducing test costs.

At-speed Interconnect Test Controller for SoC with Multiple System Clocks and Heterogeneous Cores (다중 시스템 클럭과 이종 코아를 가진 시스템 온 칩을 위한 연결선 지연 고장 테스트 제어기)

  • Jang Yeonsil;Lee Hyunbin;Shin Hyunchul;Park Sungju
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.42 no.5 s.335
    • /
    • pp.39-46
    • /
    • 2005
  • This paper introduces a new At-speed Interconnect Test Controller (ASITC) that can detect and diagnose dynamic as well as static defects in an SoC. SoC is comprised of IEEE 1149.1 and P1500 wrapped cores which can be operated by multiple system clocks. In other to test such a complicated SoC, we designed a interface module for P1500 wrapped cores and the ASITC that makes it possible to detect interconnect delay faults during 1 system clock from launching to capturing the transition signal. The ASITC proposed requires less area overhead than other approaches and the operation was verified through the FPGA implementation

A New Multi-site Test for System-on-Chip Using Multi-site Star Test Architecture

  • Han, Dongkwan;Lee, Yong;Kang, Sungho
    • ETRI Journal
    • /
    • v.36 no.2
    • /
    • pp.293-300
    • /
    • 2014
  • As the system-on-chip (SoC) design becomes more complex, the test costs are increasing. One of the main obstacles of a test cost reduction is the limited number of test channels of the ATE while the number of pins in the design increases. To overcome this problem, a new test architecture using a channel sharing compliant with IEEE Standard 1149.1 and 1500 is proposed. It can significantly reduce the pin count for testing a SoC design. The test input data is transmitted using a test access mechanism composed of only input pins. A single test data output pin is used to measure the sink values. The experimental results show that the proposed architecture not only increases the number of sites to be tested simultaneously, but also reduces the test time. In addition, the yield loss owing to the proven contact problems can be reduced. Using the new architecture, it is possible to achieve a large test time and cost reduction for complex SoC designs with negligible design and test overheads.

A Novel Built-In Self-Test Circuit for 5GHz Low Noise Amplifiers (5GHz 저잡음 증폭기를 위한 새로운 Built-In Self-Test 회로)

  • Ryu Jee-Youl;Noh Seok-Ho
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.9 no.5
    • /
    • pp.1089-1095
    • /
    • 2005
  • This paper presents a new low-cost Built-In Self-Test (BIST) circuit for 50Hz low noise amplifier (LNA). The BIST circuit is designed for system-on-chip (SoC) transceiver environment. The proposed BIST circuit measures the LNA specifications such as input impedance, voltage gaih, noise figure, and input return loss all in a single SoC environment.

Delay Fault Test for Interconnection on Boards and SoCs (칩 및 코아간 연결선의 지연 고장 테스트)

  • Yi, Hyun-Bean;Kim, Doo-Young;Han, Ju-Hee;Park, Sung-Ju
    • Journal of KIISE:Computer Systems and Theory
    • /
    • v.34 no.2
    • /
    • pp.84-92
    • /
    • 2007
  • This paper proposes an interconnect delay fault test (IDFT) solution on boards and SoCs based on IEEE 1149.1 and IEEE P1500. A new IDFT system clock rising edge generator which forces output boundary scan cells to update test data at the rising edge of system clock and input boundary scan cells to capture the test data at the next rising edge of the system clock is introduced. Using this proposed circuit, IDFT for interconnects synchronized to different system clocks in frequency can be achieved efficiently. Moreover, the proposed IDFT technique does not require any modification of the boundary scan cells or the standard TAP controller and simplifies the test procedure and reduces the area overhead.

Test Scheduling Algorithm of System-on-a-Chip Using Extended Tree Growing Graph (확장 나무성장 그래프를 이용한 시스템 온 칩의 테스트 스케줄링 알고리듬)

  • 박진성;이재민
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.41 no.3
    • /
    • pp.93-100
    • /
    • 2004
  • Test scheduling of SoC (System-on-a-chip) is very important because it is one of the prime methods to minimize the testing time under limited power consumption of SoC. In this paper, a heuristic algorithm, in which test resources are selected for groups and arranged based on the size of product of power dissipation and test time together with total power consumption in core-based SoC is proposed. We select test resource groups which has maximum power consumption but does not exceed the constrained power consumption and make the testing time slot of resources in the test resource group to be aligned at the initial position in test space to minimize the idling test time of test resources. The efficiency of proposed algorithm is confirmed by experiment using ITC02 benchmarks.

Exploiting an On/off-Chip Bus Bridge for an Efficiently Testable SoC (효율적인 SoC 테스트를 위한 온/오프-칩 버스 브리지 활용기술에 대한 연구)

  • Song, Jae-Hoon;Han, Ju-Hee;Kim, Byeong-Jin;Jeong, Hye-Ran;Park, Sung-Ju
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.45 no.4
    • /
    • pp.105-116
    • /
    • 2008
  • Today's System-on-a-Chip (SoC) is designed with reusable IP cores to meet short time-to-market requirements. However, the increasing cost of testing becomes a big burden in manufacturing a highly integrated SoC. In this paper, we propose an efficient test access mechanism that exploits an on/off-chip bus bridge for the Advanced High-performance Bus (AHB) and Peripheral Component Interconnect (PCI) bus. The test application time is considerably reduced by providing dedicated test stimuli input paths and response output paths, and by excluding the bus direction tumaround delays. Experimental results show that area overhead and testing times are considerably reduced in both functional and structural test modes. The proposed technique can be a lied to the other types of on/off-chip bus bridges.

A Design of Flag Based Wrapped Core Linking Module for Hierarchical SoC Test Access (계층적 SoC테스트 접근을 위한 플래그 기반 코아 연결 모듈의 설계)

  • 송재훈;박성주;전창호
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.40 no.1
    • /
    • pp.52-60
    • /
    • 2003
  • For a System-on-a-Chip(SoC) comprised of multiple IP cores, various design techniques have been proposed to provide diverse test link configurations. In this paper, we introduce a new flag based Wrapped Core Linking Module (WCLM) that enables systematic integration of IEEE 1149.1 TAP'd cores and P1500 wrapped cores with requiring least amount of area overhead compared with other state-of-art techniques. The design preserves compatibility with standards and scalability for hierarchical access.

A Parallel Structure of SRAMs in embedded DRAMs for Testability (테스트 용이화를 위한 임베디드 DRAM 내 SRAM의 병열 구조)

  • Gook, In-Sung;Lee, Jae-Min
    • The Journal of Korea Institute of Information, Electronics, and Communication Technology
    • /
    • v.3 no.3
    • /
    • pp.3-7
    • /
    • 2010
  • As the distance between signal lines in memories of high density ICs like SoCs decreases rapidly, failure occurs more frequently and effective memory test techniques are needed. In this paper, a new SRAM structure is proposed to decrease test complexity and test time for embedded DRAMs. In the presented technique, because memory test can be handled as a single port testing and read-write operation is possible at dual port without high complexity, test time can be much reduced.

  • PDF