• 제목/요약/키워드: Phase-shift Mask Lithography

검색결과 12건 처리시간 0.033초

Attenuated Phase Shift Mask에 광 근접 효과 보정을 적용한 고립 패턴의 해상 한계 분석 (Resolution Limit Analysis of Isolated Patterns Using Optical Proximity Correction Method with Attenuated Phase Shift Mask)

  • 김종선;오용호;임성우;고춘수;이재철
    • 한국전기전자재료학회논문지
    • /
    • 제13권11호
    • /
    • pp.901-907
    • /
    • 2000
  • As the minimum feature size for making ULSI approaches the wavelength of light source in optical lithography, the aerial image is so hardly distorted because of the optical proximity effect that the accurate mask image reconstruction on wafer surface is almost impossible. We applied the Optical Proximity Correction(OPC) on isolated patterns assuming Attenuated Phase Shift Mask(APSM) as well as binary mask, to correct the widening of isolated patterns. In this study, we found that applying OPC to APSM shows much better improvement not only in enhancing the resolution and fidelity of t도 images but also in enhancing the process margin than applying OPC to the binary mask. Also, we propose the OPC method of APSM for isolated patterns, the size of which is less than the wavelength of the ArF excimer laser. Finally, we predicted the resolution limit of optical lithography through the aerial image simulation.

  • PDF

극자외선 리소그라피에서의 Sub-resolution assist feature를 이용한 근접효과보정 (Optical Proximity Correction using Sub-resolution Assist Feature in Extreme Ultraviolet Lithography)

  • 김정식;홍성철;장용주;안진호
    • 반도체디스플레이기술학회지
    • /
    • 제15권3호
    • /
    • pp.1-5
    • /
    • 2016
  • In order to apply sub-resolution assist feature (SRAF) in extreme ultraviolet lithography, the maximum non-printing SRAF width and lithography process margin needs to be improved. Through simulation, we confirmed that the maximum SRAF width of 6% attenuated phase shift mask (PSM) is large compared to conventional binary intensity mask. The increase in SRAF width is due to dark region's reflectivity of PSM which consequently improves the process window. Furthermore, the critical dimension error caused by variation of SRAF width and center position is reduced by lower change in diffraction amplitude. Therefore, we speculate that the margin of SRAF application will be improved by using PSM.

위상변위 극자외선 마스크의 흡수체 패턴의 기울기에 대한 오차허용도 향상 (Improved Margin of Absorber Pattern Sidewall Angle Using Phase Shifting Extreme Ultraviolet Mask)

  • 장용주;김정식;홍성철;안진호
    • 반도체디스플레이기술학회지
    • /
    • 제15권2호
    • /
    • pp.32-37
    • /
    • 2016
  • Sidewall angle (SWA) of an absorber stack in extreme ultraviolet lithography mask is considered to be $90^{\circ}$ ideally, however, it is difficult to obtain $90^{\circ}$ SWA because absorber profile is changed by complicated etching process. As the imaging performance of the mask can be varied with this SWA of the absorber stack, more complicated optical proximity correction is required to compensate for the variation of imaging performance. In this study, phase shift mask (PSM) is suggested to reduce the variation of imaging performance due to SWA change by modifying mask material and structure. Variations of imaging performance and lithography process margin depending on SWA were evaluated through aerial image and developed resist simulations to confirm the advantages of PSM over the binary intensity mask (BIM). The results show that the variations of normalized image log slope and critical dimension bias depending on SWA are reduced with PSM compared to BIM. Process margin for exposure dose and focus was also improved with PSM.

디지털 홀로그램 현미경을 이용한 위상차 포토마스크 결함 측정 (Defect Inspection of Phase Shift Photo-Mask with Digital Hologram Microscope)

  • 조형준;임진웅;김두철;유영훈;신상훈
    • 한국광학회지
    • /
    • 제18권5호
    • /
    • pp.303-308
    • /
    • 2007
  • 디지털 홀로그래피 현미경을 이용하여 반도체 공정에 사용되는 위상차 포토마스크의 결함을 측정하였다. 이러한 위상차 포토마스크는 위상차를 이용하여 반도체 문양을 만들기 때문에 일반 현미경으로는 패턴을 알 수 없을 뿐 아니라 위상마스크의 결함은 더욱더 찾기 어렵다. 디지털 홀로그래피 현미경을 이용하면 한 장의 홀로그램을 이용하여 위상차 포토마스크의 3차원 구조와 결함을 동시에 측정할 수 있다.

롤타입 마스크를 이용한 연속 포토리소그래피 기술과 그 응용 (Continuous Photolithography by Roll-Type Mask and Applications)

  • 곽문규
    • 대한기계학회논문집B
    • /
    • 제36권10호
    • /
    • pp.1011-1017
    • /
    • 2012
  • 본 논문에서는 롤타입 마스크를 사용한 마이크로/나노 구조 제작용 광학 리소그래피 방법을 소개한다. 이 생산 방법은 다양한 목표 해상도에 따라 위상지연 리소그래피방법과 포토리소그래피로 나뉜다. 사용되는 빛의 파장대보다 작은 해상도를 갖는 패턴을 제작하기 위해서 실린더 형태의 위상지연 마스크를 활용한 근거리 노광 방식을 사용한다. 또한 필름 형태의 금속 마스크를 써서 포토리소그래피를 연속방식으로 수행하였는데 이 방식은 실린더 마스크의 회전수를 조절함으로써 노광 결과 패턴의 주기를 실시간으로 조절할 수 있다. 이 기술의 응용으로 금속 그물패턴으로 만들어진 100 $mm^2$ 넓이의 투명전극을 제작하였다.

0.12$\mu\textrm{m}$설계규칙을 갖는 DRAM 셀 주용 레이어의 OPC 및 PSM (Study the Feasibility of Optical Lithography for critical Lyers of 0.12$\mu\textrm{m}$)

  • 박기천;오용호;임성우;고춘수;이재철
    • 한국전기전자재료학회논문지
    • /
    • 제14권1호
    • /
    • pp.6-11
    • /
    • 2001
  • We studied the feasibility of optical lithography for the critical layers of 0.12${\mu}{\textrm}{m}$ DRAM assuming ArF excimer laser as a light source. To enhance the fidelity of aerial image and process margin, Phase shift mask (PSM) patterns as well as binary mask patterns are corrected with in-house developed Optical Proximity Correction (OPC) software. As the result, w found that the aerial image of critical layers of DRAM cell with 0.12${\mu}{\textrm}{m}$ design rule could not be reproduced with binary masks. But if we use PSM or optical proximity corrected PSM, the fidelity of aerial image ,resolution and process margin are so much enhanced that they could be processed with optical lithography.

  • PDF

SRAF를 적용한 극자외선 노광기술용 위상 변위 마스크의 반사도에 따른 이미징 특성 연구 (Evaluation of Imaging Performance of Phase Shift Mask Depending on Reflectivity with Sub-resolution Assist Feature in EUV Lithography)

  • 장용주;김정식;홍성철;조한구;안진호
    • 반도체디스플레이기술학회지
    • /
    • 제14권3호
    • /
    • pp.1-5
    • /
    • 2015
  • In photolithography process, resolution enhancement techniques such as optical proximity correction (OPC) and phase shift mask (PSM) have been applied to improve resolution. Especially, sub-resolution assist feature (SRAF) is one of the most important OPC to enhance image quality including depth of focus (DOF). However, imaging performance of the mask could be varied with the diffraction order amplitude changed by inserting SRAF. Therefore, in this study, we investigated the imaging properties and process margin of attenuated PSM with SRAF. Reflectivities of attenuated PSMs at 13.5 nm were 3, 6, 9% and simulation was performed by $PROLITH^{TM}$. As a result, aerial image properties and DOF as well as diffraction efficiency were improved by increasing the reflectivity of attenuated PSM. Additionally, printed critical dimension variations depending on SRAF width and space error were also reduced for attenuated PSM with high reflectivity. However, SRAF could be printed when reflectivity of attenuated PSM is high enough. In conclusion, optimization of reflectivity of attenuated PSM and SRAF to prevent side-lobe from being printed is needed to be considered.

Gate CD Control for memory Chip using Total Process Proximity Based Correction Method

  • Nam, Byung--Ho;Lee, Hyung-J.
    • Journal of the Optical Society of Korea
    • /
    • 제6권4호
    • /
    • pp.180-184
    • /
    • 2002
  • In this study, we investigated mask errors, photo errors with attenuated phase shift mask and off-axis illumination, and etch errors in dry etch conditions. We propose that total process proximity correction (TPPC), a concept merging every process step error correction, is essential in a lithography process when minimum critical dimension (CD) is smaller than the wavelength of radiation. A correction rule table was experimentally obtained applying TPPC concept. Process capability of controlling gate CD in DRAM fabrication should be improved by this method.