• 제목/요약/키워드: 3D IC Chip

검색결과 67건 처리시간 0.028초

다중(multiple) TSV-to-TSV의 임피던스 해석 (The Impedance Analysis of Multiple TSV-to-TSV)

  • 이시현
    • 전자공학회논문지
    • /
    • 제53권7호
    • /
    • pp.131-137
    • /
    • 2016
  • 본 논문에서는 기존의 2D IC의 성능을 개선하고 3D IC의 집적도와 전기적인 특성을 개선하기 위한 목적으로 연구되고 있는 TSV (Through Silicon Via)의 임피던스를 해석하였다. 향후 Full-chip 3D IC 시스템 설계에서 TSV는 매우 중요한 기술이며, 높은 집적도와 광대역폭 시스템 설계를 위해서 TSV에 대한 전기적인 특성에 관한 연구가 매우 중요하다. 따라서 본 연구에서는 Full-chip 3D IC를 설계하기 위한 목적으로 다중 TSV-to-TSV에서 거리와 주파수에 따른 TSV의 임피던스 영향을 해석하였다. 또한 이 연구 결과는 Full-chip 3D IC를 제조하기 위한 반도체 공정과 설계 툴에 적용할 수 있다.

A 77GHz MMIC Transceiver Module for Automotive Forward-Looking Radar Sensor

  • 강동민;홍주영;심재엽;윤형섭;이경호
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2006년도 하계종합학술대회
    • /
    • pp.609-610
    • /
    • 2006
  • A 77GHz MMIC transceiver module consisting of a power amplifier, a low noise amplifier, a drive amplifier, a frequency doubler and a down-mixer has been developed for automotive forward-looking radar sensor. The MMIC chip set was fabricated using $0.15{\mu}m$ gate-length InGaAs/InAlAs/GaAs mHEMT process based on 4-inch substrate. The power amplifier demonstrated a measured small signal gain of over 20dB from $76{\sim}77GHz$ with 15.5dBm output power. The chip size is $2mm{\times}2mm$. The low noise amplifier achieved a gain of 20dB in a band between $76{\sim}77\;GHz$ with an output power of 10dBm. The chip size is $2.2mm{\times}2mm$. The driver amplifier exhibited a gain of 23dB over a $76{\sim}77\;GHz$ band with an output power of 13dBm. The chip size is $2.1mm{\times}2mm$. The frequency doubler achieved an output power of -16dBm at 76.5GHz with a conversion gain of -16dB for an input power of 10dBm and a 38.25GHz input frequency. The chip size is $1.2mm{\times}1.2mm$. The down-mixer demonstrated a measured conversion gain of over -9dB. The chip size is $1.3mm{\times}1.9mm$. The transceiver module achieved an output power of 10dBm in a band between $76{\sim}77GHz$ with a receiver P1dB of -28dBm. The module size is $8{\times}9.5{\times}2.4mm^3$. This MMIC transceiver module is suitable for the 77GHz automotive radar systems and related applications in W-band.

  • PDF

3차원 Hybrid IC 배치를 위한 기둥첩 블록의 층할당 (Layer Assignment of Functional Chip Blocks for 3-D Hybrid IC Planning)

  • 이평한;경종민
    • 대한전자공학회논문지
    • /
    • 제24권6호
    • /
    • pp.1068-1073
    • /
    • 1987
  • Traditional circuit partitioning algorithm using the cluster development method, which is suitable for such applications as single chip floor planning or multiple layer PCB system placement, where the clusters are formed so that inter-cluster nets are localized within the I/O connector pins, may not be appropriate for the functiona block placement in truly 3-D electronic modules. 3-D hybrid IC is one such example where the inter-layer routing as well as the intra-layer routing can be maximally incorporated to reduce the overall circuit size, cooling requirements and to improve the speed performance. In this paper, we propose a new algorithm called MBE(Minimum Box Embedding) for the layer assignment of each functional block in 3-D hybrid IC design. The sequence of MBE is as follows` i) force-directed relaxation in 3-D space, ii) exhaustive search for the optimal orientation of the slicing plane and iii) layer assignment. The algorithm is first explaines for a 2-D reduced problem, and then extended for 3-D applications. An example result for a circuit consisting of 80 blocks has been shown.

  • PDF

Implementation of Single-Wire Communication Protocol for 3D IC Thermal Management Systems using a Thin Film Thermoelectric Cooler

  • Kim, Nam-Jae;Lee, Hyun-Ju;Kim, Shi-Ho
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제12권1호
    • /
    • pp.18-23
    • /
    • 2012
  • We propose and implement a single-wire communication protocol for thermal management systems using thin film thermoelectric modules for 3D IC cooling. The proposed single-wire communication protocol connects the temperature sensors, located near hot spots, to measure the local temperature of the chip. A unique ID number identifying the location of each hot spot is assigned to each temperature sensor. The prototype chip was fabricated by a $0.13{\mu}m$ CMOS MPW process, and the operation of the chip is verified.

Voltage Optimization of Power Delivery Networks through Power Bump and TSV Placement in 3D ICs

  • Jang, Cheoljon;Chong, Jong-Wha
    • ETRI Journal
    • /
    • 제36권4호
    • /
    • pp.643-653
    • /
    • 2014
  • To reduce interconnect delay and power consumption while improving chip performance, a three-dimensional integrated circuit (3D IC) has been developed with die-stacking and through-silicon via (TSV) techniques. The power supply problem is one of the essential challenges in 3D IC design because IR-drop caused by insufficient supply voltage in a 3D chip reduces the chip performance. In particular, power bumps and TSVs are placed to minimize IR-drop in a 3D power delivery network. In this paper, we propose a design methodology for 3D power delivery networks to minimize the number of power bumps and TSVs with optimum mesh structure and distribute voltage variation more uniformly by shifting the locations of power bumps and TSVs while satisfying IR-drop constraint. Simulation results show that our method can reduce the voltage variation by 29.7% on average while reducing the number of power bumps and TSVs by 76.2% and 15.4%, respectively.

3차원 집적회로 반도체 칩 기술에 대한 경향과 전망 (Trend and Prospect for 3Dimensional Integrated-Circuit Semiconductor Chip)

  • 권용재
    • Korean Chemical Engineering Research
    • /
    • 제47권1호
    • /
    • pp.1-10
    • /
    • 2009
  • 작은 크기의 고기능성 휴대용 전자기기 수요의 급증에 따라 기존에 사용되던 수평구조의 2차원 칩의 크기를 줄이는 것은, 전기 배선의 신호지연 증가로 한계에 도달했다. 이러한 문제를 해결하기 위해 칩들을 수직으로 적층한 뒤, 수평 구조의 긴 신호배선을 짧은 수직 배선으로 만들어 신호지연을 최소화하는 3차원 칩 적층기술이 새롭게 제안되었다. 3차원 칩의 개발을 위해서는 기존에 사용되던 반도체 공정들뿐 아니라 실리콘 관통 전극 기술, 웨이퍼 박화 기술, 웨이퍼 정렬 및 본딩 기술 등의 새로운 공정들이 개발되어야 하며 위 기술들의 표준 공정을 개발하기 위한 노력이 현재 활발히 진행되고 있다. 현재까지 4~8개의 단일칩을 수직으로 적층한 DRAM/NAND 칩, 및 메모리 칩과 CPU 칩을 한꺼번에 적층한 구조의 성공적인 개발 결과가 보고되었다. 본 총설에서는 이러한 3차원 칩 적층의 기본 원리와 구조, 적층에 필요한 중요 기술들에 대한 소개, 개발 현황 및 앞으로 나아갈 방향에 대해 논의하고자 한다.

Machine Learning Based Variation Modeling and Optimization for 3D ICs

  • Samal, Sandeep Kumar;Chen, Guoqing;Lim, Sung Kyu
    • Journal of information and communication convergence engineering
    • /
    • 제14권4호
    • /
    • pp.258-267
    • /
    • 2016
  • Three-dimensional integrated circuits (3D ICs) experience die-to-die variations in addition to the already challenging within-die variations. This adds an additional design complexity and makes variation estimation and full-chip optimization even more challenging. In this paper, we show that the industry standard on-chip variation (AOCV) tables cannot be applied directly to 3D paths that are spanning multiple dies. We develop a new machine learning-based model and methodology for an accurate variation estimation of logic paths in 3D designs. Our model makes use of key parameters extracted from existing GDSII 3D IC design and sign-off simulation database. Thus, it requires no runtime overhead when compared to AOCV analysis while achieving an average accuracy of 90% in variation evaluation. By using our model in a full-chip variation-aware 3D IC physical design flow, we obtain up to 16% improvement in critical path delay under variations, which is verified with detailed Monte Carlo simulations.

Research Needs for TSV-Based 3D IC Architectural Floorplanning

  • Lim, Sung Kyu
    • Journal of information and communication convergence engineering
    • /
    • 제12권1호
    • /
    • pp.46-52
    • /
    • 2014
  • This article presents key research needs in three-dimensional integrated circuit (3D IC) architectural floorplanning. Architectural floorplaning is done at a very early stage of 3D IC design process, where the goal is to quickly evaluate architectural designs described in register-transfer level (RTL) in terms of power, performance, and reliability. This evaluation is then fed back to architects for further improvement and/or modifications needed to meet the target constraints. We discuss the details of the following research needs in this article: block-level modeling, through-silicon-via (TSV) insertion and management, and chip/package co-evaluation. The goal of block-level modeling is to obtain physical, power, performance, and reliability information of architectural blocks. We then assemble the blocks into multiple tiers while connecting them using TSVs that are placed in between hard IPs and inside soft IPs. Once a full-stack 3D floorplanning is obtained, we evaluate it so that the feedback is provided back to architects.

High Speed InP HBT Driver Ie For Laser Modulation

  • Sung Jung Hoon;Burm Jin Wook
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2004년도 학술대회지
    • /
    • pp.883-884
    • /
    • 2004
  • High-speed IC for time-division multiplexing (TDM) optical transmission systems have been designed and fabricated by using InP heterojunction-bipolar-transistor (HBT) technology. The driver IC was developed for driving external modulators, featuring differential outputs and the operation speed up to 10 Gbps with an output voltage swing of 1.3 Vpp at each output which was the limit of the measurement. Because -3 dB frequency was 20GHz, this circuit will be operated up to 20Gbps. 1.3Vpp differential output was achieved by switching 50 mA into a 50 $\Omega$ load. The power dissipation of the driver IC was 1W using a single supply voltage of -3.5Y. Input md output return loss of the IC were better than 10 dB and 15 dB, respectively, from DC to 20GHz. The chip size of fabricated IC was $1.7{\Box}1.2 mm^{2}$.

  • PDF

GHz EMI Characteristics of 3D Stacked Chip PDN with Through Silicon Via (TSV) Connections

  • Pak, Jun-So;Cho, Jong-Hyun;Kim, Joo-Hee;Kim, Ki-Young;Kim, Hee-Gon;Lee, Jun-Ho;Lee, Hyung-Dong;Park, Kun-Woo;Kim, Joung-Ho
    • Journal of electromagnetic engineering and science
    • /
    • 제11권4호
    • /
    • pp.282-289
    • /
    • 2011
  • GHz electromagnetic interference (EMI) characteristics are analyzed for a 3dimensional (3D) stacked chip power distribution network (PDN) with through silicon via (TSV) connections. The EMI problem is mostly raised by P/G (power/ground) noise due to high switching current magnitudes and high PDN impedances. The 3D stacked chip PDN is decomposed into P/G TSVs and vertically stacked capacitive chip PDNs. The TSV inductances combine with the chip PDN capacitances produce resonances and increase the PDN impedance level in the GHz frequency range. These effects depend on stacking configurations and P/G TSV designs and are analyzed using the P/G TSV model and chip PDN model. When a small size chip PDN and a large size chip PDN are stacked, the small one's impedance is more seriously affected by TSV effects and shows higher levels. As a P/G TSV location is moved to a corner of the chip PDNs, larger PDN impedances appear. When P/G TSV numbers are enlarged, the TSV effects push the resonances to a higher frequency range. As a small size chip PDN is located closer to the center of a large size chip PDN, the TSV effects are enhanced.