Trend and Prospect for 3Dimensional Integrated-Circuit Semiconductor Chip

3차원 집적회로 반도체 칩 기술에 대한 경향과 전망

  • Kwon, Yongchai (Department of Chemical and Environmental Technology, Inha Technical College)
  • 권용재 (인하공업전문대학 화공환경과)
  • Received : 2009.01.13
  • Accepted : 2009.02.02
  • Published : 2009.02.28

Abstract

As a demand for the portable device requiring smaller size and better performance is in hike, reducing the size of conventionally used planar 2 dimensional chip cannot be a solution for the enhancement of the semiconductor chip technology due to an increase in RC delay among interconnects. To address this problem, a new technology - "3 dimensional (3D) IC chip stack" - has been emerging. For the integration of the technology, several new key unit processes (e.g., silicon through via, wafer thinning and wafer alignment and bonding) should be developed and much effort is being made to achieve the goal. As a result of such efforts, 4 and 8 chip-stacked DRAM and NAND structures and a system stacking CPU and memory chips vertically were successfully developed. In this article, basic theory, configurations and key unit processes for the 3D IC chip integration, and a current tendency of the technology are explained. Future opportunities and directions are also discussed.

작은 크기의 고기능성 휴대용 전자기기 수요의 급증에 따라 기존에 사용되던 수평구조의 2차원 칩의 크기를 줄이는 것은, 전기 배선의 신호지연 증가로 한계에 도달했다. 이러한 문제를 해결하기 위해 칩들을 수직으로 적층한 뒤, 수평 구조의 긴 신호배선을 짧은 수직 배선으로 만들어 신호지연을 최소화하는 3차원 칩 적층기술이 새롭게 제안되었다. 3차원 칩의 개발을 위해서는 기존에 사용되던 반도체 공정들뿐 아니라 실리콘 관통 전극 기술, 웨이퍼 박화 기술, 웨이퍼 정렬 및 본딩 기술 등의 새로운 공정들이 개발되어야 하며 위 기술들의 표준 공정을 개발하기 위한 노력이 현재 활발히 진행되고 있다. 현재까지 4~8개의 단일칩을 수직으로 적층한 DRAM/NAND 칩, 및 메모리 칩과 CPU 칩을 한꺼번에 적층한 구조의 성공적인 개발 결과가 보고되었다. 본 총설에서는 이러한 3차원 칩 적층의 기본 원리와 구조, 적층에 필요한 중요 기술들에 대한 소개, 개발 현황 및 앞으로 나아갈 방향에 대해 논의하고자 한다.

Keywords

References

  1. Moore, G. E., 'Progress in Digital Integrated Electronics,' IEEE Int'l Electron Device Meeting Tech. Digest, IEEE Press, 11-13 (1975)
  2. Davis, J. A., Venkatesan, R., Kaloyeros, A., Beylansky, M., Souri, S. J., Banerjee, K., Saraswat, K. C., Rahman, A., Reif, R. and Meindl, J. D., 'Interconnect Limits on Gigascale Integration (GSI) in the $21^{st}$Century,' Proc. IEEE, 89(3), 305-324(2001) https://doi.org/10.1109/5.915376
  3. Meindl, J. D., 'Beyond Moore's Law: The Interconnect Era,' Computing in Science & Technology, 5(1), 20-24(2003) https://doi.org/10.1109/MCISE.2003.1166548
  4. Frank, D. J., Dennard, R. H., Nowak, E., Solomon, P. M., Taur, Y. and Wong, H. S. P., "Device Scaling Limits of Si MOSFETs and Their Application Dependencies," Proc. IEEE, 89(3), 259- 288(2001) https://doi.org/10.1109/5.915374
  5. 5. Meindl, J. D. and Davis, J. A., "The Fundamental Limits on Binary Switching Energy for Terascale Integration," IEEE J. Solid State Circuits, 35(10), 1515-1516(2000) https://doi.org/10.1109/4.871332
  6. Yamazaki, K., Itoh, Y., Wada, A., Morimoto, K. and Tomita, Y., '4-Layer 3D-IC Technologies for Parallel Signal Processing,' IEEE Int'l Electron Device Meeting Tech. Digest, IEEE Press, 599-602(1990) https://doi.org/10.1109/IEDM.1990.237127
  7. Xue, L., Liu, C. C., Kim, H. S., Kim, S. and Tiwary, S., "Three Dimensional Integration: Technology, Use, and Issues for Mixed-Signal Applications," IEEE Trans. On Electron. Device, 50(3), 601-609(2003) https://doi.org/10.1109/TED.2003.810465
  8. Davis, J. A., De, V. K. and Meindl, J. D., "A Stochastic Wire- Length Distribution for Gigascale Integration (GSI) - Part II: Chip Size Estimation," IEEE Trans. On Electron. Device, 45(3), 590-597(1998) https://doi.org/10.1109/16.661220
  9. Rahman, A. and Reif, R., 'Thermal Analysis of Three Dimensional(3-D) Integrated Circuits(ICs),' Proc. Of the Interconnect Technology Conf., 157-159(2001) https://doi.org/10.1109/IITC.2001.930045
  10. Meindl, J. D., 'Beyond Moore's Law: The Interconnect Era,' Computing in Science & Technology, 5(1), 20-24(2003) https://doi.org/10.1109/MCISE.2003.1166548
  11. Gutmann, R. J., Steigerbald, J. M., You, L., Price, D. T., Neirynck, J., Duquette, D. J. and Murarka, S. P., "Chemical-Mechanical Polishing of Copper with Oxide and Polymer Interlevel Dielectrics," Thin Solid Films, 270(1-2), 596-600(1995) https://doi.org/10.1016/0040-6090(95)06717-5
  12. Steigerbald, J. M., Murarka, S. P. and Gutmann, R. J., Chemical- Mechanical Polishing of Microelectronics Materials, John Wiley & Sons Inc., New York(1997)
  13. Murarka, S. P., Verner, I. V. and Gutmann, R. J., Copper Fundamentals for Microelectronic Applications, John Wiley & Sons Inc., New York(1997)
  14. Borst, C. L., Thakurta, D. G., Gill, W. N. and Gutmann, R. J., "Chemical-Mechanical Polishing Mechanisms of Low-K Polymers in Copper Slurries," J. Electrochem. Soc., 146(11), 4309-4314(1999) https://doi.org/10.1149/1.1392632
  15. Hau-Riege, S. P., "Probabilistic Immortality of Cu Damascene Interconnects," J. Appl. Phys., 91(4), 2014-2022(2002) https://doi.org/10.1063/1.1436562
  16. Lee, K.-D., Ogawa, E. T., Matsuhashi, H., Justison, P. R., Ko, K.-S. and Ho, P. S., 'Electromigration Critical Length Effect in Cu/Oxide Dual-Damascene Interconnects,' Appl. Phys. Lett., 79(12), 3236-3238(2001) https://doi.org/10.1063/1.1418034
  17. Loke, A., Wetzel, J., Townsend, P., Tanabe, T., Vrtis, R., Zussmann, M., Kumar, D., Ryu, C. and Wong, S., "Kinetics of Copper Drift in Low-K Polymer Interlevel Dielectrics," IEEE Trans. On Elect. Dev., 46, 2178-2187(1999) https://doi.org/10.1109/16.796294
  18. Hau-Riege, C. P. and Thompson, C. V., 'Use of Scanned Laser Annealing to Control the Bamboo Grain Length of Cu Interconnects,' Appl. Phys. Lett., 77(10), 352-354(2000) https://doi.org/10.1063/1.126973
  19. Mallikarjunan, A., Murarka, S. P. and Lu, T.-M., "Metal Drift Behavior in Low-K Organosiloxane Dielectric," Appl. Phys. Lett., 79(12), 1855-1857(2001) https://doi.org/10.1063/1.1404408
  20. Mallikarjunan, A., Murarka, S. P. and Lu, T.-M., 'Mobile Ion Detection in Organosiloxane Polymer using Triangular Voltage Sweep,' J. Electrochem. Soc., 149(4), F155-F160(2002) https://doi.org/10.1149/1.1507596
  21. Reif, R., Fan, A., Chen, K.-N. and Das, S., 'Fabrication Technologies for Three-Dimensional Integrated Circuits,' Proc. ISQED, 33-37(2002) https://doi.org/10.1109/ISQED.2002.996687
  22. Wu, X., Chan, P. C.-H., Zhang, S., Feng, C. and Chan, M., "A Three-Dimensional Stacked Fin-CMOS Technology for High-Density ULSI Circuits," IEEE Trans. On Electron. Device, 52(9), 1998-2003(2005) https://doi.org/10.1109/TED.2005.854267
  23. Nowak, E. J., Rainey, B. A., Fried, D. M., Kedzierski, J., Ieong,M., Leipold, W., Wright, J. and Breitwisch, M., 'A Functional FinFET-DGCMOS SRAM Cell,' IEEE Int'l Electron Device Meeting Tech. Digest, 411-414(1990) https://doi.org/10.1109/IEDM.2002.1175866
  24. Guarini, K. W., Topol, A. W., Ieong, M., Yu, R., Shi, L., Newport, M. R., Frank, D. J., Singh, D. V., Cohen, G. M., Nitta, S. V., Boyd, D. C., O'Neil, P. A., Tempest, S. L., Pogge, H. B., Purushothaman, S. and Haensch, W. E., "Electrical Integrity of State-Of-The-Art 0.13 um SOI CMOS Devices and Circuits Transferred for 3D IC Fabrication," Dig. Int'l Elect. Dev. Meeting, 943-945(2002)
  25. Rahman, A., Fan, A., Chung, J. and Reif, R., 'Comparison of Key Performance Metrics in Two- and Three-Dimensional Integrated Circuits,' 2000 IEEE Int'l Interconnect Technol. Conf., 18- 20(2000) https://doi.org/10.1109/IITC.2000.854268
  26. Souri, S. J. and Saraswat, K. C., 'Interconnect Performance Modeling for 3D Integrated Circuits with Multiple Si Layers,' 1999 IEEE Int'l Interconnect Technol. Conf., 24-26(1999) https://doi.org/10.1109/IITC.1999.787067
  27. Lee, K. W., Nakamura, T., Ono, T., Yamada, Y., Mizukusa, T., Park, K.T., Kurino, H. and Koyanagi, M., 'Three-Dimensional Shared Memory Fabricated using Wafer Stacking Technology', Dig. Int'l Elect. Dev. Meeting, 165-167(2000) https://doi.org/10.1109/IEDM.2000.904284
  28. Kwon, Y., "Wafer Bonding for 3D Integration," Ph.D. Thesis, Rensselaer Polytechnic Institute, Troy, NY(2003)
  29. Kwon, Y., Jindal, A., McMahon, J. J., Lu, J.-Q., Gutmann, R. J. and Cale, T. S., 'Dielectric Glue Wafer Bonding for 3D Ics,' Mater. Res. Soc. Symp. Proc., 766, 27-32(2003)
  30. Lu, J.-Q., Kwon, Y., Kraft, R. P., Gutmann, R. J., McDonald, J. F. and Cale, T. S., 'Stacked Chip-to-Chip Interconnections using Wafer Bonding Technology with Dielectric Bonding Glues,' 2001 IEEE Int'l Interconnect Technol. Conf., 219-221(2001) https://doi.org/10.1109/IITC.2001.930066
  31. Burns, J. A., Aull, B. F., Chen, C. K., Keast, C. L., Knecht, J. M., Suntharalingam, V., Warner, K., Wyatt, P. W. and Yost, D.-R. W., "A Wafer-Scale 3-D Circuit Integration Technology," IEEE Trans. On Electron. Device, 53(10), 2507-2516(2006) https://doi.org/10.1109/TED.2006.882043
  32. Akasaka, Y., “Three Dimensional IC Trends,” Proc. IEEE, 74, 1703-1714(1986) https://doi.org/10.1109/PROC.1986.13686
  33. Garrou, P., Bower, C. A. and Ramm, P., Handbook of 3D Integration, Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim (2008)
  34. Laermer, F. and Schilp, A., "Method for Anisotropic Plasma Etching of Substrates," US Patent 5,498,312(1996)
  35. Laermer, F. and Schilp, A., "Method for Anisotropically Etching Silicon,"US Patent 5,501,893(1996)
  36. Luft, A., Franz, U., Emsermann, A. and Kaspar, J., "A Study of Thermal and Mechanical Effects on Materials Induced by Pulsed Laser Drilling," Appl. Phys. A, 63(2), 93-101(1996) https://doi.org/10.1007/BF01567635
  37. Gan, E. K. W., Zheng, H. Y. and Lim, G. C., 'Laser Drilling of Micro-Vias in PCB Substrates,' Electronics Packaging Technology Conference(ECTC), 321-326(2000)
  38. Tezcan, D. S., De Munck, K., Pham, N., Luhn, O., Aarts, A., De Moor, P., Baert, K. and Van Hoof, C., 'Development of Vertical and Tapered Via Etch for 3D Through Wafer Interconnect Technology," Electronics Packaging Technology Conference(ECTC), 22-28(2006)
  39. Worwag, W. and Dory, T., 'Copper Via Plating in Three Dimentsional Interconnects,' Electronics Packaging Technology Conference(ECTC), 842-846(2007)
  40. Kondo, K., Yonezawa, T., Mikami, D., Okubo, T., Taguchi, Y., Takahashi, K. and Barkey, D. P., “High Aspect Ratio Copper Via Filling for Three Dimentsional Chip Stacking: Reduced Electrodeposition Process Time,” J. Electrochem. Soc., 152(11), H173-H177 (2005) https://doi.org/10.1149/1.2041047
  41. Takahashi, K. and Gross, M., "Transport Phenomena That Control Electroplated Copper Filling of Submicron Vias and Trenches," J. Electrochem. Soc., 146(12), 4499-4503(1999) https://doi.org/10.1149/1.1392664
  42. Ramm, P. and Klumpp, A., 'Through-Silicon Via Technologies for Extreme Miniaturized 3D Integrated Wireless Sensor Systems(e-CUBES),' 2008 IEEE Int'l Interconnect Technol. Conf.,7-9(2008)
  43. Lee, K. W., Nakamura, T., Sakuma, K., Park, K. T., Shimazutsu, N., Miyakawa, N., Kim, K. Y., Kurino, H. and Koyanagi, M., 'Development of Three Dimensional Integration Technology for Highly Parallel Image-Processing Chip,' Jpn. J. Appl. Phys., 39(4B), 2473-2477(2000) https://doi.org/10.1143/JJAP.39.2473
  44. Bonitz, J., Schulz, S. E. and Gessner, T., "Ultra Thin CVD TiN Layers as Diffusion Barrier Films on Porous Low-K Materials," Microelectronic Engineering, 76(1-4), 82-88(2004) https://doi.org/10.1016/j.mee.2004.07.025
  45. Voss, S., Gandikota, S., Chen, L.-Y., Tao, L., Cong, D., Duboust, A., Yoshida, N. and Ramaswami, S., "Chemical Studies of CVD Cu Depositied on Ta and TaN Barriers under Various Process Conditions," Microelectronic Engineering, 50(1-4), 501-508(2000) https://doi.org/10.1016/S0167-9317(99)00383-4
  46. Ko, Y. K., Seo, B. S., Park, D. S., Yang, W. H., Lee, W. H., Reucroft, P. J. and Lee, J. G., "Additive Vapor Effect on The Conformal Coverage of a High Aspect Ratio Trench using MOCVD Copper Metallization," Semi. Sci. Tech., 17, 978-982(2002) https://doi.org/10.1088/0268-1242/17/9/314
  47. Wimplinger, M., Lu, J.-Q., Yu, J., Kwon, Y., Matthias, T., Cale, T. S. and Gutmann, R. J., 'Fundamental Limits for 3D Wafer-to- Wafer Alignment Accuracy,' Mater. Res. Soc. Symp. Proc., 812, 309-314(2004)
  48. Lindner, P., Brubaker, C., Glinsner, T., Schaefer, C. and Tischler, M., "3D Interconnects Through Wafer Level Bonding," Semicon Taiwan(2001)
  49. Stengl, R., Tan, T. and Gosele, U., 'A Model for The Silicon Wafer Bonding Process,' Jpn. J. Appl. Phys., 28(10), 1735-1741 (1989) https://doi.org/10.1143/JJAP.28.1735
  50. Tong, Q.-Y. and Gosele, U., Semiconductor Wafer Bonding, Wiley, Weinheim (1999)
  51. Turner, K. T. and Spearing, S. M., 'Modeling of Direct Wafer Bonding: Effects of Wafer Bow and Etch Patterns,' J. Appl. Phys., 92(4), 7568-7666(2002) https://doi.org/10.1063/1.1521792
  52. Henttinen, S. T., Lipsanen, K., Dekkar, A., Luoto, H. and Kulwaski, M., "Wafer Scale Packaging of MEMS by Using Plasma- Activated Wafer Bonding," J. Electrochem. Soc., 153(1), G78-G82 (2006) https://doi.org/10.1149/1.2135209
  53. Viorel, D., Mittendorfer, G., Christine, T. and Lindner, P., “Wafer- Level Plasma-Activated Bonding: New Technology for MEMS Fabrication,” Microsystem Technologies, 14(4-5), 509-515(2008) https://doi.org/10.1007/s00542-007-0437-7
  54. Niklaus, F., Stemme, G., Lu, J.-Q. and Gutmann, R. J., 'Adhesive Wafer Bonding,' J. Appl. Phys., 99(1), 031101.1-031101.28 (2006)
  55. Kwon, Y., Seok, J., Lu, J.-Q., Cale, T. S. and Gutmann, R. J., 'A Study on the Bond Strength of BCB-Bonded Wafers,' Korean Chem. Eng. Res., 45(5), 479-486(2007)
  56. Kwon, Y. and Seok, J., “An Evaluation Process of Polymeric Adhesive Wafer Bonding for Vertical System Integration,” Jpn. J. Appl. Phys., 44(6A), 3893-3902(2005) https://doi.org/10.1143/JJAP.44.3893