• Title/Summary/Keyword: 캐시메모리

Search Result 242, Processing Time 0.022 seconds

An Efficient Address Mapping Table Management Scheme for NAND Flash Memory File System Exploiting Page Address Cache (페이지 주소 캐시를 활용한 NAND 플래시 메모리 파일시스템에서의 효율적 주소 변환 테이블 관리 정책)

  • Kim, Cheong-Ghil
    • Journal of Digital Contents Society
    • /
    • v.11 no.1
    • /
    • pp.91-97
    • /
    • 2010
  • Flash memory has been used by many digital devices for data storage, exploiting the advantages of non-volatility, low power, stability, and so on, with the help of high integrity, large capacity, and low price. As the fast growing popularity of flash memory, the density of it increases so significantly that its entire address mapping table becomes too big to be stored in SRAM. This paper proposes the associated page address cache with an efficient table management scheme for hybrid flash translation layer mapping. For this purpose, all tables are integrated into a map block containing entire physical page tables. Simulation results show that the proposed scheme can save the extra memory areas and decrease the searching time with less 2.5% of miss ratio on PC workload and can decrease the write overhead by performing write operation 33% out of total writes requested.

An Active Prefetch Filtering Schemes using Exclusive Prefetch Cache (선인출 전용 캐시를 이용한 적극적 선인출 필터링 기법)

  • Chon Young-Suk;Kim Suk-il;Jeon Joong-nam
    • The KIPS Transactions:PartA
    • /
    • v.12A no.1 s.91
    • /
    • pp.41-52
    • /
    • 2005
  • Memory reference instruction caused by cache miss is the critical factor that limits the processing power of processor. Cache prefetching technique is an effective way to reduce the latency due to memory access. However, excessively aggressive prefetch leads to cache pollution and finally to cancel out the advantage of prefetch. In this study, an active prefetch filtering scheme is introduced which dynamically decides whether to commence prefetching after referring a filtering table to reduce the cache pollution due to unnecessary prefetches. For the precision filtering, an evicted address referencing scheme has been proposed where the filter directly compares the current prefetch address with previous unnecessary prefetch addresses stored in filtering table. Moreover, a small sized exclusive prefetch cache has been introduced to increase the amount of eviction of unnecessarily prefetched addresses to enhance the accuracy of dynamic filtering. The exclusive prefetch cache also prevents useful demand data from being pushed out by prefetched data, while the evicted address direct referencing scheme enables the prefetch cache to keep most of useful prefetch data within its small size. Experimental results from commonly used general and multimedia benchmarks show that the average cache miss ratio has been decreased by $13.3{\%}$ by virtue of enhanced filtering accuracy compared with conventional schemes.

Performance Analysis of Parity Cache enabled RAID Level 5 for DDR Memory Storage Device (패리티 캐시를 이용한 DDR 메모리 저장 장치용 RAID 레벨 5의 성능 분석)

  • Gu, Bon-Gen;Kwak, Yun-Sik;Cheong, Seung-Kook;Hwang, Jung-Yeon
    • Journal of Advanced Navigation Technology
    • /
    • v.14 no.6
    • /
    • pp.916-927
    • /
    • 2010
  • In this paper, we analyze the performance of the parity cache enabled RAID level-5 via the simulation. This RAID system consists of the DDR memory-based storage devices. To do this, we develop the simulation model and suggest the basic performance analysis data which we want to get via the simulation. And we implement the simulator based on the simulation model and execute the simulator. From the result of the simulation, we expect that the parity cache enabled RAID level-5 configured by the DDR memory based storage devices has the positive effectiveness to the enhancing of the storage system performance if the storage access patterns of applications are tuned.

Cooperative Caching of Web Server Cluster for Improving Cache Hit Rate (캐시 적중률 향상을 위한 웹 서버 클러스터의 협력적 캐싱)

  • 김희규;최창열;박기진;김성수
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2003.04d
    • /
    • pp.563-565
    • /
    • 2003
  • 최근 클러스터에 대한 연구는 내용 기반 클러스터의 부하 분배와 캐시 정책에 집중되고 있다. 본 논문에서는 웹 서비스의 고가용성 및 확장성을 제공하는 클러스터 환경에서 힌트 기반 협력적 캐싱의 캐시 적중률을 향상시키기 위해 기존의 DFR 기법을 개선하였다. 서비스 접근 확률을 이용하여 주 복사본과 종속 복사본을 선택적으로 제거하는 메모리 교체 방법을 제시하였으며, DFR 방식과 성능을 비교, 분석한 결과 DFR 방식보다 적은 디스크 접근률을 얻을 수 있었다.

  • PDF

Sensitivity Analysis of Cache Coherence Protocol for Hierarchical-Bus Multiprocessor (계층버스 다중처리기에서 캐시 일관성 프로토콜의 민감도 분석)

  • Lee, Heung-Jae;Choe, Jin-Kyu;Ki, Jang-Geun;Lee, Kyou-Ho
    • Journal of IKEEE
    • /
    • v.8 no.2 s.15
    • /
    • pp.207-215
    • /
    • 2004
  • In a hierarchical-bus multiprocessor system, cache coherence protocol has effect on system performance. Under a particular cache coherence protocol, system performance can be affected by bus bandwidth, memory size, and memory block size. Therefore sensitivity analysis is necessary for the part of multiprocessor system. In this paper, we set up cache coherence protocol for hierarchical-bus multiprocessor system, and compute probability of state of protocol, and analyze sensitivity for part of system by simulation.

  • PDF

Reducing Power Consumption of Data Caches for Embedded Processors (임베디드 프로세서를 위한 선인출 데이터캐시의 저전력화 방안)

  • Moon, Hyun-Ju;Jee, Sung-Hyun
    • Journal of the Institute of Electronics Engineers of Korea CI
    • /
    • v.44 no.1
    • /
    • pp.1-9
    • /
    • 2007
  • Since data caches used in modern embedded processors consume significant fraction of total processor power up to 40%, embedded processors need power-efficient high performance data caches. This paper proposes a prefetching data cache structure which pursuing low power consumption. We added tag history table on existing data cache structure which includes hardware unit for data prefetching so that reduce the number of parallel lookup on tag memory. This strategic cache structure remarkably reduces power consumption for parallel tag lookup. Experimental results show that the proposed cache architecture induce low power consumption while maintain the same cache performance.

A Cache Management Scheme for Effective Processing of Continuous Partial Match Queries in Mobile Computing Environments (이동 컴퓨팅 환경에서 연속 부분 부합 질의의 효과적인 처리를 위한 캐시 관리 방안)

  • Jeong, Yeon-Don;Lee, Ji-Yeon;Lee, Yun-Jun;Kim, Myeong-Ho
    • Journal of KIISE:Databases
    • /
    • v.28 no.2
    • /
    • pp.253-265
    • /
    • 2001
  • 본 논문은 이동 컴퓨팅 환경에서 연속 부분 질의의 효과적인 처리를 위한 캐시 관리 방안을 제안한다. 연속 부분 부합 질의란 질의의 결과가 클라이언트의 메모리에 일관성을 유지하면서 지속되는 부분 부합 질의이다. 기존의 이동 환경을 위한 캐시 관리 기법은 레코드 식별자를 기반으로 하는 방법들이다. 하지만, 부분 부합 질의는 데이터의 내용을 기반으로 탐색하는 질의이기 때문에 이러한 레코드 식별자를 기반으로 하는 방법들은 캐시 관리를 효율적으로 할 수 없다. 제안하는 캐시 관리 방안에서는, 이동 클라이언트의 캐시 상태를 프레디킷(predicate)으로 기술하고, 서버가 캐시 관리를 위해 클라이언트에게 방송하는 캐시 무효화 정보, 즉 Cache Invalidation Reports(CIR)을 프레디킷으로 구성한다. 이러한 프레디킷 표현을 사용하여, 일련의 캐시 관리 기법-억지 방법(the brute-force method), 빼기 방법(the subtraction method), 교차 방법(the intersection method)-들을 제안한다. 그리고, 제안하는 방법의 계산 복잡도를 계산한다.

  • PDF

Shadow Block: Guaranteeing Atomicity of Block I/O in Storage Class Memory and Cache issue (새도우 블록: 스토리지 클래스 메모리의 블록 입출력 원자성 보장 및 캐시 이슈)

  • Choi, Jeongheon;Jung, Jaemin;Won, Youjip
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2009.11a
    • /
    • pp.235-236
    • /
    • 2009
  • 비휘발성 나노 저장 소자는 고속의 바이트 단위 접근성과 함께 비휘발성을 동시에 갖고 있다. 이와 같은 특징은 차세대 장치로 주목 받을 만큼 오늘날의 컴퓨터 구조에 큰 변화를 줄 수 있는 잠재력을 갖고 있으며 이를 접목한 시스템적인 개발 역시 활발하게 진행되고 있다. 본 논문에서는 기존의 메인 메모리와 나노 저장 소자가 융합된 스토리지 메모리 클래스(SCM) 환경 하에서 입출력시에 원자성(Atomicity)이 보장되도록 설계, 구현된 새도우 블록 기법을 소개하고, 더불어 캐시를 사용하며 발생할 수 있는 데이터 일관성 처리의 보장을 다루었다. 또한 실제 FRAM이 장착된 하드웨어 환경 하에서 개선된 새도우 블록을 동작하여 측정한 성능 결과를 함께 제공한다.

A Cache-Conscious Compression Index Based on the Level of Compression Locality (압축 지역성 수준에 기반한 캐쉬 인식 압축 색인)

  • Kim, Won-Sik;Yoo, Jae-Jun;Lee, Jin-Soo;Han, Wook-Shin
    • Journal of Korea Multimedia Society
    • /
    • v.13 no.7
    • /
    • pp.1023-1043
    • /
    • 2010
  • As main memory get cheaper, it becomes increasingly affordable to load entire index of DBMS and to access the index. Since speed gap between CPU and main memory is growing bigger, many researches to reduce a cost of main memory access are under the progress. As one of those, cache conscious trees can reduce the cost of main memory access. Since cache conscious trees reduce the number of cache miss by compressing data in node, cache conscious trees can reduce the cost of main memory. Existing cache conscious trees use only fixed one compression technique without consideration of properties of data in node. First, this paper proposes the DC-tree that uses various compression techniques and change data layout in a node according to properties of data in order to reduce cache miss. Second, this paper proposes the level of compression locality that describes properties of data in node by formula. Third, this paper proposes Forced Partial Decomposition (FPD) that reduces the nutter of cache miss. DC-trees outperform 1.7X than B+-tree, 1.5X than simple prefix B+-tree, and 1.3X than pkB-tree, in terms of the number of cache misses. Since proposed DC-trees can be adopted in commercial main memory database system, we believe that DC-trees are practical result.

Improvement in Performance of ATM Network Interface Card and Performance Evaluation (ATM 망 접속 장치의 성능 향상 방법과 성능 평가)

  • Kim, Cheul-Young;Lee, Seung-Ha;Na, Yun-Joo;Nam, Ji-Seung
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2001.10b
    • /
    • pp.1383-1386
    • /
    • 2001
  • Internet 이용자의 급격한 증가와 광대역 통신망(B-ISDN) 구축의 확산에 따라 ATM(Asynchronous Transfer Mode)망 접속장치의 큰 수요가 기대되며, 또한 ATM망 접속장치의 성능 향상도 요구되고 있다. 기존의 연구들은 컴퓨터 프로그램의 메모리에 대한 참조가 지역적이라는 특성을 이용한 가상 메모리의 효율적인 페이지 교체 알고리즘 및 캐쉬 처리 방안들이 진행되어 왔다. 본 논문은 ATM 프로토콜 프로세서를 설계하는데 있어 네트워크 트래픽의 지역성(Locality of Reference)을 고려한 캐쉬 메모리 구조를 적용하여 보다 향상된 ATM 셀 수신이 가능하도록 한다. ATM 셀의 가상 패스 식별자/가상 채널 식별자(VPI/VCI)를 캐쉬 처리함으로써, 패킷을 분해, 재조립(Segmentation and Reassembly)할 때 관련 테이블의 검색 시간을 줄일 수 있다. 캐쉬 메모리 적용으로 인한 성능 향상을 평가하기 위해 ATM NIC 프로세서와 내부 캐시 메모리 그리고, 외부 SRAM 사이에 셀 수신 정보의 Read 와 Write에 드는 시간 비용(System Clock Cycle)을 캐시의 Hit 또는 Miss 등에 따라 구분하고, 이를 기반으로 한 시뮬레이터에 3 종류의 ATM 셀 스트림을 가하여 각각에 대해 평균 셀 처리시간, 데이터 버스의 트래픽 비율 그리고, 히트율의 3가지 평가요소를 측정하고, 비교하였다.

  • PDF