• 제목/요약/키워드: verilog hdl

검색결과 416건 처리시간 0.035초

RF송수신기를 이용한 센서네트워크시스템 구현 (Application of Sensor Network System using by RF Transceiver)

  • 안시현;서영석
    • 한국정보통신학회:학술대회논문집
    • /
    • 한국해양정보통신학회 2007년도 춘계종합학술대회
    • /
    • pp.682-684
    • /
    • 2007
  • 본 논문은 USN 구현을 위한 무선 노드를 실제로 제작하여 센서 네트워크 시스템을 구성하는데 그 목적이 있다. 노드는 Xilinx사의 CPLD와 FPGA, UHF RF 송수신모듈(Bim-433-F), Micronas사의 Hall Sensor로 구현하였으며 CPLD와 FPGA는 ISE를 사용하여 Verilog-HDL로 설계하였다. 네트워크는 PC와 게이트웨이 역할을 하는 싱크노드 1대 그리고 센서 노드 3대로 구성하였다. 개발된 노드들은 다중경로 네트워크를 통해 빌딩 내에서 40m까지 데이터전송이 가능하였다.

  • PDF

효율적인 HDL 디버깅을 위한 아키텍쳐 자동 생성 시스템 (Automatic Visual Architecture Generation System for Efficient HDL Debugging)

  • 문대철;;박인학
    • 한국정보통신학회논문지
    • /
    • 제17권7호
    • /
    • pp.1653-1659
    • /
    • 2013
  • 본 논문은 Verilog HDL이나 VHDL로 설계된 디지털 회로의 구조를 효율적으로 분석하고 디버깅 할 수 있는 ECAD 소프트웨어를 제안한다. 이 소프트웨어는 HDL 코드를 파싱하여 내부 구조에 대한 정보를 추출한 후 여러 가지 종류의 그래픽 도우미 예를 들면, 배치배선 알고리즘을 적용하여 생성된 계층구조의 논리회로도, 각 모듈을 구성하는 요소들을 나타내는 객체 나무 그래픽, 인스턴스들의 계층구조를 나타내는 인스턴스 나무 그래픽, 내부 시그널 간의 관계를 나타내는 시그널 관계도(SPD, signal propagation diagram) 등으로 표현된다. 디버깅에 가장 중요한 기능은 여러 가지 다른 관점의 설계 정보(HDL 코드, 객체 나무, 인스턴스 나무, SPD, 파형 등)에서 임의의 객체로부터 출발하여 동일한 설계 정보를 찾아내는 기능이다. 이러한 기능들은 설계자가 수작업으로 HDL 코드를 분석하고 버그를 찾아내는 기능을 효율적으로 수행할 수 있도록 돕는다.

재구성 가능한 193비트 타원곡선 암호연산 서버 팜의 시스템 레벨 설계 (System Level Design of a Reconfigurable Server Farm of 193-bit Elliptic Curve Crypto Engines)

  • 문상국
    • 한국정보통신학회:학술대회논문집
    • /
    • 한국정보통신학회 2013년도 춘계학술대회
    • /
    • pp.656-658
    • /
    • 2013
  • 새로운 공정 기술의 발달로, 임베디드 시스템을 구성하는 하드웨어와 소프트웨어의 복잡도는 나날이 증가하고 있다. 그 결과로, 현대의 복잡한 반도체 디자인을 전통적인 HDL을 사용한 방식으로 수행한다는 일은 점점 어려워지고 있다. 본 고에서는 SystemVerilog를 기반으로 하는 새로운 시스템 수준의 설계 방식을 적용하여 실제 회로에 구현한다. 기존에 구현한 타원곡선 암호화 엔진을 재사용하여, 시스템 레벨에서 객체 지향 개념을 살려 추상화하고, 이를 이용하여 타원곡선 암호화 서버 팜을 구현한다. 전체 시스템을 하나의 통합 설계 환경에서 성공적으로 구현하여 불필요한 노력과 시간을 50%로 축소하였다. 기존 방법으로 했다면, 하드웨어 설계에 Verilog, 시뮬레이션에 C/SystemC를 사용하여 설계와 검증에 여러 단계의 시간과 노력이 필요했을 것이다.

  • PDF

저면적 디지털 제어 발진기의 양자화 에러 최소화를 위한 추가 서모미터 코드 잠금 기법 (Additional Thermometer Code Locking Technique for Minimizing Quantization Error in Low Area Digital Controlled Oscillators)

  • 강병석;김영식;김신웅
    • 전기전자학회논문지
    • /
    • 제27권4호
    • /
    • pp.573-578
    • /
    • 2023
  • 본 논문에서는 고성능 디지털 위상 고정 루프(DPLL)에 적용 가능한 새로운 잠금 기법을 소개한다. 이 연구는 LC 기반 디지털 제어 발진기(DCO)에서 발생하는 양자화 오류를 줄이기 위해 추가 서모미터 코드를 사용한다. 본 방식은 전체 DCO 코드를 서모미터 방식으로 구현하지 않음에도 불구하고 높은 선형성을 통해 양자화 오류를 감소시킨다. 초기 잠금 단계에서 바이너리 코드를 사용하고, 잠금이 완료되면 서모미터 코드로 전환하여 높은 주파수 대비 선형성과 낮은 지터 특성을 달성한다. 이 접근법은 낮은 DCO 이득(Kdco) 값을 요구하는 응용에서 서모미터 코드만을 사용하는 기존 방식과 비교하여 스위치의 수를 현저히 줄이고 발진기의 면적을 최소화한다. 또한, 지터 특성은 서모미터 코드만을 사용하는 방식과 동일한 수준을 유지한다. SystemVerilog 및 Verilog HDL을 사용한 모델링과 RTL 수준에서의 설계를 통해 이 기법의 효과가 입증되었다.

Verilog PLI와 CSIM을 이용한 상위 단계 구조 설계 및 검증 기법 (High level architecture design and verification using Verilog PLI and CSIM)

  • 최종필;정양훈
    • 한국정보과학회:학술대회논문집
    • /
    • 한국정보과학회 2001년도 봄 학술발표논문집 Vol.28 No.1 (A)
    • /
    • pp.43-45
    • /
    • 2001
  • 본 논문에서는 MPEG 비디오 코어 프로파일 디코더 ASIC 상위 구조 설계를 시스템 수준에서 검증하는 기법을 제시한다. 상위 구조 설계는 RISC 프로세서와 펌웨어 그리고 일반 로직이 병존하는 혼합형 구조라는 것과 설계의 상위 단계라는 특징을 가지고 있기 때문에 Verilog HDL과 CSIM 모델 두 가지 모델이 혼합되어 있다. 통합 환경은 C 언어를 이용한 하드웨어 모델링 기법과 PLI를 통한 프로그래밍 언어와 Verilog의 통합 방법을 이용하여 설계 단계에서 각 블록의 특성에 가장 적합한 모델을 이용하여 동작 검증이 가능하도록 하였다.

이종 곱셈 연산기 서버 팜의 시스템 레벨 설계 (A System Level Design of Heterogeneous Multiplication Server Farms)

  • 문상국
    • 한국정보통신학회:학술대회논문집
    • /
    • 한국정보통신학회 2014년도 춘계학술대회
    • /
    • pp.768-770
    • /
    • 2014
  • 반도체 제조공정의 발전으로 새로운 기술에 대한 수요가 증가하여 임베디드 시스템을 구성하는 하드웨어와 소프트웨어의 설계 복잡도는 나날이 증가하고 있다. 그 결과 전통적인 설계방식으로는 현대 사회가 요구하는 복잡한 정보기기를 설계하기에 한계에 다다랐다. 본 논문에서는 SystemVerilog의 한 종류를 사용하여 맨-파워를 획기적으로 줄이면서 복잡한 하드웨어를 설계하는 새로운 방식을 소개한다. 새로운 설계방식에서는 객체 지향 구현을 바탕으로 하며, 이를 적용하여 기존의 이종 곱셈기 IP를 기본 블록으로 하는 복잡한 이종 곱셈기 서버 팜을 구현하였다. 설계는 단일 환경에서 하드웨어에서 테스트 벤치까지 구현하였다. 새로운 방식을 도입하지 않는다면 본 논문에서 소개하는 이종 곱셈연산기 서버 팜을 구현하는데 HDL 시뮬레이션, C/SystemC 검증에 많은 시간과 맨-파워가 투자되어야 할 것이다.

  • PDF

ChatGPT을 활용한 디지털회로 설계 능력에 대한 비교 분석 (Comparative analysis of the digital circuit designing ability of ChatGPT)

  • 남기훈
    • 문화기술의 융합
    • /
    • 제9권6호
    • /
    • pp.967-971
    • /
    • 2023
  • 최근에는 다양한 플랫폼 서비스가 인공지능을 활용하여 제공되고 있으며, 그 중 하나로 ChatGPT는 대량의 데이터를 자연어 처리하여 자가 학습 후 답변을 생성하는 역할을 수행하고 있다. ChatGPT는 IT 분야에서 소프트웨어 프로그래밍 분야를 포함하여 다양한 작업을 수행할 수 있는데, 특히 프로그램을 대표하는 C언어를 통해 간단한 프로그램을 생성하고 에러를 수정하는데 도움을 줄 수 있다. 이러한 능력을 토대로 C언어를 기반으로 만들어진 하드웨어 언어인 베릴로그 HDL도 ChatGPT에서 원활한 생성이 예상되지만, 베릴로그 HDL의 합성은 명령문들을 논리회로 구조 형태로 생성하는 것이기에 결과물들의 정상적인 실행 여부를 확인해야 한다. 본 논문에서는 용이한 실험을 위해 규모가 적은 논리회로들을 선택하여 ChatGPT에서 생성된 디지털회로와 인간이 만든 회로들의 결과를 확인하려 한다. 실험 환경은 Xilinx ISE 14.7로 모듈들을 모델링하였으며 xc3s1000 FPGA칩을 사용하여 구현하였다. 구현된 결과물을 FPGA의 사용 면적과 처리 시간을 각각 비교 분석함으로써 ChatGPT의 생성물과 베릴로그 HDL의 생성물의 성능을 비교하였다.

고밀도 광 기록 장치에서 비터비 트렐리스의 가지 메트릭을 이용한 부분 응답 적응 등화기 (An Adaptive Partial Response Equalizer Using Branch Metrics of Viterbi Trellis for Optical Recording Systems)

  • 이규석;이주현;이재진
    • 한국통신학회논문지
    • /
    • 제30권9C호
    • /
    • pp.871-876
    • /
    • 2005
  • 본 논문에서는 비대칭적인 채널 특성을 갖는 고밀도 광 기록 시스템에 적용 가능한 적응 등화 부분 응답 최대유사(partial response maximum likelihood, PRML) 검출 방법을 제안한다. 모의실험을 통해 비대칭적인 채널에서 제안한 PRML 검출 방법이 기존의 PRML 방법보다 성능이 향상되는 것을 확인하였고, Verilog HDL을 이용하여 구현 및 검증하였다. 본 논문에서 제안한 적응 등화기는 LMS(Least Mean Square error) 알고리즘을 이용한 탭 계수 갱신부와 FIR 필터로 구성되어 있다. FIR 필터는 속도 향상을 위해 일반적으로 이용되는 DF(Direct Form) 방식이 아닌 TDF(Transposed Direct Form) 방식을 이용하여 구현하였다. 또한, 검출기는 레지스터 변환(register exchange, RE) 방식을 이용한 비터비 검출 방법으로 구현하였다.

IoT 애플리케이션을 위한 AES 기반 보안 칩 설계 (A Design of an AES-based Security Chip for IoT Applications using Verilog HDL)

  • 박현근;이광재
    • 전기학회논문지P
    • /
    • 제67권1호
    • /
    • pp.9-14
    • /
    • 2018
  • In this paper, we introduce an AES-based security chip for the embedded system of Internet of Things(IoT). We used Verilog HDL to implement the AES algorithm in FPGA. The designed AES module creates 128-bit cipher by encrypting 128-bit plain text and vice versa. RTL simulations are performed to verify the AES function and the theory is compared to the results. An FPGA emulation was also performed with 40 types of test sequences using two Altera DE0-Nano-SoC boards. To evaluate the performance of security algorithms, we compared them with AES implemented by software. The processing cycle per data unit of hardware implementation is 3.9 to 7.7 times faster than software implementation. However, there is a possibility that the processing speed grow slower due to the feature of the hardware design. This can be solved by using a pipelined scheme that divides the propagation delay time or by using an ASIC design method. In addition to the AES algorithm designed in this paper, various algorithms such as IPSec can be implemented in hardware. If hardware IP design is set in advance, future IoT applications will be able to improve security strength without time difficulties.

Verilog HDL로 기술된 조합 논리회로의 Cadence SMV 기반 정형 검증 방법 (A Cadence SMV Based Formal Verification Method for Combinational Logics Written in Verilog HDL)

  • 조성득;김영규;문병인;최윤자
    • 한국정보처리학회:학술대회논문집
    • /
    • 한국정보처리학회 2015년도 추계학술발표대회
    • /
    • pp.1027-1030
    • /
    • 2015
  • 하드웨어 디자인 설계에서 초기 단계의 설계 오류 발견은 개발 비용 감소 및 설계 시간 단축 측면에서 그 효과가 매우 크다. 이러한 초기 설계 오류 발견을 위한 대표적인 방법으로는 정형 검증(formal verification)이 있으며, Cadence SMV(Symbolic Model Verifier)는 정형 검증을 위해 Verilog HDL(Hardware Description Language)을 SMV로 자동 변환 해주는 장점이 있지만, 사건 기반 구조(event based structures)의 sensitivity list에 대한 지원을 하지 않는 한계가 있다. 이에 본 논문에서는 Cadence SMV에서 디지털회로(digital circuit) 중 하나인 조합 논리회로(combinational logic circuit)를 sensitivity list가 고려된 검증이 가능하도록 하는 방법을 제안한다. 신뢰성 있는 실험을 위해 본 논문에서는 제안하는 방법의 일반적인 규칙을 도출하였고, 도출된 규칙이 적용된 SMV 파일을 생성하는 자동화 프로그램을 구현하여 실험하였다. 실험결과 제안한 방법을 적용한 경우 기존 Cadence SMV가 발견하지 못한 설계상의 오류를 발견할 수 있었다.