• Title/Summary/Keyword: sidewall spacer

Search Result 9, Processing Time 0.021 seconds

Effect of Dopants on Cobalt Silicidation Behavior at Metal-oxide-semiconductor Field-effect Transistor Sidewall Spacer Edge

  • Kim, Jong-Chae;Kim, Yeong-Cheol;Kim, Byung-Kook
    • Journal of the Korean Ceramic Society
    • /
    • v.38 no.10
    • /
    • pp.871-875
    • /
    • 2001
  • Cobalt silicidation at sidewall spacer edge of Metal-Oxide-Semiconductor Field-Effect Transistors (MOSFETs) with post annealing treatment for capacitor forming process has been investigated as a function of dopant species. Cobalt silicidation of nMOSFET with n-type Lightly Doped Drain (LDD) and pMOSFET with p-type LDD produces a well-developed cobalt silicide with its lateral growth underneath the sidewall spacer. In case of pMOSFET with n-type LDD, however, a void is formed at the sidewall spacer edge with no lateral growth of cobalt silicide. The void formation seems to be due to a retarded silicidation process at the LDD region during the first Rapid Thermal Annealing (RTA) for the reaction of Co with Si, resulting in cobalt mono silicide at the LDD region. The subsequent second RTA converts the cobalt monosilicide into cobalt disilicide with the consumption of Si atoms from the Si substrate, producing the void at the sidewall spacer edge in the Si region. The void formed at the sidewall spacer edge serves as a resistance in the current-voltage characteristics of the pMOSFET device.

  • PDF

MOSFET Characteristics and Hot-Carrier Reliability with Sidewall Spacer and Post Gate Oxidation (Sidewall Spacer와 Post Gate Oxidation에 따른 MOSFET 특성 및 Hot Carrier 신뢰성 연구)

  • 이상희;장성근;이선길;김선순;최준기;김용해;한대희;김형덕
    • Proceedings of the IEEK Conference
    • /
    • 1999.06a
    • /
    • pp.243-246
    • /
    • 1999
  • We studied the MOSFET characteristics and the hot-carrier reliability with the sidewall spacer composition and the post gate oxidation thickness in 0.20${\mu}{\textrm}{m}$ gate length transistor. The MOSFET with NO(Nitride+Oxide) sidewall spacer exhibits the large degradation of hot-carrier lifetime because there is no buffering oxide against nitride stress. When the post gate oxidation is skipped, the hot-carrier lifetime is improved, but GIDL (Gate Induced Drain Leakage) current is also increased.

  • PDF

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

A Consideration of Void Formation Mechanism at Gate Edge Induced by Cobalt Silicidation (코발트 실리사이드에 의한 게이트 측벽 기공 형성에 대한 고찰)

  • 김영철;김기영;김병국
    • Korean Journal of Crystallography
    • /
    • v.12 no.3
    • /
    • pp.166-170
    • /
    • 2001
  • Dopants implanted in silicon substrate affect the reaction between cobalt and silicon substrate. Phosphorous, unlike boron and arsenic, suppressing the reaction between cobalt and silicon induces CoSi formation during a low temperature thermal treatment instead of CoSi₂formation. The CoSi layer should move to the silicon substrate to fill the vacant volume that is generated in the silicon substrate due to the silicon out-diffusion into the cobalt/CoSi interface. The movement of CoSi at gate sidewall spacer region is suppressed by a cohesion between gate oxide and CoSi layers, resulting in a void formation at the gate sidewall spacer edge.

  • PDF

Investigation on Suppression of Nickel-Silicide Formation By Fluorocarbon Reactive Ion Etch (RIE) and Plasma-Enhanced Deposition

  • Kim, Hyun Woo;Sun, Min-Chul;Lee, Jung Han;Park, Byung-Gook
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.13 no.1
    • /
    • pp.22-27
    • /
    • 2013
  • Detailed study on how the plasma process during the sidewall spacer formation suppresses the formation of silicide is done. In non-patterned wafer test, it is found that both fluorocarbon reactive ion etch (RIE) and TEOS plasma-enhanced deposition processes modify the Si surface so that the silicide reaction is chemically inhibited or suppressed. In order to investigate the cause of the chemical modification, we analyze the elements on the silicon surface through Auger Electron Spectroscopy (AES). From the AES result, it is found that the carbon induces chemical modification which blocks the reaction between silicon and nickel. Thus, protecting the surface from the carbon-containing plasma process prior to nickel deposition appears critical in successful silicide formation.

Analysis of a Novel Self-Aligned ESD MOSFET having Reduced Hot-Carrier Effects (Hot-Carrier 현상을 줄인 새로운 구조의 자기-정렬된 ESD MOSFET의 분석)

  • 김경환;장민우;최우영
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.36D no.5
    • /
    • pp.21-28
    • /
    • 1999
  • A new method of making high speed self-aligned ESD (Elevated Source/Drain) MOSFET is proposed. Different from the conventional LDD (Lightly-Doped Drain) structure, the proposed ESD structure needs only one ion implantation step for the source/drain junctions, and makes it possible to modify the depth of the recessed channel by use of dry etching process. This structure alleviates hot-carrier stress by use of removable nitride sidewall spacers. Furthermore, the inverted sidewall spacers are used as a self-aligning mask to solve the self-align problem. Simulation results show that the impact ionization rate ($I_{SUB}/I_{D}$) is reduced and DIBL (Drain Induced Barrier Lowering) characteristics are improved by proper design of the structure parameters such as channel depth and sidewall spacer width. In addition, the use of removable nitride sidewall spacers also enhances hot-carrier characteristics by reducing the peak lateral electric field in the channel.

  • PDF

Characterization and Design Consideration of 80-nm Self-Aligned N-/P-Channel I-MOS Devices

  • Choi, Woo-Young;Lee, Jong-Duk;Park, Byung-Gook
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.6 no.1
    • /
    • pp.43-51
    • /
    • 2006
  • 80-nm self-aligned n-and p-channel I-MOS devices were demonstrated by using a novel fabrication method featuring double sidewall spacer, elevated drain structure and RTA process. The fabricated devices showed a normal transistor operation with extremely small subthreshold swing less than 12.2 mV/dec at room temperature. The n- and p-channel I-MOS devices had an ON/OFF current of 394.1/0.3 ${\mu}A$ and 355.4/8.9 ${\mu}A$ per ${\mu}m$, respectively. We also investigated some critical issues in device design such as the junction depth of the source extension region and the substrate doping concentration.

VHF (162 MHz) multi-tile push-pull 플라즈마 소스를 이용한 반도체소자의 질화 공정

  • Ji, Yu-Jin;Kim, Gi-Seok;Kim, Gi-Hyeon;Yeom, Geun-Yeong
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2017.05a
    • /
    • pp.134.2-134.2
    • /
    • 2017
  • 최근 고성능, 저 전력 반도체 소자를 위한 미세 공정 기술이 발전함에 따라, gate oxide의 두께 및 선폭이 감소하고, aspect ratio가 증가하고 있는 추세이다. 따라서 얇아진 gate oxide를 통한 채널 물질로의 boron 확산을 막기 위한 고농도 질화 막 증착의 필요성이 높아지고 있으며, high aspect ratio의 gate oxide에 적용 가능한 우수한 step coverage의 질화막 또한 요구되고 있다. 이러한 요구조건을 만족시키기 위해 일반적인 13.56MHz의 플라즈마 소스를 이용한 질화연구들이 선행되어져 왔으나, 높은 binding energy(~24 eV)를 가지고 있는 N2 molecule gas를 효과적으로 dissociation 하지 못해 충분한 질화공정이 수행되어질 수 없었을 뿐만 아니라 높은 공정온도($>200^{\circ}C$에서 진행되어 반도체소자에 손상을 줄 수 있다. 본 연구에서는 이러한 문제들을 해결하기 위해 VHF (162MHz)를 이용한 플라즈마를 통해 고밀도에서 낮은 전자온도와 높은 진동온도의 플라즈마를 구현하여 20%이상의 높은 질화율을 얻을 수 있었고, multi-tile push-pull 플라즈마 소스를 통해 VHF 사용 시 나타나는 standing wave effect를 제어하여 high aspect ratio의 gate sidewall spacer에 우수한 step coverage의 질화막을 형성시킬 수 있었다.

  • PDF

Analysis of a Novel Elevated Source Drain MOSFET with Reduced Gate-Induced Drain Leakage and High Driving Capability (Gate-Induced Drain Leakage를 줄인 새로운 구조의 고성능 Elevated Source Drain MOSFET에 관한 분석)

  • Kim, Gyeong-Hwan;Choe, Chang-Sun;Kim, Jeong-Tae;Choe, U-Yeong
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.38 no.6
    • /
    • pp.390-397
    • /
    • 2001
  • A novel self-aligned ESD (Elevated Source Drain) MOSFET structure which can effectively reduce the GIDL (Gate-Induced Drain Leakage) current is proposed and analyzed. The proposed ESD structure is characterized by sidewall spacer and recessed-channel depth which are determined by dry-etching process. Elevation of the Source/Drain extension region is realized so that the low-activation effect caused by low-energy ion implantation can be avoided. Unlike the conventional LDD structures, it is shown that the GIDL current of the ESD structure is suppressed without sacrificing the maximum driving capability. The main reason for the reduction of GIDL current Is the decreased electric field at the point of the maximum band-to-band tunneling as the peak electric field is shifted toward the drain side.

  • PDF