• Title/Summary/Keyword: optical proximity correction

Search Result 17, Processing Time 0.023 seconds

Gate CD Control for memory Chip using Total Process Proximity Based Correction Method

  • Nam, Byung--Ho;Lee, Hyung-J.
    • Journal of the Optical Society of Korea
    • /
    • v.6 no.4
    • /
    • pp.180-184
    • /
    • 2002
  • In this study, we investigated mask errors, photo errors with attenuated phase shift mask and off-axis illumination, and etch errors in dry etch conditions. We propose that total process proximity correction (TPPC), a concept merging every process step error correction, is essential in a lithography process when minimum critical dimension (CD) is smaller than the wavelength of radiation. A correction rule table was experimentally obtained applying TPPC concept. Process capability of controlling gate CD in DRAM fabrication should be improved by this method.

Optical Proximity Correction of Photomask with a Monte-Carlo Method (몬테-칼로 기법을 사용한 포토마스크의 결상 왜곡 보정)

  • 이재철;오용호;임성우
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.35D no.10
    • /
    • pp.76-82
    • /
    • 1998
  • As the minimum feature size of a semiconductor chip gets smaller, the inevitable distortion of patterned image by optical lithography becomes the limiting factor in the mass production of VLSI. The optical proximity correction (OPC), which corrects pattern distortion that originates from the resolution limit of optical lithography, is becoming indispensable technology. In this paper, we describe a program that corrects optical proximity effect and thus finds the optimum mask pattern with a Monte-Carlo method. The program was applied to real memory cell patterns to produce mask patterns that generate image patterns closer to object images than original mask patterns, and increase of process margin is expected, as well.

  • PDF

Resolution Limit Analysis of Isolated Patterns Using Optical Proximity Correction Method with Attenuated Phase Shift Mask (Attenuated Phase Shift Mask에 광 근접 효과 보정을 적용한 고립 패턴의 해상 한계 분석)

  • 김종선;오용호;임성우;고춘수;이재철
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.13 no.11
    • /
    • pp.901-907
    • /
    • 2000
  • As the minimum feature size for making ULSI approaches the wavelength of light source in optical lithography, the aerial image is so hardly distorted because of the optical proximity effect that the accurate mask image reconstruction on wafer surface is almost impossible. We applied the Optical Proximity Correction(OPC) on isolated patterns assuming Attenuated Phase Shift Mask(APSM) as well as binary mask, to correct the widening of isolated patterns. In this study, we found that applying OPC to APSM shows much better improvement not only in enhancing the resolution and fidelity of t도 images but also in enhancing the process margin than applying OPC to the binary mask. Also, we propose the OPC method of APSM for isolated patterns, the size of which is less than the wavelength of the ArF excimer laser. Finally, we predicted the resolution limit of optical lithography through the aerial image simulation.

  • PDF

Scattering Bar Optical Proximity Correction to Suppress Overlap Error and Side-lobe in Semiconductor Lithography Process (Overlap Margin 확보 및 Side-lobe 억제를 위한 Scattering Bar Optical Proximity Correction)

  • 이흥주
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.4 no.1
    • /
    • pp.22-26
    • /
    • 2003
  • Overlap Errors and side-lobes have been simultaneously solved by the rule-based correction using the rules extracted from test patterns. Lithography process parameters affecting attPSM lithography process have been determined by the fitting method to the real process data. The correction using scattering bars has been compared to the Cr shield method. The optimal insertion rule of the scattering bal's has made it possible to suppress the side-lobes and to enhance DOF at the same time. Therefore, in this paper, the solution to both side-lobe and overlap Error has been proposed using rule-based confection. Compared to the existing Cr shield method, the proposed rule-based correction with scattering bars can reduce the process complexity and time for mask production.

  • PDF

Laser Process Proximity Correction for Improvement of Critical Dimension Linearity on a Photomask

  • Park, Jong-Rak;Kim, Hyun-Su;Kim, Jin-Tae;Sung, Moon-Gyu;Cho, Won-Il;Choi, Ji-Hyun;Choi, Sung-Woon
    • ETRI Journal
    • /
    • v.27 no.2
    • /
    • pp.188-194
    • /
    • 2005
  • We report on the improvement of critical dimension (CD) linearity on a photomask by applying the concept of process proximity correction to a laser lithographic process used for the fabrication of photomasks. Rule-based laser process proximity correction (LPC) was performed using an automated optical proximity correction tool and we obtained dramatic improvement of CD linearity on a photomask. A study on model-based LPC was executed using a two-Gaussian kernel function and we extracted model parameters for the laser lithographic process by fitting the model-predicted CD linearity data with measured ones. Model-predicted bias values of isolated space (I/S), arrayed contact (A/C) and isolated contact (I/C) were in good agreement with those obtained by the nonlinear curve-fitting method used for the rule-based LPC.

  • PDF

Optical Proximity Corrections for Digital Micromirror Device-based Maskless Lithography

  • Hur, Jungyu;Seo, Manseung
    • Journal of the Optical Society of Korea
    • /
    • v.16 no.3
    • /
    • pp.221-227
    • /
    • 2012
  • We propose optical proximity corrections (OPCs) for digital micromirror device (DMD)-based maskless lithography. A pattern writing scheme is analyzed and a theoretical model for obtaining the dose distribution profile and resulting structure is derived. By using simulation based on this model we were able to reduce the edge placement error (EPE) between the design width and the critical dimension (CD) of a fabricated photoresist, which enables improvement of the CD. Moreover, by experiments carried out with the parameter derived from the writing scheme, we minimized the corner-rounding effect by controlling light transmission to the corners of a feature by modulating a DMD.

Study the Feasibility of Optical Lithography for critical Lyers of 0.12$\mu\textrm{m}$ (0.12$\mu\textrm{m}$설계규칙을 갖는 DRAM 셀 주용 레이어의 OPC 및 PSM)

  • 박기천;오용호;임성우;고춘수;이재철
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.14 no.1
    • /
    • pp.6-11
    • /
    • 2001
  • We studied the feasibility of optical lithography for the critical layers of 0.12${\mu}{\textrm}{m}$ DRAM assuming ArF excimer laser as a light source. To enhance the fidelity of aerial image and process margin, Phase shift mask (PSM) patterns as well as binary mask patterns are corrected with in-house developed Optical Proximity Correction (OPC) software. As the result, w found that the aerial image of critical layers of DRAM cell with 0.12${\mu}{\textrm}{m}$ design rule could not be reproduced with binary masks. But if we use PSM or optical proximity corrected PSM, the fidelity of aerial image ,resolution and process margin are so much enhanced that they could be processed with optical lithography.

  • PDF

Analysis of Process Parameters to Improve On-Chip Linewidth Variation

  • Jang, Yun-Kyeong;Lee, Doo-Youl;Lee, Sung-Woo;Lee, Eun-Mi;Choi, Soo-Han;Kang, Yool;Yeo, Gi-Sung;Woo, Sang-Gyun;Cho, Han-Ku;Park, Jong-Rak
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.4 no.2
    • /
    • pp.100-105
    • /
    • 2004
  • The influencing factors on the OPC (optical proximity correction) results are quantitatively analyzed using OPCed L/S patterns. ${\sigma}$ values of proximity variations are measured to be 9.3 nm and 15.2 nm for PR-A and PR-B, respectively. The effect of post exposure bake condition is assessed. 16.2 nm and 13.8 nm of variations are observed. Proximity variations of 11.6 nm and 15.2 nm are measured by changing the illumination condition. In order not to seriously deteriorate the OPC, these factors should be fixed after the OPC rules are extracted. Proximity variations of 11.4, 13.9, and 15.2 nm are observed for the mask mean-to-targets of 0, 2 and 4 nm, respectively. The decrease the OPC grid size from 1 nm to 0.5 nm enhances the correction resolution and the OCV is reduced from 14.6 nm to 11.4 nm. The enhancement amount of proximity variations are 9.2 nm corresponding to 39% improvement. The critical dimension (CD) uniformity improvement for adopting the small grid size is confirmed by measuring the CD uniformity on real SRAM pattern. CD uniformities are measured 9.9 nm and 8.7 nm for grid size of 1 nm and 0.5 nm, respectively. 22% improvement of the CD uniformity is achieved. The decrease of OPC grid size is shown to improve not only the proximity correction, but also the uniformity.

Rule-based OPC and ORC Approach for Metal and Contact Layer Patterning (Metal과 Contact Layer Patterning을 위한 규칙기반 OPC 및 ORC Approach)

  • 이미영;이우희;이준하;이흥주
    • Proceedings of the KAIS Fall Conference
    • /
    • 2003.06a
    • /
    • pp.239-242
    • /
    • 2003
  • Scale down으로 인해 부족해진 overlay margin을 통해 충분히 확보해주고, 이와 동시에 attPSM(attenuated phase shift)의 사용으로 발생하는 side-lobe 현상을 억제하기 위한 방법으로 rule-based OPC(optical proximity correction)룰 사용하여 side-lobe만을 효과적으로 추출한 후, 그 자리에 scattering bar를 삽입하였다. 그리고 ORC(optical rule checking)를 통해 original layout과 aerial image의 EPEs(edge placement errors)를 검사하여 검증에 걸리는 시간을 감소시켰다.

  • PDF