• 제목/요약/키워드: lithography

검색결과 1,303건 처리시간 0.027초

저 에너지 초소형 전자칼럼 리소그래피를 이용한 SiO2 박막의 Pattern 제작에 관한 연구 (Study of SiO2 Thin Film Patterning by Low Energy Electron Beam Lithography Using Microcolumns)

  • 요시모토 다카토시;김호섭;김대욱;안승준
    • 한국자기학회지
    • /
    • 제17권4호
    • /
    • pp.178-181
    • /
    • 2007
  • 반도체의 고 집적회로를 형성하기 위하여 주로 이용하고 있는 광 리소그래피 기술을 대신하여 사용할 수 있는 차세대 리소그래피 기술로 전자빔 리소그래피 기술에 대한 연구가 진행되고 있다. 본 연구에서는 초소형 전자칼럼을 이용하여 전자빔 에너지와 조사농도에 따른 pattern 두께의 의존성을 조사하였으며 두께가 100nm인 $SiO_2$ 박막의 patterning을 통하여 $SiO_2$ 박막에 대한 저 에너지 전자빔 리소그래피 공정의 가능성을 입증하였다.

미세패턴 전사기법을 위한 다양한 몰드 제작법 소개 (The Review for Various Mold Fabrication toward Economical Imprint Lithography)

  • 김주희;김연상
    • 한국진공학회지
    • /
    • 제19권2호
    • /
    • pp.96-104
    • /
    • 2010
  • NIL, S-FIL과 같은 각인 기술(Imprinting lithography)를 적용하기 위한 투명하고 단단한 복제 틀(replica hard mold)을 제작하여 고가의 원판(master)와 패턴이 형성되는 기판과의 접촉을 근본적으로 방지해 경제적인 공정이 가능함을 제안한다. 실리콘 웨이퍼(Si wafer)와 같은 원판(master)과 패턴 형성 시 사용되는 기판과 직접적인 접촉을 방지하기 위해 우선 액상 공정을 이용하여 비접착성 표면처리된 고분자 복제(polymer copy)를 매개체(carrier)로 단단한 복제 틀을 제작한다. 이렇게 제작된 단단한 복제 틀(replica hard mold)는 유리와 거의 같은 강도와 투명도를 나타내며, 각인 공정(imprinting process)에서 석영 틀, 실리콘 웨이퍼(quartz mold, Si wafer)과 같이 값비싼 원판(master)의 직접 사용을 대체하여 성공적으로 패턴을 구현할 수 있다.

Maskless Lithography system을 이용한 TSP 검사 용 micro bump 제작에 관한 연구. (A study of fabrication micro bump for TSP testing using maskless lithography system.)

  • 김기범;한봉석;양지경;한유진;강동성;이인철
    • 한국산학기술학회논문지
    • /
    • 제18권5호
    • /
    • pp.674-680
    • /
    • 2017
  • 본 논문은 현재 개인 휴대기기 및 대형 디스플레이 장비의 제어에서 폭넓게 사용되고 있는 터치스크린 패널 (TSP; Touch Screen Panel)의 정상 작동 유무를 확인하기 위한 micro bump 제작 기술에 관한 연구이다. 터치스크린 패널은 감압식, 정전식 등의 여러 가지 방식이 있으나 지금은 편리성에 의하여 정전식 방식이 주도하고 있다. 정전식의 경우 해당하는 좌표의 접촉에 따라 전기적 신호가 변화하게 되고, 이를 통하여 접촉 위치를 확인할 수 있으며 따라서 접촉 위치에 따른 전기 특성 검사가 필수적이다. 검사공정에서 TSP의 모델이 변경됨에 따라 새로운 micro bump를 제작이 및 검사 프로그램의 수정이 필수적이다. 본 논문에서는 새로운 micro bump 제작 시 mask를 사용하지 않아 보다 경제적이며 변화에 대응이 유연한 maskless lithography 시스템을 이용하여 micro bump 제작 가능성에 대하여 확인하였다. 이를 위하여 제작되는 bump의 pitch에 따른 전기장 간섭 시뮬레이션을 진행하였으며, maskless lithogrphy 공정을 적용하기 위한 패턴 이미지를 생성하였다. 이후 MEMS 기술에 해당하는 PR(Photo Resist) 패터닝 공정에서 노광(Lithography) 공정 및 현상(Developing) 공정을 통하여 PR 마스크를 제작한 후 electro-plating 공정을 통하여 micro bump를 제작하였다.

유기 저항막을 이용한 원자힘 현미경 양극산화 패터닝 기술 (Anodic Oxidation Lithography via Atomic Force Microscope on Organic Resist Layers)

  • 김성경;이해원
    • 폴리머
    • /
    • 제30권3호
    • /
    • pp.187-195
    • /
    • 2006
  • 원자힘 현미경 양극산화 패터닝 기술에 관한 연구를 유기 저항막의 종류 및 그들의 특성을 토대로 다루었다. 본 연구실에서 수행한 자기조립막, 랑뮈어-블라짓막, 고분자막 위에서의 원자힘 현미경 양극산화 패터닝에 대한 연구결과를 중심으로, 유기 저항막 위에서의 원자힘 현미경 양극산화 패터닝 기술에 대한 이해를 돕고자 하였다. 현실적인 공정 속도에서 높은 종횡비의 패턴을 형성하기 위해 원자힘 현미경 양극산화 패터닝에 유기 저항막의 전기-기계적 특성, 젖음 특성, 에칭 저항 특성 등이 중요한 인자들임을 제안하였다.

Maskless 노광공정을 위한 LDI(Laser Direct Imaging) 시스템 개발 및 단일 레이저 빔 에너지 분포 분석 (Development of a LDI System for the Maskless Exposure Process and Energy Intensity Analysis of Single Laser Beam)

  • 이수진;김종수;신봉철;김동우;조명우
    • 한국생산제조학회지
    • /
    • 제19권6호
    • /
    • pp.834-840
    • /
    • 2010
  • Photo lithography process is very important technology to fabricate highly integrated micro patterns with high precision for semiconductor and display industries. Up to now, mask type lithography process has been generally used for this purpose; however, it is not efficient for small quantity and/or frequently changing products. Therefore, in order to obtain higher productivity and lower manufacturing cost, the mask type lithography process should be replaced. In this study, a maskless lithography system using the DMD(Digital Micromirror Device) is developed, and the exposure condition and optical properties are analyzed and simulated for a single beam case. From the proposed experimental conditions, required exposure experiments were preformed, and the results were investigated. As a results, 10${\mu}m$ spots can be generated at optimal focal length.

고온 나노임프린트 장비용 핫플레이트의 열제어에 대한 수치모사 (NUMERICAL SIMULATION OF THERMAL CONTROL OF A HOT PLATE FOR THERMAL NANOIMPRINT LITHOGRAPHY MACHINES)

  • 박규진;곽호상;신동원;이재종
    • 한국전산유체공학회:학술대회논문집
    • /
    • 한국전산유체공학회 2007년도 춘계 학술대회논문집
    • /
    • pp.153-158
    • /
    • 2007
  • Since the introduction of Nanoimprint in the mid-1990s, Nanoimprint lithography, a low-cost, non-convential method, has been the dominant lithography technology that guarantees high-throughput patterning of nanostructures. Based on the mechanical embossing mechanism, Nanoimprint lithography creates the nanopatterns on the polymer material cast on the substrate. In essence, the process needs nanofabrication equipment for printing with the adequate control of temperature, pressure and control of parallels of the stamp and substrate. This article introduce the possibility and reality of the thermal control on the hot plate using a CFD code. Numerical computation has been conducted for assessing the feasibility of a hot plate($120{\times}120\;mm2$). PID control is adopted to ensure high temperature uniformity in several zones. Parallel experiments have also been performed for verifying thermal performance. Not only show the results the optimum number of thermocouples related to controllers but also suggest that the thermal simulation using a CFD code would be an alternative method to design and develop the thermal control equipment in the financial aspect.

  • PDF

Effect of Fabricating Nanopatterns on GaN-Based Light Emitting Diodes by a New Way of Nanosphere Lithography

  • Johra, Fatima Tuz;Jung, Woo-Gwang
    • 한국재료학회지
    • /
    • 제23권3호
    • /
    • pp.177-182
    • /
    • 2013
  • Nanosphere lithography is an inexpensive, simple, high-throughput nanofabrication process. NSL can be done in different ways, such as drop coating, spin coating or by means of tilted evaporation. Nitride-based light-emitting diodes (LEDs) are applied in different places, such as liquid crystal displays and traffic signals. The characteristics of gallium nitride (GaN)-based LEDs can be enhanced by fabricating nanopatterns on the top surface of the LEDs. In this work, we created differently sized (420, 320 and 140 nm) nanopatterns on the upper surfaces of GaN-based LEDs using a modified nanosphere lithography technique. This technique is quite different from conventional NSL. The characterization of the patterned GaN-based LEDs revealed a dependence on the size of the holes in the pattern created on the LED surface. The depths of the patterns were 80 nm as confirmed by AFM. Both the photoluminescence and electroluminescence intensities of the patterned LEDs were found to increase with an increase in the size of holes in the pattern. The light output power of the 420-nm hole-patterned LED was 1.16 times higher than that of a conventional LED. Moreover, the current-voltage characteristics were improved with the fabrication of differently sized patterns over the LED surface using the proposed nanosphere lithography method.

유연기판을 위한 UV/Thermal 하이브리드방식 나노임프린트 시스템 (UV/Thermal Hybrid Nanoimprint System for Flexible Substrates)

  • 임형준;이재종;최기봉;김기홍;안현진;류지형
    • 한국생산제조학회지
    • /
    • 제20권3호
    • /
    • pp.245-250
    • /
    • 2011
  • An UV/thermal hybrid nanoimprint lithography system was designed and implemented for the pattern transfer to flexible substrates. This system can utilize a plate stamp, roll stamp, and film stamp. For all cases of using those stamps, this system is also switchable an UV or thermal nanoimprint lithography mode. This paper shows how to design the heating and UV curing plates and proposes how to change them easily. Because the pressure condition and the speed of the press roller varies by the characteristics of the stamp and substrate, all the parameters related to the nanoimprint lithography have to adjustable. Some transferred patterns are shown in this paper to verify the performance of the hybrid nanoimprint lithography system. The flexible substrates with nano-scale patterns on them will be key components for next generation technologies such as flexible displays, bendable semi-conductors, and solar cells.

ZnO 나노 입자 분산 레진의 thermal imprinting 공정을 통한 기능성 패턴 제작 (Fabrication of Functional ZnO Nano-particles Dispersion Resin Pattern Through Thermal Imprinting Process)

  • 권무현;이헌
    • 한국정밀공학회지
    • /
    • 제28권12호
    • /
    • pp.1419-1424
    • /
    • 2011
  • Nanoimprint lithography is a next generation lithography technology, which enables to fabricate nano to micron-scale patterns through simple and low cost process. Nanoimprint lithography has been applied in various industry fields such as light emitting diodes, solar cells and display. Functional patterns, including anti-reflection moth-eye pattern, photonic crystal pattern, fabricated by nanoimprint lithography are used to improve overall efficiency of devices in that fields. For these reasons, in this study, sub-micron-scaled functional patterns were directly fabricated on Si and glass substrates by thermal imprinting process using ZnO nano-particles dispersion resin. Through the thermal imprinting process, arrays of sub-micron-scaled pillar and hole patterns were successfully fabricated on the Si and glass substrates. And then, the topography, components and optical property of the imprinted ZnO nano-particles/resin patterns are characterized by Scanning Electron Microscope, Energy-dispersive X-ray spectroscopy and UV-vis spectrometer, respectively.

엑스선 그레이 스케일 리소그래피를 활용한 반원형 단면의 서브 마이크로 선 패턴의 바이오멤스 플랫폼 응용 (X-ray grayscale lithography for sub-micron lines with cross sectional hemisphere for Bio-MEMS application)

  • 김강현;김종현;남효영;김수현;임근배
    • 센서학회지
    • /
    • 제30권3호
    • /
    • pp.170-174
    • /
    • 2021
  • As the rising attention to the medical and healthcare issue, Bio-MEMS (Micro electro mechanical systems) platform such as bio sensor, cell culture system, and microfluidics device has been studied extensively. Bio-MEMS platform mostly has high resolution structure made by biocompatible material such as polydimethylsiloxane (PDMS). In addition, three dimension structure has been applied to the bio-MEMS. Lithography can be used to fabricate complex structure by multiple process, however, non-rectangular cross section can be implemented by introducing optical apparatus to lithography technic. X-ray lithography can be used even for sub-micron scale. Here in, we demonstrated lines with round shape cross section using the tilted gold absorber which was deposited on the oblique structure as the X-ray mask. This structure was used as a mold for PDMS. Molded PDMS was applied to the cell culture platform. Moreover, molded PDMS was bonded to flat PDMS to utilize to the sub-micro channel. This work has potential to the large area bio-MEMS.