• Title/Summary/Keyword: etching mask

Search Result 233, Processing Time 0.026 seconds

The Characteristic Variation of Mask with Plasma Treatment (플라즈마 처리에 의한 마스크 특성 변화)

  • Kim, Jwa-Yeon;Choi, Sang-Su;Kang, Byung-Sun;Min, Dong-Soo;An, Young-Jin
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.21 no.2
    • /
    • pp.111-117
    • /
    • 2008
  • We have studied surface roughness, contamination of impurity, bonding with some gas element, reflectance and zeta potential on masks to be generated or changed during photolithography/dry or wet etching process. Mask surface roughness was not changed after photolithography/dry etching process. But surface roughness was changed on some area under MoSi film of Cr/MoSi/Qz. There was not detected any impurity on mask surface after plasma dry etching process. Reflectance of mask was increased after variable plasma etching treatment, especially when mask was treated with plasma including $O_2$ gas. Blank mask was positively charged when the mask was treated with Cr plasma etching gas($Cl_2:250$ sccm/He:20 $sccm/O_2:29$ seem, source power:100 W/bias power:20 W, 300 sec). But this positive charge was changed to negative charge when the mask was treated with $CF_4$ gas for MoSi plasma etching, resulting better wet cleaning. There was appeared with negative charge on MoSi/Qz mask treated with Cr plasma etching process condition, and this mask was measured with more negative after SC-1 wet cleaning process, resulting better wet cleaning. This mask was charged with positive after treatment with $O_2$ plasma again, resulting bad wet cleaning condition.

Use of Hard Mask for Finer (<10 μm) Through Silicon Vias (TSVs) Etching

  • Choi, Somang;Hong, Sang Jeen
    • Transactions on Electrical and Electronic Materials
    • /
    • v.16 no.6
    • /
    • pp.312-316
    • /
    • 2015
  • Through silicon via (TSV) technology holds the promise of chip-to-chip or chip-to-package interconnections for higher performance with reduced signal delay and power consumption. It includes high aspect ratio silicon etching, insulation liner deposition, and seamless metal filling. The desired etch profile should be straightforward, but high aspect ratio silicon etching is still a challenge. In this paper, we investigate the use of etch hard mask for finer TSVs etching to have clear definition of etched via pattern. Conventionally employed photoresist methods were initially evaluated as reference processes, and oxide and metal hard mask were investigated. We admit that pure metal mask is rarely employed in industry, but the etch result of metal mask support why hard mask are more realistic for finer TSV etching than conventional photoresist and oxide mask.

Removal of Photoresist Mask after the Cl2/HBr/CF4 Reactive Ion Silicon Etching (Cl2/HBr/CF4 반응성 이온 실리콘 식각 후 감광막 마스크 제거)

  • Ha, Tae-Kyung;Woo, Jong-Chang;Kim, Gwan-Ha;Kim, Chang-Il
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.23 no.5
    • /
    • pp.353-357
    • /
    • 2010
  • Recently, silicon etching have received much attention for display industry, nano imprint technology, silicon photonics, and MEMS application. After the etching process, removing of etch mask and residue of sidewall is very important. The investigation of the etched mask removing was carried out by using the ashing, HF dipping and acid cleaning process. Experiment shows that oxygen component of reactive gas and photoresist react with silicon and converting them into the mask fence. It is very difficult to remove by using ashing or acid cleaning process because mask fence consisted of Si and O compounds. However, dilute HF dipping is very effective process for SiOx layer removing. Finally, we found optimized condition for etched mask removing.

A Study on the Mo Sputtering and HF Wet Etching for the Fabrication of Polisher (광택기 제조를 목적으로 한 스퍼터링을 이용한 Mo 증착과 불산 습식 식각 특성 연구)

  • Kim, Do-Hyoung;Lee, Ho-Deok;Kwon, Sang-Jik;Cho, Eou-Sik
    • Journal of the Semiconductor & Display Technology
    • /
    • v.16 no.4
    • /
    • pp.16-19
    • /
    • 2017
  • For the economical and environmental-friendly fabrication of polisher, Mo mask layer were sputtered on glass substrate instead of Cr mask material. Mo mask layers were sputtered by pulsed-DC sputtering and Photoresist patterns were formed on Mo mask layer for different develop times and optimized. After Mo mask layer were patterned and exposed glass was wet etched by HF solution for different etching times, the remaining Mo mask was stripped by using Al etchant. Develop time of 30 sec and HF wet etching time of 3 min were selected as optimized process condition and applied to the fabrication of polisher.

  • PDF

Reactive Ion Etching of NiFe Film with Organic Resist Mask and Metal Mask by Inductively Coupled Plasma

  • Kanazawa, Tomomi;Motoyama, Shin-Ichi;Wakayama, Takayuki;Akinaga, Hiroyuki
    • Journal of Magnetics
    • /
    • v.12 no.2
    • /
    • pp.81-83
    • /
    • 2007
  • Etching of NiFe films covered with an organic photo-resist or Ti was successfully performed by an inductively coupled plasma-reactive ion etching (ICP-RIE) system using $CHF_3/O_2/NH_3$ discharges exchanging $CHF_3$ for $CH_4$ gas gradually. Experimental results showed that the organic photo-resist mask can be applied to the NiFe etching. In the case of the Ti metal mask, it was found that the etching-selectivity Ti against NiFe was significantly varied from 7.3 to ${\sim}0$ by changing $CHF_3/CH_4/O_2/NH_3$ to $CH_4/O_2/NH_3$ discharges used in the ICP-RIE system. These results show that the present RIE of NiFe was dominated by a chemical reaction rather than a physical sputtering.

A Study on the Etching of SUS MASK using Automatic Liquid Management System (자동액관리 시스템을 이용한 SUS MASK 에칭에 관한 연구)

  • Lee, Woo-Sik
    • The Journal of Korea Institute of Information, Electronics, and Communication Technology
    • /
    • v.14 no.4
    • /
    • pp.323-327
    • /
    • 2021
  • This paper produced SUS MASK, which is used for OLEDs, using an automatic liquid management system. The SUS MASK was tested by setting the hole diameter to 0.4 mm. The additive F300 was found to be excellent as the hole diameter was close to 0.4 mm and the error range was measured to be 0.08 on average. And as a result of measuring the weight reduction amount of CuCl2 and FeCl3 according to the change in oxidation-reduction potential (ORP), FeCl3 is relatively sensitive to ORP changes. Experiments were conducted on whether ORP (610 mV) and specific gravity (1.463) were automatically controlled while continuously etching the SUS Mask. Experimental results show that the automatic liquid management system is well controlled because the setting value is not significantly changed. After setting the hole diameter to 0.4 mm as the target, the experiment results were measured from 0.36 to 0.44. Therefore, it is expected that etching processing in the manufacturing process of SUS MASK can be improved with higher precision by applying the manufactured automatic liquid management system.

Analysis of Amorphous Carbon Hard Mask and Trench Etching Using Hybrid Coupled Plasma Source

  • Park, Kun-Joo;Lee, Kwang-Min;Kim, Min-Sik;Kim, Kee-Hyun;Lee, Weon-Mook
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.11a
    • /
    • pp.74-74
    • /
    • 2009
  • The ArF PR mask was. developed to overcome the limit. of sub 40nm patterning technology with KrF PR. But ArF PR difficult to meet the required PR selectivity by thin PR thickness. So need to the multi-stack mask such as amorphous carbon layer (ACL). Generally capacitively coupled plasma (CCP) etcher difficult to make the high density plasma and inductively coupled plasma (ICP) type etcher is more suitable for multi stack mask etching. Hybrid Coupled Plasma source (HCPs) etcher using the 13.56MHz RF power for ICP source and 2MHz and 27.12MHz for bias power was adopted to improve the process capability and controllability of ion density and energy independently. In the study, the oxide trench which has the multi stack layer process was investigated with the HCPs etcher (iGeminus-600 model DMS Corporation). The results were analyzed by scanning electron microscope (SEM) and it was found that etching characteristic of oxide trench profile depend on the multi-stack mask.

  • PDF

A Study on SUS MASK Etching Using Additives (첨가제를 이용한 SUS MASK 에칭에 관한 연구)

  • Lee, Woo-Sik
    • The Journal of Korea Institute of Information, Electronics, and Communication Technology
    • /
    • v.15 no.4
    • /
    • pp.243-248
    • /
    • 2022
  • The purpose of this paper is to etching SUS MASK by adding an additive (F300) to FeCl3. The equipment used in the experiment is a self-made automatic liquid management system. The automatic liquid management system is a device capable of controlling the Oxidation Reduction Potential (ORP) and specific gravity in real time and supplying FeCl3 and additives in a quantitative manner. SUS MASK was etched in units from 10 sheets up to 200 sheets for 1 minute. It was confirmed that when the initial SUS MASK was 10 sheets, the ORP value started with 628 mV and measured at 611 mV from the time of 40 sheets being injected, and maintained close to 610 mV up to 200 sheets. The specific gravity was maintained near 1.640. And the SUS MASK was measured close to 0.4 mm from 50 sheets to 200 sheets. The experimental conditions of ORP had a specific gravity of 610 mV, 1.463, an etching pressure of 3.0 kg/cm2, an additive (F300) ratio of 1.2%, and the hole size was measured by up to 200 sheets of 10 sheets at once etching. As a result, the diameter approached 0.4 mm from 20 sheets. Even if the number of SUS MASK was increased, the ORP and specific gravity were well controlled, and it was confirmed that the experimental target value was close to 0.4 mm.

Engineering of Bi-/Mono-layer Graphene Film Using Reactive Ion Etching

  • Irannejad, M.;Alyalak, W.;Burzhuev, S.;Brzezinski, A.;Yavuz, M.;Cui, B.
    • Transactions on Electrical and Electronic Materials
    • /
    • v.16 no.4
    • /
    • pp.169-172
    • /
    • 2015
  • Although, there are several research studies on the engineering of the graphene layers using different etching techniques, there is not any comprehensive study on the effects of using different etching masks in the reactive ion etching (RIE) method on the quality and uniformity of the etched graphene films. This study investigated the effects of using polystyrene and conventional photolithography resist as a etching mask on the engineering of the number of graphene layers, using RIE. The effects were studied using Raman spectroscopy. This analysis indicated that the photo-resist mask is better than the polystyrene mask because of its lower post processing effects on the graphene surface during the RIE process. A single layer graphene was achieved from a bi-layer graphene after 3 s of the RIE process using oxygen plasma, and the bi-layer graphene was successfully etched after 6 s of the RIE process. The bilayer etching time was significantly smaller than reported values for graphene flakes in previous research.

The formation of Si V-groove for optical fiber alignment in optoelectronic devices (광전소자 패키징에서 광섬유 정렬을 위한 Si V-groove 형성)

  • 유영석;김영호
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.6 no.3
    • /
    • pp.65-71
    • /
    • 1999
  • The effects of mask materials and etching solutions on the dimensional accuracy of V-groove were studied for the alignment between optoelectronic devices and optical fibers in optical packaging. PECVD nitride, LPCVD nitride, or thermal oxide($SiO_2$) was used as a mask material. The anisotropic etching solution was KOH(40wt%) or the mixture of KOH and IPA. LPCVB nitride has the best etching selectivity and thermal oxide was etched most rapidly in KOH(40wt%) at $85^{\circ}C$ among the mask materials studied here. The V-groove size enlarged than the designed value. This phenomenon was due to the undercutting benearth the mask layer from the etching toward Si (111) plane. The etch rate of (111) plane wart 0.034 - 0.037 $\mu\textrm{m}$/min in KOH(40wt%). This rate was almost same regardless of mask materials. When IPA added to KOH(40wt%), the etch rate of (100) plane and (111) plane decreased, but etching ratio of (100) to (111) plane increased. Consequently, the undercutting phenomenon due to etching toward (111) plane decreased and the size of V-groove could be controlled more accurately.

  • PDF