• Title/Summary/Keyword: etch process

Search Result 553, Processing Time 0.024 seconds

Property variation of transistor in Gate Etch Process versus topology of STI CMP (STI CMP후 Topology에 따른 Gate Etch, Transistor 특성 변화)

  • Kim, Sang-Yong;Chung, Hun-Sang;Park, Min-Woo;Kim, Chang-Il;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11b
    • /
    • pp.181-184
    • /
    • 2001
  • Chemical Mechanical Polishing(CMP) of Shallow Trench Isolation(STD structure in 0.18 m semiconductor device fabrication is studied. CMP process is applied for the STI structure with and without reverse moat pattern and End Point Detection (EPD) method is tested. To optimize the transistor properties related metal 1 parameters. we studied the correlation between CMP thickness of STI using high selectivity slurry. DOE of gate etch recipe, and 1st metal DC values. Remaining thickness of STI CMP is proportional to the thickness of gate-etch process and this can affect to gate profile. As CMP thickness increased. the N-poly foot is deteriorated. and the P-Poly Noth is getting better. If CD (Critical Dimension) value is fixed at some point,, all IDSN/P values are in inverse proportional to CMP thickness by reason of so called Profile Effect. Weve found out this phenomenon in all around DOE conditions of Gate etch process and we also could understand that it would not have any correlation effects between VT and CMP thickness in the range of POE 120 sec conditions. As CMP thickness increased by $100\AA$. 3.2 $u\AA$ of IDSN is getting better in base 1 condition. In POE 50% condition. 1.7 $u\AA$ is improved. and 0.7 $u\AA$ is improved in step 2 condition. Wed like to set the control target of CD (critical dimension) in gate etch process which can affect Idsat, VT property versus STI topology decided by CMP thickness. We also would like to decide optimized thickness target of STI CMP throughout property comparison between conventional STI CMP with reverse moat process and newly introduced STI CMP using high selectivity slurry. And we studied the process conditions to reduce Gate Profile Skew of which source known as STI topology by evaluation of gate etch recipe versus STI CMP thickness.

  • PDF

Property variation of transistor in Gate Etch Process versus topology of STI CMP (STI CMP후 Topology에 따른 Gate Etch, Transistor 특성 변화)

  • 김상용;정헌상;박민우;김창일;장의구
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11a
    • /
    • pp.181-184
    • /
    • 2001
  • Chemical Mechanical Polishing(CMP) of Shallow Trench Isolation(STI) structure in 0.18 m semiconductor device fabrication is studied. CMP process is applied for the STI structure with and without reverse moat pattern and End Point Detection (EPD) method is tested. To optimize the transistor properties related metal 1 parameters, we studied the correlation between CMP thickness of STI using high selectivity slurry, DOE of gate etch recipe, and 1st metal DC values. Remaining thickness of STI CMP is proportional to the thickness of gate-etch process and this can affect to gate profile. As CMP thickness increased, the N-poly foot is deteriorated, and the P-Poly Noth is getting better. If CD (Critical Dimension) value is fixed at some point, all IDSN/P values are in inverse proportional to CMP thickness by reason of so called Profile Effect. Weve found out this phenomenon in all around DOE conditions of Gate etch process and we also could understand that it would not have any correlation effects between VT and CMP thickness in the range of POE 120 sec conditions. As CMP thickness increased by 100 ${\AA}$, 3.2 u${\AA}$ of IDSN is getting better in base 1 condition. In POE 50% condition, 1.7 u${\AA}$ is improved, and 0.7 u${\AA}$ is improved in step 2 condition. Wed like to set the control target of CD (critical dimension) in gate etch process which can affect Idsat, VT property versus STI topology decided by CMP thickness. We also would like to decide optimized thickness target of STI CMP throughout property comparison between conventional STI CMP with reverse moat process and newly introduced STI CMP using high selectivity slurry. And we studied the process conditions to reduce Gate Profile Skew of which source known as STI topology by evaluation of gate etch recipe versus STI CMP thickness.

  • PDF

Prediction of plasma etching using genetic-algorithm controlled backpropagation neural network

  • Kim, Sung-Mo;Kim, Byung-Whan
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 2003.10a
    • /
    • pp.1305-1308
    • /
    • 2003
  • A new technique is presented to construct a predictive model of plasma etch process. This was accomplished by combining a backpropagation neural network (BPNN) and a genetic algorithm (GA). The predictive model constructed in this way is referred to as a GA-BPNN. The GA played a role of controlling training factors simultaneously. The training factors to be optimized are the hidden neuron, training tolerance, initial weight magnitude, and two gradients of bipolar sigmoid and linear functions. Each etch response was optimized separately. The proposed scheme was evaluated with a set of experimental plasma etch data. The etch process was characterized by a $2^3$ full factorial experiment. The etch responses modeled are aluminum (A1) etch rate, silica profile angle, A1 selectivity, and dc bias. Additional test data were prepared to evaluate model appropriateness. The GA-BPNN was compared to a conventional BPNN. Compared to the BPNN, the GA-BPNN demonstrated an improvement of more than 20% for all etch responses. The improvement was significant in the case of A1 etch rate.

  • PDF

The Development of Deep Silicon Etch Process with Conventional Inductively Coupled Plasma (ICP) Etcher (범용성 유도결합 플라즈마 식각장비를 이용한 깊은 실리콘 식각)

  • 조수범;박세근;오범환
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.7
    • /
    • pp.701-707
    • /
    • 2004
  • High aspect ratio silicon structure through deep silicon etching process have become indispensable for advanced MEMS applications. In this paper, we present the results of modified Bosch process to obtain anisotropic silicon structure with conventional Inductively Coupled Plasma (ICP) etcher instead of the expensive Bosch process systems. In modified Bosch process, etching step ($SFsub6$) / sidewall passivation ($Csub4Fsub8$) step time is much longer than commercialized Bosch scheme and process transition time is introduced between process steps to improve gas switching and RF power delivery efficiency. To optimize process parameters, etching ($SFsub6$) / sidewall passivation ($Csub4Fsub8$) time and ion energy effects on etching profile was investigated. Etch profile strongly depends on the period of etch / passivation and ion energy. Furthermore, substrate temperature during etching process was found to be an important parameter determining etching profile. Test structures with different pattern size have been etched for the comparison of the aspect ratio dependent etch rate and the formation of silicon grass. At optimized process condition, micropatterns etched with modified Bosch process showed nearly vertical sidewall and no silicon grass formation with etch rate of 1.2 ${\mu}{\textrm}{m}$/ min and the size of scallop of 250 nm.

The Development of Silylated Photoresist Etch Process by Enhanced- Inductively Coupled Plasma (Enhanced-Inductively Coupled Plasma (E-ICP)를 이용한 Silylated photoresist 식각공정개발)

  • 조수범;김진우;정재성;오범환;박세근;이종근
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.15 no.3
    • /
    • pp.227-232
    • /
    • 2002
  • The silylated photoresist etch process was tested by enhanced-ICP. The comparison of the two process results of micro pattern etching with $0.35\mu\textrm{m}$ CD by E-ICP and ICP reveals that I-ICP has bettor quality than ICP. The etch rate and the RIE lag effect was improved in E-ICP. Especially, the problem of the lateral etch was improved in E-ICP.

Stability of Co/Ni Silicide in Metal Contact Dry Etch (Co/Ni 복합실리사이드의 메탈 콘택 건식식각 안정성 연구)

  • Song Ohsung;Beom Sungjin;Kim Dugjoong
    • Korean Journal of Materials Research
    • /
    • v.14 no.8
    • /
    • pp.573-578
    • /
    • 2004
  • Newly developed silicide materials for ULSI should have the appropriate electrical property of low resistant as well as process compatibility in conventional CMOS process. We prepared $NiCoSi_x$ silicides from 15 nm-Co/15 nm-Ni/Si structure and performed contact dry etch process to confirm the dry etch stability and compatibility of $NiCoSi_x$ layers. We dry etched the photoresist/SiO/silicide/silicon patterns with $CF_4\;and\;CHF_3$ gases with varying powers from 100 to 200 W, and pressures from 45 to 65 mTorr, respectively. Polysilicon and silicon active layers without silicide were etched $0\sim316{\AA}$ during over etch time of 3min, while silicon layers with proposed $NiCoSi_x$ silicide were not etched and showed stable surfaces. Our result implies that new $NiCoSi_x$ silicides may replace the conventional silicides due to contact etch process compatibility.

GaN Etch Process System using Parallel Plasma Source for Micro LED Chip Fabrication (병렬 플라즈마 소스를 이용한 마이크로 LED 소자 제작용 GaN 식각 공정 시스템 개발)

  • Son, Boseong;Kong, Dae-Young;Lee, Young-Woong;Kim, Huijin;Park, Si-Hyun
    • Journal of the Semiconductor & Display Technology
    • /
    • v.20 no.3
    • /
    • pp.32-38
    • /
    • 2021
  • We developed an inductively coupled plasma (ICP) etcher for GaN etching using a parallel plasma electrode source with a multifunctional chuck matched to it in order for the low power consumption and low process cost in comparison with the conventional ICP system with a helical-type plasma electrode source. The optimization process condition using it for the micro light-emitting diode (µ-LED) chip fabrication was established, which is an ICP RF power of 300 W, a chuck power of 200 W, a BCl3/Cl2 gas ratio of 3:2. Under this condition, the mesa structure with the etch depth over 1 ㎛ and the etch angle over 75° and also with no etching residue was obtained for the µ-LED chip. The developed ICP showed the improved values on the process pressure, the etch selectivity, the etch depth uniformity, the etch angle profile and the substrate temperature uniformity in comparison with the commercial ICP. The µ-LED chip fabricated using the developed ICP showed the similar or improved characteristics in the L-I-V measurements compared with the one fabricated using the conventional ICP method

Inductively coupled plasma etching of SnO2 as a new absorber material for EUVL binary mask

  • Lee, Su-Jin
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.124-124
    • /
    • 2010
  • Currently, extreme ultraviolet lithography (EUVL) is being investigated for next generation lithography. EUVL is one of competitive lithographic technologies for sub-22nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance due to the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore, new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.

  • PDF

Selective Dry Etching of GaAs/AlGaAs Layer for HEMT Device Fabrication (HEMT 소자 제작을 위한 GaAs/AlGaAs층의 선택적 건식식각)

  • 김흥락;서영석;양성주;박성호;김범만;강봉구;우종천
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.28A no.11
    • /
    • pp.902-909
    • /
    • 1991
  • A reproducible selective dry etch process of GaAs/AlGaAs Heterostructures for High Electron Mobility Transistor(HEMT) Device fabrication is developed. Using RIE mode with $CCl_{2}F_{2}$ as the basic process gas, the observed etch selectivity of GaAs layer with respect to GaAs/$Al_{0.3}Ga_{0.7}$As is about 610:1. Severe polymer deposition problem, parialy generated from the use of $CCl_{2}F_{2}$ gas only, has been significantly reduced by adding a small amount of He gas or by $O_{2}$ plasma ashing after etch process. In order to obtain an optimized etch process for HEMT device fabrication, we com pared the properties of the wet etched Schottky contact with those of the dry etched one, and set dry etch condition to approach the characteristics of Schottky diode on wet etched surface. By applying the optimized etch process, the fabricated HEMT devices have the maximum transconductance $g_{mext}$ of 224 mS/mm, and have relatively uniform distribution across the 2inch wafer in the value of 200$\pm$20mS/mm.

  • PDF

A Reproducible High Etch Rate ICP Process for Etching of Via-Hole Grounds in 200μm Thick GaAs MMICs

  • Rawal, D.S.;Agarwal, Vanita R.;Sharma, H.S.;Sehgal, B.K.;Muralidharan, R.
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.8 no.3
    • /
    • pp.244-250
    • /
    • 2008
  • An inductively coupled plasma etching process to replace an existing slower rate reactive ion etching process for $60{\mu}m$ diameter via-holes using Cl2/BCl3 gases has been investigated. Process pressure and platen power were varied at a constant ICP coil power to reproduce the RIE etched $200{\mu}m$ deep via profile, at high etch rate. Desired etch profile was obtained at 40 m Torr pressure, 950 W coil power, 90W platen power with an etch rate ${\sim}4{\mu}m$/min and via etch yield >90% over a 3-inch wafer, using $24{\mu}m$ thick photoresist mask. The etch uniformity and reproducibility obtained for the process were better than 4%. The metallized via-hole dc resistance measured was ${\sim}0.5{\Omega}$ and via inductance value measured was $\sim$83 pH.