• Title/Summary/Keyword: dry etch

Search Result 201, Processing Time 0.029 seconds

Reactive Ion Etching Characteristics of Aluminum Oxide Films Prepared by PECVD in $CCl_4$ Dry Etch Plasma (플라즈마 화학증착한 알루미늄 산화박막의 $CCl_4$ 플라즈마에서의 반응성 이온식각 특성)

  • 김재환;김형석;이원종
    • Journal of the Korean Ceramic Society
    • /
    • v.31 no.5
    • /
    • pp.485-490
    • /
    • 1994
  • The reactive ion etching characteristics of aluminum oxide films, prepared by PECVD, were investigated in the CCl4 plasma. The atomic chlorine concentration and the DC self bias were determined at various etching conditions, and their effects on the etch rate of aluminum oxide film were studied. The bombarding energy of incident particles was found to play the more important role in determining the etch rate of aluminum oxide rather than the atomic chlorine concentration. It is considered to be because the bombardment of ions or neutral atoms breaks the strong Al-O bonds of aluminum oxide to help activate the formation reaction of AlCl3 which is the volatile etch product.

  • PDF

Microfabrication of Submicron-size Hole on the Silicon Substrate using ICP etching

  • Lee, J.W.;Kim, J.W.;Jung, M.Y.;Kim, D.W.;Park, S.S.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1999.07a
    • /
    • pp.79-79
    • /
    • 1999
  • The varous techniques for fabrication of si or metal tip as a field emission electron source have been reported due to great potential capabilities of flat panel display application. In this report, 240nm thermal oxide was initially grown at the p-type (100) (5-25 ohm-cm) 4 inch Si wafer and 310nm Si3N4 thin layer was deposited using low pressure chemical vapor deposition technique(LPCVD). The 2 micron size dot array was photolithographically patterned. The KOH anisotropic etching of the silicon substrate was utilized to provide V-groove formation. After formation of the V-groove shape, dry oxidation at 100$0^{\circ}C$ for 600 minutes was followed. In this procedure, the orientation dependent oxide growth was performed to have a etch-mask for dry etching. The thicknesses of the grown oxides on the (111) surface and on the (100) etch stop surface were found to be ~330nm and ~90nm, respectively. The reactive ion etching by 100 watt, 9 mtorr, 40 sccm Cl2 feed gas using inductively coupled plasma (ICP) system was performed in order to etch ~90nm SiO layer on the bottom of the etch stop and to etch the Si layer on the bottom. The 300 watt RF power was connected to the substrate in order to supply ~(-500)eV. The negative ion energy would enhance the directional anisotropic etching of the Cl2 RIE. After etching, remaining thickness of the oxide on the (111) was measured to be ~130nm by scanning electron microscopy.

  • PDF

High Density Inductively Coupled Plasma Etching of III-V Semiconductors in BCI3Ne Chemistry (BCI3Ne 혼합가스를 이용한 III-V 반도체의 고밀도 유도결합 플라즈마 식각)

  • 백인규;임완태;이제원;조관식
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.16 no.12S
    • /
    • pp.1187-1194
    • /
    • 2003
  • A BCl$_3$/Ne plasma chemistry was used to etch Ga-based (GaAs, AIGaAs, GaSb) and In-based (InGaP, InP, InAs and InGaAsP) compound semiconductors in a Planar Inductively Coupled Plasma (ICP) reactor. The addition of the Ne instead of Ar can minimize electrical and optical damage during dry etching of III-V semiconductors due to its light mass compared to that of Ar All of the materials exhibited a maximum etch rate at BCl$_3$ to Ne ratios of 0.25-0.5. Under all conditions, the Ga-based materials etched at significantly higher rates than the In-based materials, due to relatively high volatilities of their trichloride etch products (boiling point CaCl$_3$ : 201 $^{\circ}C$, AsCl$_3$ : 130 $^{\circ}C$, PCl$_3$: 76 $^{\circ}C$) compared to InCl$_3$ (boiling point : 600 $^{\circ}C$). We obtained low root-mean-square(RMS) roughness of the etched sulfate of both AIGaAs and GaAs, which is quite comparable to the unetched control samples. Excellent etch anisotropy ( > 85$^{\circ}$) of the GaAs and AIGaAs in our PICP BCl$_3$/Ne etching relies on some degree of sidewall passivation by redeposition of etch products and photoresist from the mask. However, the surfaces of In-based materials are somewhat degraded during the BCl$_3$/Ne etching due to the low volatility of InCl$_{x}$./.

A Study of Dry Etch Mechanism of the GaN using Plasma Mass Spectrometry

  • Kim, H.S.;Lee, W.J.;Jang, J.W.;Yeom, G.Y.;Lee, J.W.;Kim, T.I.
    • Journal of the Korean institute of surface engineering
    • /
    • v.32 no.3
    • /
    • pp.416-422
    • /
    • 1999
  • The characteristics of inductively coupled Cl$_2$/BCl$_3$ plasmas during the GaN etching were studied using plasma mass spectrometry by measuring the relative amounts of reactive ions, neutrals, and etch products. GaN etch rates increased with the increase of pressure and showed a maximum near 25mTorr for the pure $Cl_2$ and near 30mTorr for $Cl_2$$BCl_3$. The addition of$ BCl_3$ to $Cl_2$ also was increased GaN etch rates until 50%BCl$_3$ was mixed to $Cl_2$. The GaN etching with pure $Cl Cl_2$ appears to be related to the combination of Cl$_2^{+}$ ion bombardment and the chemical reaction of Cl radicals. In the case of the GaN etching with Cl$_2$/BCl$_3$, in addition to the combined effect of$_2^{ +}$ ions and Cl radicals, $_BCl2^{+ }$ ions appear to be responsible for some of GaN etching even though they do not have significant effect on the GaN etching compared to $Cl_2^{+}$ and Cl. $Ga^{+ }$ , $GaCl^{+}$ , $GaCl_2^{+}$ , and $N_2^{+}$ were observed as the positive ions of etch products, and the intensities of these etch products showed the same trends as those of GaN etch rate. Among the etch products, Ga and $N_2$ appear to be the main etch products.

  • PDF

Dry Etching of GaAs and AlgaAs Semiconductor Materials in High Density BCl$_3$, BCl$_3$/Ar Inductively Coupled Plasmas (BCl$_3$, BCl$_3$/Ar 고밀도 유도결합 플라즈마를 이용한 GaAs 와 AlGaAs 반도체 소자의 건식식각)

  • Lim, Wan-Tae;Baek, In-Kyoo;Lee, Je-Won;Cho, Guan-Sik;Jeon, Min-Hyun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.31-36
    • /
    • 2003
  • We investigated dry etching of GaAs and AlGaAs in a high density planar inductively coupled plasma system with $BCl_3$ and $BCl_3/Ar$ gas chemistry. A detailed process study as a function of ICP source power, RIE chuck power and $BCl_3/Ar$ mixing ratio was performed. At this time, chamber pressure was fixed at 7.5 mTorr. The ICP source power and RIE chuck power were varied from 0 to 500 W and from 0 to 150 W, respectively. GaAs etch rate increased with the increase of ICP source power and RE chuck power. It was also found that etch rate of GaAs in $BCl_3$ gas with 25% Ar addition was superior to that of GaAs in a pure $BCl_3$ (20 sccm $BCl_3$) plasma. The result was same with AlGaAs. We expect that high ion-assisted effect in $BCl_3$/Ar plasma increased etch rates of both materials. The GaAs and AIGaAs features etched at 20 sccm $BCl_3$ and $15BCl_3/5Ar$ with 300 W ICP source power, 100 W RIE chuck power and 7.5 mTorr showed very smooth surfaces(RMS roughness < 2 nm) and excellent sidewall. XPS study on the surfaces of processed GaAs also proved extremely clean surfaces of the materials after dry etching.

  • PDF

Gate CD Control for memory Chip using Total Process Proximity Based Correction Method

  • Nam, Byung--Ho;Lee, Hyung-J.
    • Journal of the Optical Society of Korea
    • /
    • v.6 no.4
    • /
    • pp.180-184
    • /
    • 2002
  • In this study, we investigated mask errors, photo errors with attenuated phase shift mask and off-axis illumination, and etch errors in dry etch conditions. We propose that total process proximity correction (TPPC), a concept merging every process step error correction, is essential in a lithography process when minimum critical dimension (CD) is smaller than the wavelength of radiation. A correction rule table was experimentally obtained applying TPPC concept. Process capability of controlling gate CD in DRAM fabrication should be improved by this method.

Dry Etching of Ru Electrodes using O2/Cl2 Inductively Coupled Plasmas

  • Kim, Hyoun Woo
    • Corrosion Science and Technology
    • /
    • v.2 no.5
    • /
    • pp.238-242
    • /
    • 2003
  • The characteristics of Ru etching using $O_2/Cl_2$ plasmas were investigated by employing inductively coupled plasma (ICP) etcher. The changes of Ru etch rate, Ru to $SiO_2$ etch selectivity and Ru electrode etching slope with the gas flow ratio, bias power, total gas flow rate, and source power were scrutinized. A high etching slope (${\sim}86^{\circ}$) and a smooth surface after etching was attained using $O_2/Cl_2$ inductively coupled plasma.

Characteristics of Carbon Tetrafluoride Plasma Resistance of Various Glasses

  • Choi, Jae Ho;Han, Yoon Soo;Lee, Sung Min;Park, Hyung Bin;Choi, Sung Churl;Kim, Hyeong Jun
    • Journal of the Korean Ceramic Society
    • /
    • v.53 no.6
    • /
    • pp.700-706
    • /
    • 2016
  • Etch rate, surface roughness and microstructure as plasma resistance were evaluated for six kinds of oxide glass with different compositions. Borosilicate glass (BS) was found to be etched at the highest etch rate and zinc aluminum phosphate glass (ZAP) showed a relatively lower etch rate than borosilicate. On the other hand, the etching rate of calcium aluminosilicate glass (CAS) was measured to be similar to that of sintered alumina while yttrium aluminosilicate glass (YAS) showed the lowest etch rate. Such different etch rates by mixture plasma as a function of glass compositions was dependent on whether or not fluoride compounds were formed on glass and sublimated in high vacuum. Especially, in view that $CaF_2$ and $YF_3$ with high sublimation points were formed on the surface of CAS and YAS glasses, both CAS and YAS glasses were considered to be a good candidate for protective coating materials on the damaged polycrystalline ceramics parts in semi-conductor and display processes.

반도체/LCD장비 코팅부품의 내플라즈마 특성 연구

  • Song, Je-Beom;Sin, Jae-Su;Yun, Su-Jin;Lee, Chang-Hui;Sin, Yong-Hyeon;Kim, Jin-Tae;Yun, Ju-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.134-134
    • /
    • 2012
  • 최근 반도체 및 디스플레이 산업에서 진공, 특히 플라즈마 공정은 중요한 기술로 알려져 있다. 반도체 제조공정은 플라즈마를 이용하여 증착(deposition)공정 및 패터닝을 위한 식각(Dry Etch)공정으로 크게 나뉘고, 디스플레이 공정에서는 Glass위에 형성된 금속오염입자 및 polymer와 같은 불순물을 제거하는 공정으로 식각(Dry Etch)공정을 주로 사용하고 있다. 진공공정장비인 CVD, Etcher는 플라즈마와 활성기체, 고온의 공정온도에 노출 되면서 진공공정장비 부품에 부식이 진행되기 때문에 내플라즈마성이 강한 재료를 코팅하여 사용하고 있다. 하지만 장시간 부식환경에 노출이 되면, 코팅부품에서도 부식이 진행되면서 다량의 오염입자가 발생하여 생산수율 저하에 원인이 되기도 하고, 부품 교체비용이 많이 들기 때문에 산업체에서 많은 어려움을 겪고 있다. 본 연구에서는 산업체에서 코팅부품으로 많이 사용되고 있는 다양한(Al2O3, Y2O3 등) 산화막 및 세라믹코팅 부품의 내플라즈마 특성을 비교 연구하였다.

  • PDF

High rate dry etching of Si in fluorine-based inductively coupled plasmas

  • Cho, Hyun;Pearton, S.J.
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.14 no.5
    • /
    • pp.220-225
    • /
    • 2004
  • Four different Fluorine-based gases ($SF_6/,NF_3, PF_5,\; and \; BF_3$) were examined for high rate Inductively Coupled Plasma etching of Si. Etch rates up to ~8$\mu\textrm{m}$/min were achieved with pure $SF_6$ discharges at high source power (1500 W) and pressure (35 mTorr). A direct comparison of the four feedstock gases under the same plasma conditions showed the Si etch rate to increase in the order $BF_3$ < $NF_3$< $PF_5$ < $SF_6$. This is in good correlation with the average bond energies of the gases, except for $NF_3$, which is the least strongly bound. Optical emission spectroscopy showed that the ICP source efficiently dissociated $NF_3$, but the etched Si surface morphologies were significantly worse with this gas than with the other 3 gases.