• Title/Summary/Keyword: Via interconnects

Search Result 25, Processing Time 0.038 seconds

Selective Growth of Carbon Nanotubes using Two-step Etch Scheme for Semiconductor Via Interconnects

  • Lee, Sun-Woo;Na, Sang-Yeob
    • Journal of Electrical Engineering and Technology
    • /
    • v.6 no.2
    • /
    • pp.280-283
    • /
    • 2011
  • In the present work, a new approach is proposed for via interconnects of semiconductor devices, where multi-wall carbon nanotubes (MWCNTs) are used instead of conventional metals. In order to implement a selective growth of carbon nanotubes (CNTs) for via interconnect, the buried catalyst method is selected which is the most compatible with semiconductor processes. The cobalt catalyst for CNT growth is pre-deposited before via hole patterning, and to achieve the via etch stop on the thin catalyst layer (ca. 3nm), a novel 2-step etch scheme is designed; the first step is a conventional oxide etch while the second step chemically etches the silicon nitride layer to lower the damage of the catalyst layer. The results show that the 2-step etch scheme is a feasible candidate for the realization of CNT interconnects in conventional semiconductor devices.

Fuzzy-based Field-programmable Gate Array Implementation of a Power Quality Enhancement Strategy for ac-ac Converters

  • Radhakrishnan, N.;Ramaswamy, M.
    • Journal of Electrical Engineering and Technology
    • /
    • v.6 no.2
    • /
    • pp.233-238
    • /
    • 2011
  • In the present work, a new approach is proposed for via interconnects of semiconductor devices, where multi-wall carbon nanotubes (MWCNTs) are used instead of conventional metals. In order to implement a selective growth of carbon nanotubes (CNTs) for via interconnect, the buried catalyst method is selected which is the most compatible with semiconductor processes. The cobalt catalyst for CNT growth is pre-deposited before via hole patterning, and to achieve the via etch stop on the thin catalyst layer (ca. 3nm), a novel 2-step etch scheme is designed; the first step is a conventional oxide etch while the second step chemically etches the silicon nitride layer to lower the damage of the catalyst layer. The results show that the 2-step etch scheme is a feasible candidate for the realization of CNT interconnects in conventional semiconductor devices.

Synthesis of CNTs with plasma density and tilt degree of substrate (플라즈마 밀도와 기판의 기울임 정도에 따른 탄소나노튜브의 성장)

  • Kim, Kyung-Wook;Choi, Eun-Chang;Park, Yong-Seob;Kim, Hyung-Jin;Yun, Deok-Yong;Hong, Byung-You
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.393-394
    • /
    • 2008
  • Carbon nanotubes are attractive nano-structured materials because of their remarkable electronic, physical, chemical properties. Due to these reasons, application researches of CNTs are actively processed on the display, the electronic element, the nano-diode fields and the semiconductor element. Today, The major issue of semiconductor technique are via and interconnects. CNTs are used to make via and interconnects because of high electric currents density and high heat transfer. Control of the orientation of grown CNTs is very important thing for making via and interconnects. Via are horizontal growth of CNTs and interconnects are vertical growth of CNTs. This research is based on the experiment using control of gas flow directions and DC bias. Scanning Electron Microscope (SEM) was used to check this experiment.

  • PDF

Stress and Stress Voiding in Cu/Low-k Interconnects

  • Paik, Jong-Min;Park, Hyun;Joo, Young-Chang
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.3 no.3
    • /
    • pp.114-121
    • /
    • 2003
  • Through comparing stress state of TEOS and SiLK-embedded structures, the effect of low-k materials on stress and stress distribution in via-line structures were investigated using three-dimensional finite element analyses. In the case of TEOS-embedded via-line structures, hydrostatic stress was concentrated at the via and the top of the lines, where the void was suspected to nucleate. On the other hand, in the via-line structures integrated with SiLK, large von-Mises stress is maintained at the via, thus deformation of via is expected as the main failure mode. A good correlation between the calculated results and experimentally observed failure modes according to dielectric materials was obtained.

Effects of Mesh Planes on Signal Integrity in Glass Ceramic Packages for High-Performance Servers

  • Choi, Jinwoo;Altabella Lazzi, Dulce M.;Becker, Wiren D.
    • The Proceeding of the Korean Institute of Electromagnetic Engineering and Science
    • /
    • v.24 no.2
    • /
    • pp.35-50
    • /
    • 2013
  • This paper discusses effects of mesh planes on signal integrity in high-speed glass ceramic packages. One of serious signal integrity issues in high-speed glass ceramic packages is high far-end (FE) noise coupling between signal interconnects. Based on signal integrity analysis, a methodology is presented for reducing far-end noise coupling between signal interconnects in high-speed glass ceramic modules. This methodology employing power/ground mesh planes with alternating spacing and a via-connected coplanar-type shield (VCS) structure is suggested to minimize far-end noise coupling between signal lines in high-speed glass ceramic packages. Optimized interconnect structure based on this methodology has demonstrated that the saturated far-end noise coupling of a typical interconnect structure in glass ceramic modules could be reduced significantly by 73.3 %.

Studies on Cu Dual-damascene Processes for Fabrication of Sub-0.2${\mu}m$ Multi-level Interconnects (Sub-0.2${\mu}m$ 다층 금속배선 제작을 위한 Cu Dual-dmascene공정 연구)

  • Chae, Yeon-Sik;Kim, Dong-Il;Youn, Kwan-Ki;Kim, Il-Hyeong;Rhee, Jin-Koo;Park, Jang-Hwan
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.36D no.12
    • /
    • pp.37-42
    • /
    • 1999
  • In this paper, some of main processes for the next generation integrated circuits, such as Cu damascene process using CMP, electron beam lithography, $SiO_2$ CVD and RIE, Ti/Cu-CVD were carried cut and then, two level Cu interconnects were accomplished. In the results of CMP unit processes, a 4,635 ${\AA}$/min of removal rate, a selectivity of Cu : $SiO_2$ of 150:1, a uniformity of 4.0% are obtained under process conditions of a head pressure of 4 PSI, table and head speed of 25rpm, a oscillation distance of 40 mm, and a slurry flow rate of 40 ml/min. Also 0.18 ${\mu}m\;SiO_2$ via-line patterns are fabricated using 1000 ${\mu}C/cm^2$ dose, 6 minute and 30 second development time and 1 minute and 30 second etching time. And finally sub-0.2 ${\mu}$ twolevel metal interconnects using the developed processes were fabricated and the problems of multilevel interconnects are discussed.

  • PDF

Thermo-mechanical Reliability Analysis of Copper TSV (구리 TSV의 열기계적 신뢰성해석)

  • Choa, Sung-Hoon;Song, Cha-Gyu
    • Journal of Welding and Joining
    • /
    • v.29 no.1
    • /
    • pp.46-51
    • /
    • 2011
  • TSV technology raises several reliability concerns particularly caused by thermally induced stress. In traditional package, the thermo-mechanical failure mostly occurs as a result of the damage in the solder joint. In TSV technology, however, the driving failure may be TSV interconnects. In this study, the thermomechanical reliability of TSV technology is investigated using finite element method. Thermal stress and thermal fatigue phenomenon caused by repetitive temperature cycling are analyzed, and possible failure locations are discussed. In particular, the effects of via size, via pitch and bonding pad on thermo-mechanical reliability are investigated. The plastic strain generally increases with via size increases. Therefore, expected thermal fatigue life also increase as the via size decreases. However, the small via shows the higher von Mises stress. This means that smaller vias are not always safe despite their longer life expectancy. Therefore careful design consideration of via size and pitch is required for reliability improvement. Also the bonding pad design is important for enhancing the reliability of TSV structure.

A study on IWU functions interconnecting LANs via B-ISDN (B-ISDN을 경유한 LAN간 접속 IWU 기능에 관한 연구)

  • 이종영;조용구;오영환
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.21 no.7
    • /
    • pp.1746-1755
    • /
    • 1996
  • In this paper, we propose the protocol stact and the server functions of IWU which interconnects distributed LAN's users by using of B-ISDN. The protocol stact of interconnecting IWU under consideration users TCP/IP in upper layer. TCP/IP is popular communication protocol in interconnecting distributed LANs. The interconnecting IWU has server functions for transfering datagrams to B-ISDN such as address translation, fragment and reassembly, CL server, signalling and traffic control. We analyze the performance ofinterconnecting IWU with M/M/1/K queueing model and obtain the throughput and buffer size of interconnecting IWU.

  • PDF

Electromigration Characteristics Stduy DCV Interconnect Structures in Cu Dual-Damascene Process (Cu Dual Damascene 배선 공정에서의 DCV 배선구조의 EM 특성 연구)

  • Lee, Hyun-Ki;Choi, Min-Ho;Kim, Nam-Hoon;Kim, Sang-Yong;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.123-124
    • /
    • 2005
  • We investigated the effect of a Ta/TaN Cu diffusion barrier existence on the reliability and the electrical performance of Cu dual-damascene interconnects. A high EM performance in Cu dual-damascene structure was observed the BCV(barrier contact via) interconnect structure to remain Ta/TaN barrier layer. Via resistance was decreased DCV interconnect structure by bottomless process. This structure considers that DCV interconnect structure has lower activation energy and higher current density than BCV interconnect structure. The EM failures by BCV via structure were formed at via hole, but DCV via structure was formed EM fail at the D2 line. In order to improve the EM characteristic of DCV interconnect structure by bottomless process, after Ta/TaN diffusion barrier layer in via bottom is removed by Ar+ resputtering process, it is desirable that Ta thickness is thickly made by Ta flash process.

  • PDF

Frequency-Dependent Line Capacitance and Conductance Calculations of On-Chip Interconnects on Silicon Substrate Using Fourier cosine Series Approach

  • Ymeri, H.;Nauwelaers, B.;Vandenberghe, S.;Maex, K.;De Roest, D.;Stucchi, M.
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.1 no.4
    • /
    • pp.209-215
    • /
    • 2001
  • In this paper a method for analysis and modelling of coplanar transmission interconnect lines that are placed on top of silicon-silicon oxide substrates is presented. The potential function is expressed by series expansions in terms of solutions of the Laplace equation for each homogeneous region of layered structure. The expansion coefficients of different series are related to each other and to potentials applied to the conductors via boundary conditions. In the plane of conductors, boundary conditions are satisfied at $N_d$ discrete points with $N_d$ being equal to the number of terms in the series expansions. The resulting system of inhomogeneous linear equations is solved by matrix inversion. No iterations are required. A discussion of the calculated line admittance parameters as functions of width of conductors, thickness of the layers, and frequency is given. The interconnect capacitance and conductance per unit length results are given and compared with those obtained using full wave solutions, and good agreement have been obtained in all the cases treated

  • PDF